From 26af68b9780de3248cf7512ed9237fc87fdab34e Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Sat, 6 Nov 2010 15:41:01 -0400 Subject: [PATCH 01/25] Changing extension from .pde to .ino. Renames all .pde files in a sketch to .ino upon opening. Prompts the user the first time this happens; if the rename is cancelled, the sketch is not opened and the user will be prompted next time a sketch with a .pde file is opened. Otherwise, renames files in all future opened sketches automatically (without prompting). Still allows for opening of .pde files and includes them in the sketchbook and examples menus. Still need to check the file association code. http://code.google.com/p/arduino/issues/detail?id=13 Former-commit-id: e2213d4721ced1bd64242cd7274f028bcb95b996 --- app/src/processing/app/Base.java | 10 +++-- app/src/processing/app/Editor.java | 59 ++++++++++++++++++++++++++++-- app/src/processing/app/Sketch.java | 16 ++++---- 3 files changed, 70 insertions(+), 15 deletions(-) diff --git a/app/src/processing/app/Base.java b/app/src/processing/app/Base.java index 48ab3aca4..65641478a 100644 --- a/app/src/processing/app/Base.java +++ b/app/src/processing/app/Base.java @@ -545,7 +545,7 @@ protected String createNewUntitled() throws IOException { newbieDir.mkdirs(); // Make an empty pde file - File newbieFile = new File(newbieDir, newbieName + ".pde"); + File newbieFile = new File(newbieDir, newbieName + ".ino"); new FileOutputStream(newbieFile); // create the file return newbieFile.getAbsolutePath(); } @@ -637,7 +637,8 @@ public void handleOpenPrompt() { public boolean accept(File dir, String name) { // TODO this doesn't seem to ever be used. AWESOME. //System.out.println("check filter on " + dir + " " + name); - return name.toLowerCase().endsWith(".pde"); + return name.toLowerCase().endsWith(".ino") + || name.toLowerCase().endsWith(".pde"); } }); @@ -1089,7 +1090,10 @@ public void actionPerformed(ActionEvent e) { File subfolder = new File(folder, list[i]); if (!subfolder.isDirectory()) continue; - File entry = new File(subfolder, list[i] + ".pde"); + File entry = new File(subfolder, list[i] + ".ino"); + if (!entry.exists() && (new File(subfolder, list[i] + ".pde")).exists()) { + entry = new File(subfolder, list[i] + ".pde"); + } // if a .pde file of the same prefix as the folder exists.. if (entry.exists()) { //String sanityCheck = sanitizedName(list[i]); diff --git a/app/src/processing/app/Editor.java b/app/src/processing/app/Editor.java index b4fedbc74..7c0638449 100644 --- a/app/src/processing/app/Editor.java +++ b/app/src/processing/app/Editor.java @@ -2020,14 +2020,65 @@ protected void handleOpenUnchecked(String path, int codeIndex, * modifications (if any) to the previous sketch need to be saved. */ protected boolean handleOpenInternal(String path) { + // rename .pde files to .ino + File[] oldFiles = (new File(path)).getParentFile().listFiles(new FilenameFilter() { + public boolean accept(File dir, String name) { + return (name.toLowerCase().endsWith(".pde")); + } + }); + + if (oldFiles != null && oldFiles.length > 0) { + if (!Preferences.getBoolean("editor.update_extension")) { + Object[] options = { "OK", "Cancel" }; + String prompt = + "In Arduino 1.0, the file extension for sketches changed\n" + + "from \".pde\" to \".ino\". This version of the software only\n" + + "supports the new extension. Rename the files in this sketch\n" + + "(and future sketches) and continue?"; + + int result = JOptionPane.showOptionDialog(this, + prompt, + "New extension", + JOptionPane.YES_NO_OPTION, + JOptionPane.QUESTION_MESSAGE, + null, + options, + options[0]); + if (result != JOptionPane.YES_OPTION) { + return false; + } + + Preferences.setBoolean("editor.update_extension", true); + } + + for (int i = 0; i < oldFiles.length; i++) { + String oldPath = oldFiles[i].getPath(); + File newFile = new File(oldPath.substring(0, oldPath.length() - 4) + ".ino"); + try { + Base.copyFile(oldFiles[i], newFile); + } catch (IOException e) { + Base.showWarning("Error", "Could not copy to a proper location.", e); + return false; + } + + // remove the original file, so user doesn't get confused + oldFiles[i].delete(); + + // update with the new path + if (oldFiles[i].compareTo(new File(path)) == 0) { + path = newFile.getAbsolutePath(); + } + } + } + // check to make sure that this .pde file is // in a folder of the same name File file = new File(path); File parentFile = new File(file.getParent()); String parentName = parentFile.getName(); - String pdeName = parentName + ".pde"; + String pdeName = parentName + ".ino"; File altFile = new File(file.getParent(), pdeName); - + if (pdeName.equals(file.getName())) { // no beef with this guy @@ -2037,10 +2088,10 @@ protected boolean handleOpenInternal(String path) { path = altFile.getAbsolutePath(); //System.out.println("found alt file in same folder"); - } else if (!path.endsWith(".pde")) { + } else if (!path.endsWith(".ino")) { Base.showWarning("Bad file selected", "Processing can only open its own sketches\n" + - "and other files ending in .pde", null); + "and other files ending in .ino", null); return false; } else { diff --git a/app/src/processing/app/Sketch.java b/app/src/processing/app/Sketch.java index c6a9d769f..bdb98bbe7 100644 --- a/app/src/processing/app/Sketch.java +++ b/app/src/processing/app/Sketch.java @@ -315,7 +315,7 @@ public void handleRenameCode() { renamingCode = true; String prompt = (currentIndex == 0) ? "New name for sketch:" : "New name for file:"; - String oldName = (current.isExtension("pde")) ? + String oldName = (current.isExtension("ino")) ? current.getPrettyName() : current.getFileName(); editor.status.edit(prompt, oldName); } @@ -495,7 +495,7 @@ protected void nameCode(String newName) { } // if successful, set base properties for the sketch - File newMainFile = new File(newFolder, newName + ".pde"); + File newMainFile = new File(newFolder, newName + ".ino"); String newMainFilePath = newMainFile.getAbsolutePath(); // having saved everything and renamed the folder and the main .pde, @@ -860,7 +860,7 @@ protected boolean saveAs() throws IOException { } // save the main tab with its new name - File newFile = new File(newFolder, newName + ".pde"); + File newFile = new File(newFolder, newName + ".ino"); code[0].saveAs(newFile); editor.handleOpenUnchecked(newFile.getPath(), @@ -1261,7 +1261,7 @@ public String preprocess(String buildPath, PdePreprocessor preprocessor) throws StringBuffer bigCode = new StringBuffer(); int bigCount = 0; for (SketchCode sc : code) { - if (sc.isExtension("pde")) { + if (sc.isExtension("ino")) { sc.setPreprocOffset(bigCount); bigCode.append(sc.getProgram()); bigCode.append('\n'); @@ -1357,7 +1357,7 @@ public String preprocess(String buildPath, PdePreprocessor preprocessor) throws } // sc.setPreprocName(filename); - } else if (sc.isExtension("pde")) { + } else if (sc.isExtension("ino")) { // The compiler and runner will need this to have a proper offset sc.addPreprocOffset(headerOffset); } @@ -1386,7 +1386,7 @@ public ArrayList getImportedLibraries() { // SketchCode errorCode = null; // if (filename.equals(appletJavaFile)) { // for (SketchCode code : getCode()) { -// if (code.isExtension("pde")) { +// if (code.isExtension("ino")) { // if (line >= code.getPreprocOffset()) { // errorCode = code; // } @@ -1791,7 +1791,7 @@ public boolean validExtension(String what) { * Returns the default extension for this editor setup. */ public String getDefaultExtension() { - return "pde"; + return "ino"; } @@ -1799,7 +1799,7 @@ public String getDefaultExtension() { * Returns a String[] array of proper extensions. */ public String[] getExtensions() { - return new String[] { "pde", "c", "cpp", "h" }; + return new String[] { "ino", "c", "cpp", "h" }; } From e5cac9add32e439c063e7b61b60a957c1bd07ad9 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Sat, 6 Nov 2010 16:00:02 -0400 Subject: [PATCH 02/25] Associating .ino, .c, .cpp, .h (but not .pde or .java) with Arduino on Mac OS X. Former-commit-id: 1240b5d91121d1a523a90504fdab29087699af42 --- build/macosx/template.app/Contents/Info.plist | 8 +++++--- 1 file changed, 5 insertions(+), 3 deletions(-) diff --git a/build/macosx/template.app/Contents/Info.plist b/build/macosx/template.app/Contents/Info.plist index aaac7a7d7..b5cc695cd 100755 --- a/build/macosx/template.app/Contents/Info.plist +++ b/build/macosx/template.app/Contents/Info.plist @@ -35,13 +35,15 @@ CFBundleTypeExtensions - pde - java + ino + c + cpp + h CFBundleTypeIconFile pde.icns CFBundleTypeName - Processing Source File + Arduino Source File CFBundleTypeMIMETypes text/plain From 3456c6e99f0a2c46ad5a2f4a75842d09d5a330a8 Mon Sep 17 00:00:00 2001 From: David Mellis Date: Sat, 6 Nov 2010 16:38:23 -0400 Subject: [PATCH 03/25] Changing Windows file association code from .pde to .ino. It doesn't seem to work properly on my Windows Vista machine, but neither does the .pde association for Processing. Former-commit-id: 1fbffaf7beba6197b25b9fb3e4b15245a93e2234 --- app/src/processing/app/windows/Platform.java | 10 +++++----- 1 file changed, 5 insertions(+), 5 deletions(-) diff --git a/app/src/processing/app/windows/Platform.java b/app/src/processing/app/windows/Platform.java index 63e76145a..5afe4db13 100644 --- a/app/src/processing/app/windows/Platform.java +++ b/app/src/processing/app/windows/Platform.java @@ -44,8 +44,8 @@ public class Platform extends processing.app.Platform { static final String openCommand = System.getProperty("user.dir").replace('/', '\\') + - "\\processing.exe \"%1\""; - static final String DOC = "Processing.Document"; + "\\arduino.exe \"%1\""; + static final String DOC = "Arduino.Document"; public void init(Base base) { super.init(base); @@ -86,13 +86,13 @@ protected void checkAssociations() { */ protected void setAssociations() throws UnsupportedEncodingException { if (Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, - "", ".pde") && + "", ".ino") && Registry.setStringValue(REGISTRY_ROOT_KEY.CLASSES_ROOT, - ".pde", "", DOC) && + ".ino", "", DOC) && Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, "", DOC) && Registry.setStringValue(REGISTRY_ROOT_KEY.CLASSES_ROOT, DOC, "", - "Processing Source Code") && + "Arduino Source Code") && Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, DOC, "shell") && From 5d1380f4c6e88f71ba8851e2c4e41085dfbc1c49 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Tue, 9 Nov 2010 12:31:53 -0500 Subject: [PATCH 04/25] New document icons for new file extension. Former-commit-id: 43092293ec5dd64545511fb68f00a23bf1af16e5 --- .../template.app/Contents/Resources/pde.icns | Bin 42258 -> 95100 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/build/macosx/template.app/Contents/Resources/pde.icns b/build/macosx/template.app/Contents/Resources/pde.icns index 214b19877ae38e580adf5e2466aceaa6f6b798a6..d3862982c4325632a08f042694b12bf1d9abc5ba 100644 GIT binary patch literal 95100 zcmeGF2V4_d^8gGlp(6-(6bp96h7Bu4v49n^cT`lWqM#T=NCJUWk`Q_o5Kxe!fFdBh zBZ4ALR6uMLrASkheP=`9g5`RBuJ`wUzxQKx_sq!W)j42{uYN>z1 zbSi=%8t8Y&C*St7?Povv_Ee}Qt5&HtsdlP<`SBmnvjp@|&<}86B5%#j%^Ufp6~Dv? z7~LEQzbs8eO++z1C`|g7z4UMNVC_Q7V8?O<5fDSs9R7hBgB>}kJx5Lt+J~p|3BC2H ze8OLN58)5sZEdNk*vV@#CzX%2{S4>v_T%SwpillAytl(pqNu*<;zckHg#rjd#*q;O zXUjh^*al8JI7x8Y!%6&QIRu9wZ{GBrzz!%Md4oxRkM?K&whbx{jKb_P16#~&ufho> z*%vVbyUgr-5HqmL%-$O@1G~)Zz4)akzx3djZdf_Q%*Caxt>@&S+*U5P6+5{+l-r+#GwznZ|+p+280X_iqJ`iC~L_Tc@(vBc) zK0E+efuVnWJA%n>N80;<0rfseJEj^KFg_$TwH@*4FYgUxSS_hO2+%QLJL28H{#Wv# z?{C}3>~H(aeyk${?E7W^dDee(>EHivs(9$1tN(6+{&1Q0F1G=tz7h7kC-lk>alkR) z#=t-X1aNc!m>56WENgPHJ?cKFsc7W7$%_F9MF ze%hyB4VN>{5Aj2oc;qC^44&?b^Q7v+)ZiIB2<8UQ>Wx*r%+3(r}8eoZI}K&Ky_ z4pj)AK$CVa>O`9|I5Zk3qY3RSawpLE-KOwMdwyxhFUeTxjOWde6`~I_WJM3c`8(*r z3|TP(aefYZFhf>M9t1OF<-mhrhOAiEa917lV1}&NJP2mUiW7jl%G3vAtQ`FXe628m z>yPx5e*E(4H>EEh_u-e`Jte_Q`ufvP=*NftUS9qWKcb(WUMF~ARb#9?JiP(=DENOxdfnS01wDRBVBkhPJU=T$%!|sPEM$kQ$6bE#B1lrp1`Z(aDrfl z>QJ;fD5x1l0q6|kaG(mYBCw>ri#yTgEEg8bC94_jEcPa__}zBkmkfSM=a)3Bbhh$C zjCe7S5X3-;=t#K%#~3L=p#F7?9I!b8;yQ8wgWR|EsQUnh@9PIL{GfgS!;k9wGyJf= zAH$F9|6=$_J?bjeGX_7aN5}l4UT&}+8-bsW-{AQ09OU1Q&v)yycRh~m@xO1^ckf~! zDGK%aC-fi(fYa!e`G03A*rbX=f&j}3d_fRtxLQiFU@Od&&4MdQW@9hhL+|0~;YWG0 z|GHZ}Ln#1yAY%eo0`Hp4yH@e8O}uL-@A`#z?dDz4o+|;9LX&yds{ij@!H*z^B1FMD z`Lm;6^xfE>ryre{?(VJo&>QQUoA+g4u)2P9tNsH0=mP}$)B6kbr}q~aK<_6ofZk7F zApI|af%LxwzM=OCd_yn zb42P2B&+)KV{FM-%E=NLNC#ImK|~>4HINRt=oO^Y1TjzkNBlq24`%S}!IEeF?fIGg zJ$bS3`}^050jS?N{J)Fdf5G=l#eGZewVywo|EPd{2y%Gh|0sv|BZa@4HuwpDUmoMz zHgbNHILiht-!k&?AM&UET;Rw2khu9t3qRxknZQr^e=Qh4J-~vg=6k};@ct!sces9QLr+RyX z$shpirkHL7(%C=dZ%+joU;t}O`C$9s@q>OJAF$$|=}-Ml`!O@xO}+mbA8*sYA0I5K zj~)MX{Cjil(1QWsPsRu1`pNO*`T7YnkO%pHc8Bk!f9wCf^q-Xj`Tr|SUk`hSAr={f zIN@9nXPh%4fP6To;Inu<$5)Vuzi0q%i^n_q3X*^ho{PtGd9VREFCOpYBWQy+HvsR&fjNd2kD@OlZrp{g-M-z^wU;Ow zPa`n<>}KHT_JuGu9k=c5Zg<$AxI%k6fzew{q>rN7`ImK|jfGr0D%Fl#*obzN`P)&6 z^j;PcZ3M;6)`nzbYfq)osP;V2jzXgKGT2Z>DE9Vt_7n;cAPPYC6dP)9ttX`qvhRcJ z`XKT*kT3M#7teElD*;OraDOX-%SPb-R#vPap+GyU%f{gTR#u!qL4ePI`&(H#1`65( z9o*l_ipzt~fcslnIRy$*tSrxh`&(H#UlXKSS(T9Iq-BVD-VAh-O7pwdin$YE#%in`wP0-NBax%?W6hp0P^mm zd3_Jrc#1?-enMNygNdFZ!R0OJr^+ZB&t5)~2U3Q)f0Bns66XG8B#+)IqWh=}6s?K6 z83(V+5)A+Fw1+Fab*pprNJG;+UE!TM!Ij4(4&&dZm_U=06PMkI7CREy0`6ea&>AaggkLEA>iGb{n`O(Kqek|}aezauS4+Vb8kCw0gk-*RS(HE*e5cnH@ z^yS*`1^$j7eZAp3fxqQPYd3!@@b~=a`|aNd{DL2C+6f<`^gDjVkAB=gK;W1BXuEhn z^~kUJ(GkDN&wrcTBlQpT%l@YRLH?htVLo|qd0w|6L-3BP02i*cFfd@qbbe{)B0F=ms!U0O+L16$T z^PrmmrSPCofKqu-2ta8(=mtRPJm@+=89XQ$piCYV1W*!fE7G1 z2%_yA&*6_DM2b2E6jZ=h9zr~|Bn(ny@#`R3luu%y0xTK(K8VS$gXmGK(E$}GP$Yu} z9wfuy*TGkxIviA>QPBoLOaLg5q4R5?4aG)VR3ySgO-+kXV2d#k5rjoX1tJIpH31%} zK!(=GjuhBn?b~9u*`Pw$x6L{rIS~;A_+rwrHA72CcNvPh&<)dput>{p_SOFCX9SQL{cH{eya0wx4Th~!X5g?L>BomngBD~c z6dUdN^PmQU0LDZ>#iYL&1!M+JY(d||!iXRdy%WoK6wEUO)PM!oD6a*cQXmhWq66Ji z`->4YV#8wsE-vqDiwdfNtAcAa5-^99febPd(d&NjeY2V^Dq6`>Q{igw+^gFxXCy5 z|0~YT_vGpCmH*SYW4^}#{eK$g32G7*0ZvI*zZSph6{A9l2aG4-c!s@L<3hP6O?tI|*_fuhuY7!2)+K*nvs+ zq4aN+>s$f2w!?AZ9&^W^mG;r8iBvhy)5c0|-njj>V=XiuntTQjz1Tlgz;@^)!do353XRSnGDR2+8kOSH0e9$%P7*5ej)l<~1g0>94iyO` zRPYIl&9NJ337yOlWV0RakWk@xdn%-`Ne;r0z^2-JQ_x{ODwv)jA)b)ch8I88@Oa?F zV%u>B^oFN{#e7UeMVmke6O!ZvwkTxEnRFT@+72Dw;Xc>)NJ5VyQja1Ajq-?$3WZ^M2o8dvD3j%`d$%Rkj12b0h0nT zMfb9@2$_T|5eMi7#i7Xt9r=jYThGi)cw6=|GcyG1bQ&nDf*e1Yjacf_&^j)4jF!$ z)vt?GvV$OaNECQv7)aCUHZ);O$<AaghFNt!N@W@ zh$ybZmqw%$;Kb2=Qg5Evc|T5uig>+qCZ3qJvbrAws}X-Et#+-vq=)%QxUC1}rtb_F}{)96HoAf4`B z1RI4Pk(dpW)|t++r3rAbmsDkBaIx<-Fofwa4P<|Kes0POzRC%Xc?yrzkwg+w2&9g@ zupmlM%wt>lgoADe17pWPuJjvHiXF()sCKru98u9*HnwD2TT&qh73uIG!>oSGZEr^x zq0uPzWSdA9D$(IbvL)Nuk!?w_ERb-w0TG%#eSm&@d%7rWF?O~jzeWZsRZ6w7#oh(m z^9iDrRFI<3z7wO+#du;i)Z`8dDpKi3vLV}12vkv?C@~VA;Kklv2O=g+8Q3Ota|jhS zO)!i|2*`R)Y>}uhzU@FD^4ca+#e1ugi%BgK#mUb68Y-1Gz;-(-O&rr>N46mmiME7M zM0m|j2J?ZD*1tlGNW?}0DrnzmpwYxIMtd^Z*2ab;X45NA;%UZIWAuI%^nnV<45~0T zT6Um7gbYo<*pYkQqhq8#8iP8(axxQ^s{k}>2Nwc`G5~WJ$ZN}{fCIwGrXZI6Jj2$B zLbP|K5bT}5q33^#+Ygk>|9jjf-);c^uW(X6c>w?K;>3L00rKDA4+($Omhztue^A16 zweST~6C=IT`KN?W>lvN9VA2~|p-5kBWd*U76~tCn5L;P6Y-I(pl~oMW&I)2HE5tiG z2=TFnFBYsIwz5LJVgeCwKuuL4wz@(D!W|&kSmCaiDnM+-qudQdynte9q5!d#CB_rm z!((YI53v=Ga*xkrEDy02j}phH7|26x#iPXcQ6RSBQ4;zn5L@vm_xmUiTk$A~eH4hT zFp6i=wH|v6~h>h$l8adh!rk@hI5=hzCY7R)A27N686*R0Q_9NG~Bb0I>(c zl`{|<@i_8$1jM2je*id4(8XRnA8=^xFWeuo1Kg~y{=dcD^Zf?2_iu5x{Hp`>{af5M z-*u+&CXMcXuj}767#R=K6|)xB#Fzcp$d@#)Zr95CXZRdWfaU z0->JF!*9RF@KC@R-|*8t1l`>NUqfv5$j2S*z@+<7`Zvr}cOf@7*SlCSRUhK&M&O}| zJk-b4jpjbk6pFhba3^B1RfsFmO~5rBVyk*@S2yYp7+piK*s3BaB;;0R9Tr=K5M7Z% zc&Mz%B!mcHB%UZ`ps1t0o1m+Ub3MdO51g3Tvp$n~<0TebU3Yd)0GTHcitwnOKou-> zadowK8(^iQ9cXZM$%RL{&Jc)2NbvCPz=Yg5uGu3T6gB$~Ao{udP=SjR7F%`tJFq#9 zM6QUVBb(*mk3Bs;gNsP56GnF=aD^Qq5Ojb9xL}F1i>uv0OE_d#K^GUNICzpxVzM}n z5a|*CSpf@}n&fF*PSltXdxbT4#!9^6l zpyG1a4tL?Xy3^Bv4H32z{OTYVVs|cw&oJh{1 zAb}ZF1hG{lW+H(r2#RuuTtT4L!lQnsqmwh)Wq?UMMO+RRTZLd2CFJH3atOI1po;Cl z#bT=>UT^(!a^O>}nw*?)tc^@iR{eL%gn352f~W{H3OWZ-Q76}du`w;s z2&N?fva1HR46U;rH)sRFu}2SZAB8ekYt8JFV#p_gbKbcF*S znZk!=5X^%Gq2L3}klw&t2vf!6sqz9JxbwXx2Qp+wdZPVXAkJo!SR!n!>1$YU1z8d> zf_Wn&;|4_3m_4Ay1Ez({9bgY=5dtlautIR1*Vsf30Zts~faUQH3$E&132cN#WD3J4 zuN?M0Scy*=VE2#Ia9E5CXr=iYn`7fB1nq}{7F>t(O$e^)drCkJ zH%gdfM`5s(!2vPB&SVx?0{IN@C$Ljov$M;^f~zbSTb6*!69}%J(!en}WXA!$;b{PG zrw2nM)%-9t&^7elGYGDti4+7PD$&mTTOkf1_dug?6C0Z-$e{Fh8>Ssc7-pAFjfUVV z8jB97lt)-_gc^+nSG*_|i)iDq;K~OASr*&=8wq=kDCmc1 zHn0hTt8x|<%R%tc4;VqTnuR4e*x!mVC~Pq-14E@aq+-EU)insB=&*H)^3pqYQB4qB zy$b~*gUMo1*aNHt;UWC|jlErP&I{s4iH6b`Cp!rJplu>coR`{xshaJY45)tC8_zp!WrN2|2gtT8^Hg&xLrQAzx+e;Usx%>mp>@s!CJ)Pl9`FIp<$kZh@qkJxr-KN{lhCW z-UqL0V#wg}-3V+se)NAoboPuF_)g>R5z@Z@+UYka-S?;bO9TF0`|v^d)PHBdzil5) z`gbGnuiHnH|CIs%zI`-V{(qkWf%M<-wx6;7iz)ac?W4(4alg0f`nUz*$?gvvsZ#~} zRQ?Vi@Q2z*Q>Oh-1_%hj3!gu7q)Zq3AH49-wU7Q!2K>SH(bO5gKLUTUeKhU&4fvz& zqp82`1An&t-yeZL+&-H2nL+A9dqZ89x>6kLa+JE{Pp+02u?(D z)TEhn=P&rP$K06{hl~BA5AX#=hscZ^BRlrb9%DwyNC^Lf?!yiwC@d-_F7c-iF%hAE z_>)ip0i57}aNq>~QTKnjL;pzz{S%7tn}7`yK&PaEmb|=_guMj7BTt#(O$t60vLV~0tsF}9#;sIJ{xp;zm*Dbj zU-8;uSB_LjZg^_3Ef)EtvFg+^_fuQsx?UF~zZ%!HG5?NWxJmM->RVkgiN-c@TA$au zt$Y}qpZhMyKyc2A@I43I!tbgTD7wrp!|kZtxzg<6`8k*7oG3_K2CgySZQ+jeWM#Sf(}E>bTP&|ZhnG1xHHvHq*Pz{2>P6G}NLvrF^6 z$9%mOuu5RVyR52nlZdvexWb`XQH^sgN=}@sx>XPs9#(ieF@5ruYY!LAR9;czade9R zR?EZ9BQNErD2K^ve-fTG#i%KBNBpJ0Ih)njZn<6YC{F@Cev4)&e_G&Kyi%Fty5orx z+Y(0)O&w=spWi6s8bk7|)olzd%MV(A^2}<>If5!}FNTY~I!N2cCbbz)cdQYZ z^~GeI?Jb)7pHl&e_R%=`1I)G{HUVZ)G2fJoj)+SW24V>W_9c7KgK{40&CQTvs@ELW0@? zrmFvemx3p4x7s}ro>ifqW!)8MYddy)@`J})lsq(KnGY8iR=yfC*U6r6_js-{^l#hC zC2H#7^8TZ1MMsxU9&_*LvT?x&iJq(1T@l-HvPi4IuPE@Ax9qJOMY39&##sM4b}ZaA z^V%-kY_;mWOLyMudN$V1#dQpG$D#G({hR`iMi#nennTRL#LR?1l9Z23s!(>Mh7Q?roxf!X%a71mHsOt1eDwAAuT<#KR=GDe z%O7S4Mc*driH)dcoD{wo`D8@MD@t0y<)vk=^2ar21TKia&G?v5Kf?|0k==Nykp5OD zJ^tdN?ybv`0!;!#mM1J}*lo5bG4#+`=Y^4XI^Rm<1A3h!SnZ&$n4q+&6?)brZC%Z`hmwsselC##Ih7ELomESDlwO-Yk0 z=EaULR?L%CNMMU+ZRgAq_LLlFe_aqcHjDIJKk%A1W%|*3kr96JySbCZ-l%On_V~-? zKn2&@c8Th7MrWqpmUF&!NGq`Y>P5ptS{sEN4=i`a?-iG<)8S|xu_$@RuF|-wIR9DQ znf(-jPu2~kpKy0hmTp=|m6(5=HS*vk#gfKVd#az8YoxumW~IEGWFxa{C~7!7>|Fi` zRP9oUR@MGaMqsRn&N0(*#>RvXi>1D=w9 zdnd5d{Yef^)F%1@S9u3*~)eCeY@-VQMFd(Q4qZ&+%qHe6Cg zq;cZ%Q+3NJ+=T4i-c)JL8+ObXrH>z;>Yg7_u+IZsdXC5ydi``vejEO{I^NMVQ9f2) zcoSQ>q*LSF#?fbz+EU()CuhdHM#hdPIicve^VBZ?R-LRW)+CaUD$YdiTEqTNHgz8N z(lwt>+*KSi>P)3x1MXz{P?zVQUDxdrQhzn&;8d4KowY_9YqE!`SCM>cW)_s3{XF)* z^Mt^~0#d_X5E}MZD@-}D;>x5w*7K%bw5iEnt+}6bP1ia9#Z-qGNjK`RZ`D+9fy8F+tCkmP+cZ^}RRiQ(J>}?$J44H+q`;N-}+7XMesL zyKc0r_Q>_~_ZE!yc)IG|y)jwU*Kc*|HK-1`B%j_){(8Q~C(!lX=~rHDBL$DHVLh%? zB_6YVvEtyZy9KJdJs-!d2##~A_6(XQu|j^$3-RR#zD|#At#}ho3)$-BY;;MoF=A8N z`1+|0-Sy44oMVV4pW_59{qCu$T-OjhA}=_ypwPPa zn9QB^0MXFC?WT95*sNT5j>huMCx^GL+4qocc~<%0{_9m)r^dc`dhNbSU1W3SM!ApI zz14P#g|3_-q2V6 z@!fK|ZyK};rKaY+SmZLvo;#b{c^pZ~G|+tJm!)@hN7(i)?%LFJ_4tt6dsZ2=Tu62Q z@P0dKroKZ=($niF{GUwQbC9_(JML)g$3+ze*HmaCkz3m=BDcQJvc5lSz0t}VW1OY+ z^T{c4IR~8M+lHP$(V^v%`{(eSV$49s!vaeGMOt+dDebx=|xo=P^ ztv2_vo%{;U+C+4Ln8IV4`mXtFvcek2-K81JG%P=BpDQk378ibcdq6iU_WkFsvmWnu zKUA8kK1D^Gfs~o+lY*imKK<4^ejAbiH1zt zxQ%aD&*4_eYssj*&C@Qw{N%1oipms)cI0iz>!c6&Jwwaoj4izCH2u5el2g65oqt{P zq=F-HV&uy7BNta6kO-?zVrW{;mIEzz`KB=yMw>ar0wpRbMB#h&DUa6G)pao{pII z`t_&S4N{?dqKYo)H=W(&WBmEm2{~Q6<95m}ujP-Ld+rr{ZS`c5$9m<$2gf8;=gnIy zZ)X%Pbf9eE2;E8JMRTncznq$ZpOCTFvoZg(e)Nq~!4Bh&ld5XmM#hZ3pQ?F|)2@}d zO8WBS*wlKlNi#nTGgvotT+vAe_120?hs*`6b4cBo1nTN8H}ddV;3+~lXX6+Oa@h}ZHWnHFblZeGlOsMe%-l@k=Z*jn87(Xo~bTm0f` z6?SLe-h)(%33YAJ7~^4O7a~x)CUe4qrSyq6+}{pUzWi7@=0!+G(~E6ygS(9z-|HK1 zT~x{lYEhRtqu&`4@>=12Cc$>(o2|^o&cW(#>zvF+wYxP@rZU!byaQG=0LJor+Qt$;?EHmEzf^Fk-3Sf5-c(y(#NN`T5_x9 zhIPXAwCx{lqC7)aZyl;1e~qF$;)3w6KE?JcT*?YR=lSL`q9XS2<4L%{CR~ZnHxC+oX+5?{Rr;N|L@;4{|d;J+6Nhx=&$= zv`G}};-a_IInI=myHq_?*VtK%VCyUbG8+Ud)Y4`=rn$VqL| zvL>%=YIRe)J!v<=G|N7=hQ|>E8<0r}LROW7axGj20N~F1h5;Ln>Bde-X^y!)DE13nB zqZ*ghYJL$Meg6F>iHv|T7OaUH;m)2uj54SJ#pdLyDLq@o-cPFVsm_6-1eA)J>wY& z_xa3&_4*f#=Lb!zTcQ0dX;BTna9eF#74B;7F6-6robz^$6|g8$5*69lstNa~i9-X`7fQ)9Muc&(@x6 zZaaPWqDf{+v_R6RWt+C=wWo;RKEHhFilujD?q^(yDM-5>qBUm2n1$4h>ZRF6d#7(c zInPGmfJRnz`P?s`Gwdr06>B77Kf60Cja9y87%H~t;-?cyL0jZx6JBqW(3BIb2n!b* zr;+ZaF~wL{WSUWz`o;bV$L}Gu3O9@19gsT~RW2#r?z~3MbB8IIH|o$1Juzsy(YI zd+r$bOKC5Uw+1=ybhb$5zCBG=F-`TdjhQfeE`rbXc8a!JtxBVBCr%q%F@OE70|kQk7Y{0yJsp;C z|6oVLKHDRD2Gr23A?GH0VEoZ0u8 zRup|ycHhPQCziRax~L@alIE4%`kS_g(F$s@}0ZW~G{pNs&l( z(RQP|={UQS-^x@u#7ap|L5oRUje_Z!G!S|$)Rree1BUcr&pN73^$vV{}Xh^G!7?Cye z>wX=v`ZpJsoW45l18zcWOa54`c+F4Jvd%5RHqsCB6ch!XmpLAhWBDApv-bQE`|(>V zs}oxtv+TZVdfi%qd!R=vj@k?(?Jz^@H)X68;j0rjN=H=6xUE3GF z^;k8dzI1u;Bs*GsbmxVIi`O1i)w?gPdh+Tm=BaCM6kjNge!?VPIYe?>F1>nhh|k9R z%C);K_phQB=`Q-@HO82(zv+B#lkF^4TIt&7TV_o8jH=5Kl&>c^QSwZW)sB$4K)NCN zcF058wpZs48y&3M9$@)7d14R+7aw#?s+0AUd2fx!4m!7h!Re-r7`yo#G5PF8P0G93 z1n$|EGgt2_h3oEmZN0oLU}3y($dp^hWKt~ezCT-{c`9}M@^qhzU%HkWW!iS14H^E) zyK8ucSd!AxTUIrbXA~?rC#$jS`O4z;s738zmBU$D;t4N5UlWLC-ZPnZdGh9W>;r+f zh#CZ`?UoJES^1~qkKw-@CyG3430J?_s=0dWm%}mE`qSnewyw98&I#D{sP1&T3^!<7 zT0qbqQdr@h_Xb_{bfag6!fy1V{?t9IcYf(A*Ce%#scX=^@i0|9%RKGz-Dj#(4G%g5 ze2hpdPMtI9025bB`4r{4)68QNmm9m)cfS5n*B8~ak>Lt+cW*j>_jBo#J@~4f`T5ym zXRbJvOG>}ubR2eN>Uc=Ji4-~MFaM>kySw_T!Ll>xA+;>4*^nNzdcRaAbo%09n~yCx zqwoygm?G{Tzs{KEpV1o9X5QuO1Q;x zuW(6)c_|k|&Z&O&m8%%e>{fWLM-o~4E>2at`xRMJ|3m7+&5^O=%HbiNcSxN&9?ag_ zII%@CtjQ$O;N?C0P^a*ErNvdiofs`HxD9Q*!i zloif8B4MC^D&W@Y!$G361@^oRbe=LNxK1hK@CVl^Z1n{}6|r)R;#~*pWvO_BZpyM< zr@e-^s=zrkb z#-DXtf?7$`>J_Hm-6Zy4L6g^h+Zpn9rrVSxT}BGepZ0%b^M-B~6lP(c{T2tDw0~1QjiOi@kSl@@k5;97_K*`C?R^hq1#(F~M`UG}`ygyZjR8 zC$d;W!EJcREJpao)gn^+w!Aq!%Qk3s*GY{dlbeUm9;H4pXoSSAo8pVd?DG{QbnJe` zcDOltnHEd;_6f>lmj~m;{pSmBIdn8YQ=IFy-juqeR@F}x$sDIOPS|tDgZFY>hEi&C zr!(=)CBjD&WIau_Qd&gZj6ajw@4CO3(r|cz`~l+_-C=j0v2!WavK`f*#XPr|w6D;} zWG@pM?XordO86Jy7b7-=O(~2xu|;%dbn@-TGj?TtlstLZCW)P~_LT68HMffIjM{u$ zWTBm8)(N7bb>ro!R7+Lu8{UxymF9p`_sly%CC^;Z$VZ*VV zu`BbQ@YpfZb~fk6nu}i-SyD<^{9#sb!2IB<$dBo+v6Fn}*#$o_Nje>6T&AoqMMYZf zNeNrYsaOf@@L##u(^yiz<@DXCX*SDNybq3_KJ|F=+DWf<&J&VOOO1Jdn02{E zFk)|(!lTH}x$UD2w|OjEZ~tKFGO0a_kD6|X`zoZq6rFp-@Mh?j3;V{WUQB+-4w_M2 zbY6)(a&Bqa`K>2UPL-H7{bNA(^bsK|W+>T)y>Ob@N`5;fSN&9#bCqAdu)0Zn)A`oo z$7!##Kg}(gM-&)(@-8~nZ*lpK^E$f4=2Bwj^AF5fFtd|9FaJT#GCB6-I-R4BU1rvq zynK1k@+P8uxog9^eD2Ja9d@|+H;|EVUY4$xA>Ex ziVqacEJxm-J|?#5_{(EEtNfHKhi1>dss6>jP&P}}Ur%L?i843*IMXBaf-naW9&tG) zeb;)6$Y{mPDic(LdM?|;FXdR(=ZWvmN!u7P-wSy!eo`vmU9>3txPR*vJ*D<=hc1eT!=%f+a7}{EZr23!x`#J!PPSIkbCJ$XPcQxY z_27KZ{Ute5mKzOSfA52Q+M{4n?b1l5#$0>_fGf z#lvnLk+Yh5c=_y80!7&eTwR~Bne%iOx3aG3M*2q(CXV zm+p(-5MMc_ZK5kk=_q$l>C633$E4cljO64#|FY?VrQiX>_S5!5L*{JTWl{b5x&K|m zBeX@V%n^!p702{OHG2kqIOski`%d{j-xn)uT@r!|c4;=WcCWI^=_VWH1>8wDzg#|3 z^k7WP;g%z%M^CS>4_WcB%&V*5b?00X{nQ0Q2YGwEFZ1D2v5<=8<+AGcG`{X|>WoAV zc}we#CtEYcTu#5Wm5Z$OPQ9*&zi>b9VV!l^wu|V+GYzXmZgmY8Nw+4BzS6Q*CGz}^ zU7zl}4_$hk_}V_NVt(@B85bYFzb&`%^d$E{?v>?RM&8`K;=&pU+H{Xcd70}r)OeJs zOy8{{uf9@vG{Ia!U23oG$kO#0^FvY-o+q7{w0!IOBK5;FoKKW*irUxkE@adh;~}`{ zDl+-tA%?~?17nwJS(f$-rRJ=pHF68Q1#FfZhiOW(8FKIIr8`a?di&07!=-A0l?S&4 zOb{ zt~R$yFuA;<(_99L)t(hwc(*j%!sIhqQ|-L%d8Ox{*PJ^~X!|7LW3^4Mt##QbHP4D> z!<^7vqRbciFRhc14#Sn#z1pqSj-GgCT)k0p&oQ~{V~KM92dn4LupQ4Sda`9!{f14! zrH`HVR^Mt}yG&ph(KQs$(N z;M@gxxAnyahK*h6I@i|+iWRmDSyEHoda_|d05>Z!a-CXRSw7chtk8tbWA?w zZDTPjQCN`v#30VW21~vPnu7rQW=$x-Maa`d&iFcvY^Q%c` zC!f|yX|&&x>1k=1H$^m1Ya(&7<9zp4mG_o`;R2lpT7y#MBhcriI<^ zEVwo74M+P@M)Ug2!_&1QCuvmf%}f&&*Tv-v?JBH%%vxt19%<@ByJVEEGfZ;o(wdaz z!9GW6M_B9M$&?NCcMO?%zNT^Sez}c-Z4YH7mUW>^Pj3HQt`TUoD@HJ2n7HZ2GgH5u zzf(E#w6R^s#qE_DIzz0cj>=Yl>NCRU;JV^={0)f!8>MqI%-^h=;T%S~_vXXet6vS4 zY>(gTBDrUkXpUCT(LXTDqyMOp)WvE$b zHllTU;UwgGyXn)!3zU1FABBe~?LDA^lMa+WscIFfZIr&)w2U@9BIM-!^AjE~-*$yQ z_sd0+!|p`sDPI;=TZFYRwk(QS4@W=eWU*|F!I)D9kd>iIms zWp9#?FCGkuW~=OydxZzyHK~~+~q@?OMU6r<5vy~ zU3F2p1$S2EnUiPYg2ETNq4q8oSN7gL`exSFb#bhyOOj`w)QwGC_Ach_w3gdnbJLb+ zxNV(LKX3Pn>iicQ#lx1@;hjDzrA+0@ZkhYsHRQ?tkh!W$34U<7tNKd7>oozysSki!sZKU{P3|R_r84`Al7Elw34<-icK*)K;mJ zlRbl8XN9^Tk(0>ArTHH%E0^WxxI3nG#dl@-WZk!$+FbOt@(VdK$gMunDx~S z&o`eE&w9S8T{&r7?wyyR7i+erPqB!7=3o4k8x!F$(qo-sXkXm!`jl;doxkt2HT%8gEUoV(d zg{Q3-w^&#)pXU8>GdXIX_p27u8I~8%0@G}jt~5MKphTT{E{ zEOakUE3tfh!Ps{U*5|I(uLW#LT}x`y;?5=PUwFa@=hw0eR+41KhoY*>rjr*m?VM{? zyYl?_8EL07M03r{eGGWCgb!j9|bRmOL zDQGCZh*_B}B~r9&nB3*OXQvA2K5v`$bdTQJ-a6vT%25SXqVd&@Vvl|I4_kikQ0Y^GwBLbM?kb&QV}yNPH;-xfGRJq?l!kjanevri zB3q)K1nU?&WIq_vlqBgXy(a0UsQj>+rF%y=GlVYX?@nKbTYW0zWhik;_H09f_qh+6I)uD4APc$s^y*#~jn)Cvv(KF{Q9sYHr)KIO@!yShczkEDVS=9Pfb^A5@li0*6-`B*em+D2|sJ?)6V!Pe8@*EgnY+hDpU z_x^*CpN*V0I+@qo#h#T*ovdEI)$h#_y@yxkKT?=BG|dkjaSDioCj+*l><>4a3 zoCURVuDgt<@7Nvaym0v{E8L9h`qWtMvNMZ@$P789n^`hh{<-%Jbcw;RQBu`=E}u7; zw)F9{cSQ?@q7#Ok>UK`m*{$h)Py6(qrBj@=qMLWG9X5V-nD$CH1MLfUFP~khSv&ic z=Z4suAJ0n)~N*3&R_+^^S4IlzE8Bt52(E>8M-TB@t_nQKvGOMg`x#qQ7t9!yP^iBSPb= z-3w0Jf3$Mk(@N10Q+U^~Bes3K#s}uQaWZP_CI^ZeoK+W!wOij=5spl1+!bi`=1kjR znk{QG^n>n~mN4SjTR`&i+JSDY9#x&*|@vDMGDUvq;- z&T=VURp!w!`uvAey63#~7Z!9i&+#i9c^=0~-w`!h==ELeQ?K`J9W~8)a>;FNW0##; z>NBSzvpAzpY?+82KX)@{g6zGP*A{E07sUwaT)f?MULyH|bd%HAB}-DhtG3*$U_4l? z>~f-EPn@nSlGCh6W7{GNmeYtQS2}$@TU=~cJcoST{783~<>9F*OBXJedt~hQgb-j9 zf3a)B2-@A@YKiXqMU+n&UalTCv*fLC(Af3WrkQs?6ehrN-+Zf%{LB+=ImdG3su%Aj zN_TIx45&QeKvr>CHB(%7zem^EEmAv>CF3Fq9#!{`6qV+UtRIm-Eb;zObe80>>#G#5 zy1YJrL^<1fg64C@UGGF}%#SXEZU3|A$Rxighn;$%)mI<4wEsV>y<@EC&=$74Y}>Z& zy=;4}y=>dIZQHhO+qP}v+vnVqdw<{LYucu5GG}HwlXi@^?|3Tn3Mrjp(R8({m#!R) zg>rw6QSZt7N9q`;-ClJOi2%4Wb^|jQViN@51p7MUGlk52V`Uw(G;#l3D3M1@x@Qzsel1m8Z&#Ha)bH%n#`VH`O zy46~(5~8DD*^=Y74?gjv$=cW=h=TL*=2^Sluq-{8#ch9q2O9%`mCW0C%FJGWDFZq3 z9C+f!{r>XE$79vOk%Is^7V1ZN$t0vV-F8?vCPSp@0sA=;NEg$1O~TmJg}-1(py0T( z87$^DU?E5_vYgvbFsB4}?LRTMr}`&57;`fM<)#KoF*%Pk25EizgmmaWLX8;`c@vt* zX%gWo^I&Q`Lu0OD^?qgqLn%vox-=7sM5AE_->qdh`UKI~`0O$#X&+PTpnu|i5m>qo z4)Rrrg?zA|8<=QfGF4Y*6q@ok2s;ZyG)|%$AVhM97p0bJ;4gGnwz)#QCrVf_9c?*f z@QiRUfn^c*y?jmzwj0x2nfPi$yr4N`rUIck{}5M#0asQ;%EB_Qs8Z1Dx2LN)1tVw7f;;xw5}@)jreV8HuDd;S z#P+(X{AHcmXn}5pqB!Zdoj9`^l7XZ^prtZ%NP+f}My}os5^b;1P9d=Cce0J|3qeBU zY0h(h3>F*amhx4!AR<==6h6&_e-z)8RGHd6X7V|}J|W#p^8QQ~&O#_1_@M9Z!icWz zIbw3&JImQ8B;9wyC`zdflR$tUv_rpq!$I@}r~r*(3)x9jh=P=Z=;wYH8jX5Pk@n9# zmLkW^bZ3JIQH`(pLVLu|4Dfz}KN@0{*)pdpiXCWn59hUAX0Q5)jixIg*vZi+_;ejj zh_Y7?qFMfx02?5-9upV)>uucWLK-v42_U^bml5>EQ(j_|a4XYF^E^6B-6Z@yofFJs zM@QOkeggQM zy`b4cV2;|eA+LA%ogMFiH)Nf+WZ4aR``6P7v-YC)ir{Rw-`g4bq%4E+VNS(leioLm zoiAYPll;xvas7B096m5R|MvJbBS;UHmWae%7ADQ4J90MSnB4cRt^P0 zRJchlQ_Zbx|DCHLg|5#dZ@k+2MRGd#PYOw9sM0_p)6&dX2SHjeSdW`~hlITqpe~)K zRW&!f`8A0@hPVXn<2k+Y>9LEx^@RdM=pabLgTsfgZ+RiW_uCn-jCfv>G*CcL z?F?G06I z1E8bF?pR=4jPbYt-0N4g7r=nPwVky*NSG>C9#g9~HY%_qyc{)hMQz9iCxB56JYyA{ zRho_LKZ$*F7xZ7ms}mbw_IIwXUbSa%B!OYdwCNQT;rbfum(F}4y^*@swzK!piQB;q zrP9HZW7|5;2(xnmNnUXzJ|Z3MlS;5hf<8N#P_O&m!3=S0v%oBP!r7A$UxyD7;2B|h zu53Kwj%BR**VBbL4g_w(-N9Y17Njgee!|%=WWmgr_5;~mh$E%N+?qp;yb^HzHa&KJ zzQ-P0wpr<5{di+At&C6#!8|MPduv{##~95MDPawHAXiV>Rfy0~a0;TTg7WT56sHgE z^o_5U;kJxs1uiXTiMkV*7*~O*Ya!EZDD&H8TQcy<#g02`dsy)}uxBZNNd&S_5Ew5v zDW_b{hhWni+Kemf&SPqoTFSVzea|jhGJ0fWWxm)sR8D^*%MNQlrdjFO4RvLzj$mcp zKVBuf0pJg+#gw=@A69AVPnFezSiYGAEED_f!kYyXv4l^%%dDRjLxEYG5i%R4 zO~ZE9_Co>$)4gv_er$*Ulf}udBD@!&6CK*(oWV<1HW%i~iK7~f_xuHo^LEL~4nR=% z*EM=N^DPMS44gVu7?}Bagsh=25Y0rA7PhEbO!#xba&TNXP?-1F^1Wsf2LCDQXk%de z+FTq)--ThtWnnwJD-z`1z_$Ywq|Q&hrj`9=U{hDF+LmH00rod_5?8amT-&tsdRW=3ht$e+^%FHFJH~~fVrflOZX)ip6M&eQ{~RIv zvOgP~O;J8W747RSZ(Y6ue}LK?(sf6P%BBf!%$i0sejDlLQRt!x(>-kdz=&jmM^&ZVJ69Wkc2<(sLJ_%G%74TjOuh)=6=~5 zky3>$0EyH-7EzUGzJ4LBxBOtcy%n`OY9*FuN=2tJy|`TQaJEj1hC!RUYvIt5JZy}4 zCN)>}ZUIlkDNTjyIv$#o-QI4_d_q4a%MJN%58L3vu zqiJz$(C8{lfIST_wt@90bzgl#*W$*oCA=5hkAF6};{!jrGDq@@ozh`E?5JPvYssiN zCV%!v2bNFin7C&qq|jt38tUT$b(82F(!g-jWl>(!*dlD7!6$H#85M0B--Kx+u0PzZV!LS6x&R@`cxcKyOX}W? zBiA}}VJ5aEiG5Yw`~B5|areqwpI3okuH?#UyIi;Q#5v!<+6pw7Jw9kPi<&3${R(gwWHe{ppt+m=YNy&US1Tv)57m#(jBtuTsQ${NMn(41ga4Lwq+U8B+Wu^W2raS(GN_Fm2+ppSlf0u+eTbM3MZ4jDD6mB=nGP z!YSXBrCJR3FGU)w9JcEMCKRJe>AM$BW^SX&Bxtl9KD)rto=?5P3?SVTd~4j7=>hrmNOU@e zL-25ptwX#Q z^Uk<>;*G5R_2#@R1wa{>O|@?tD~|QNn?RURSyWlrv8|xUM*Zn>p^o}gS+IBgwHfuH zFh~P+S`_nf~h%_-@juMOkInpThMd0p)N#1Y7RfyWv<+uhzZ! zzG1bpkqN57q~3hLdIuNfzVmJP8y1 z$(pz6RDbjLu$}@#@fUPOIpfn0MloUN>!h+ z+f#%ImJ}|E#TK>iFC7|Ypi1tAh-Sg)bbRmL^z?Zg(%LB@U4O0sy9}TC6W1f#^>L6O z_TRQYfTn@Fh7q?cH*mKn!sOY9NLZWk|CGpDKhe? z?fl%te|>|n3|P(4B*KY}W#Z6@cB}uEZuZfE@UMa)qfzY6?!W^f35csPIk`d3%W;d1 zH0=d!JR`hSYCM!B3e~Eb+PE&vVt6X3bgCX6fN+0|{bE3Q^9c6e4*fy1Hm;m8qdE?V z4jdW=+`dTr=jy*XD#FRXf{oWg0U(nqvfFe|Pd@UQ(&M(TRyRu~>CBq6y5LR!{_Fb#Xk^H)409?&PHX{%bq86K68iAlQ(Bp^%-ysSDENm zGZO=|0yy^zC3J-Bauof?qu+O%0-_J%H7s5UpBF5C~{==#E45_7k_7iD(eZbd>HZ z4B5In@DR{S+MF2#ew)-e#mE#h6{dT?>DPm`ts5Rn;zyL$$=a%zo&(g_ZBkygMSu`i zU&}I0l5{_A&%4(o>s@p{Zs`G$L-f|(Qf!kGNsZv#3fSl0&!T4WHcwiCE0G=PPG6&{ zQ$x|M4HEj*U=Tox@9}=7P~ilnE7O&E+`w)nUJ$i?yTg~fpN-6y8@220Dxby5i*jF^ zv!CtHfscS+*3g&B+3PjGKKZ9dJ;U$PugLK@%mRQ9?Q?ty;9>V!3qik4CL(D@OXvUU zl~2FwBxlHa|B;z;Mf-q)bPH2}?_u2m{b6njH~k{$qIIfutu*T(5rR1zQA>H;DJ){v zYoHMHYg;LzN&@NNK>_yf=SQ$nNOzpEJg0NZhaK^byOPJi8gCH;pl z#ijK>vS1;Vtfzb3J*WMv53vcrz~FZEk2*w(mLy7gPo*If#Jij3j=8`8Gq&d_>-cp+ zO@y}5ZY-whUtY3eCDiuY40I|^F6M`k&K)K%pa&jt{WOH*JM2Fh+~#TaDC5MDXsGuz z285oE9=jS&wEv2PJ@i=n2XO0Bkpe1SG z`qWi0ue^6_%GRL#=19RI5?h>1CE^&*AUzN_&;$B&HUR6o!&wuEC+#DO9kh>W!m({F48|_ zOO;W-5UNz#!o{36_nits*|n`wRgW6|8bm5?)L2#bW`Z1h`aBXLnD(q{(Xln1Wr$)v z_yrPQ7d1g28{lt*tC+@nsQ!zJ@pk&SWGo+pbtcw9M$)ojasxh%H5mS|e9MzLM8hrU zE$y}^{or`Ndh;|5e)=Q*#H;L4qpuTP@QG_snxyQI4^mGo zDE$QDu3O-=RE?uapK(a>4xM?B3jsqA0bjzbKBuBWLuC?q@iLmO0FfJ|d_f=bFsz`2Kc4 zOm#^1l3fT=u~D%CMp^AY^CT(OIblGZrOm+n=EBv0>N^IXQu=dUdy2TSZ4E{Og9=10DADLdG z_qsC;qApw_mX7)~hsIG&EShAFz=?yGm%nyK1oTNC${lX_0OT=} zWW9$l$^X^&0q-2%X94~tbiyexH<|7sxjd*5;hu*3_0Ej&6gDeCg!*)CF$mpt5_&@d zULKD>e(AV+M&c8zwQHjWh9*z|fsNc6%=K^A($`bdsv5{f|8x_7O*I@LdtcDq&>TX+ zZZ}xaLfwy3sx3(EFaXE_Inewq1iwCFi}7ZMGM*EG(x!e&)=8eSsKdfesr6H+9h}7J zhjL~}e2eGI{nFFSR~l-mb+d^Nn+h!b*Li+1MDqkEwxbZ z=`PVEfpj#$V*4g9{qJ5#N!f`VUNQ;O2(l%T5)2Zg<@EqRvgX|)jOrqKl8B)C8AqgF z9BFHkmoUYbiGNQn!56o_@JV9WGhR3q0pnZP;CBJHd#nQ{S)S*GN{=e5|}~@J<2(SZN#ohsNH66_ke{gHWD+JZKw@uzM zp~mGKUA`D!`=#}V=%9Pd%-oL&B~~r<6Gm5W_G?&b9}y3?3t%`9$<`SD=@|^SenfSw zc&4NjgyK^K%2YF;QY1E~pNyGFyyvKn3}RK$KNY zxl~nV9?Hzi1V1v_u{+E>s&qA@p{5#7FkzGYsySBcPXcWY;hxz5KvQUn?&KUr$Fb{K zieUl#-Wo>U;_7paQ`gy5dfFsI@H93xuGe+1?{+9kcUHcvkL3bGJWS$%uE$1%$Y(dv z1a1p08Qji)@1I@rxi!H5T3>tkdqkah=M-g2F}vvT_z;o`zz~u}7 k z;%YGb5w(20p+7TLU4@#x}NjN1;w`xA~9q)vVS8)cCUQyY!B+^=LrJ&S~=_8J%^JKoJrkr(vt_$>QC#bc#uUVP= zCoUACW`8fqNlUMaJ|VORMC4lY6X-;B zTF_xA(nKaf`8($0*gbYm3oTqA>xo`1lf5SQv`_vux5FSg9xyNBrniukwUW9IAhM<% z+II5-H64Qi_(~pW&bb?Pd&iQJnxovFm&vXZ-ZQ!vBE@>UHVcV*gA2l8_fCHhpGR2= zu{VL`n^oQNV@X$>rJz)QBN31jMk2hzsDcztyXB~UY?Zp_DLo2Z`r9&=4=iuVaYe99 z`Y%Ej+{S8BePwXIzJqWYY)|*T58bhXO=@dD()aXT40gb;fj71_C?|dH`YGCa=4G-{ zJDwH3fFYCxZk`&zlAW>$*)iCYS>Lyvb%?sV zCsNM{7>r%})1w|}Ph#{s3Ug)F{*?B)LBE+K-_(1xWERYb>7;;AvUKI?RGR~2s@6zS z(r4CLtAbB{1pN;tsVnv1BcPjZiNism+@D(Ony$gv@X`s1gdu{7VWB75G_XePdWvGV z_oQxLMZI9o(WSzV`~^bF_Oy<=upKs^P16LL^Z-2DDiI}oJb6T^E`M|w9M8wq5gReF zkt0Hd)`$#zh6%<)Y7688$}ku$-k@_1_Sloq@21?pL`YlaaK1!vL)p%EchE-bB8^U}X=X|ezg0A~e+A`q#S}@A|#*d{_ zpL9JoHh}3~tM*UvADOPWNPlQ(&ctTsSPa!$vTP0FVM3z4de>zUfIrGc(`>7{U_|lc z>5=r5Tdx=ivXld?DSU#J%C8fZY?xKqVHrFewse_QCK0s=LeZ2XP&lI{2P;23b|(dJ z?Hk*JhSbEjAz7<}wSuysg8B*klTV{r! zw#s4t!pMGbSlF!8jH>Ziuqr6&3QWtFV3+K%PGnQQcYS>SO}Ux9NJ-ZuYy=>mJm=BGroIf6u~{cW9V6(yr%0}@bX#g-T3t`&TXm$zkvOg&@B^s z2J^FD)TGa1>%HY$th7`KWh(+hLO5=}u=BZAKV2&;l9V5+=)cB(sl@#C!t!K;gs{Fl zq_Ayws|u$soj^AX+C}~$p#Z#q5Q&LNu}+x+Uu(YQQzVw3Jyi!T*jz=~W1-usy-u*H zsY1*F?PcGktw9PJr6T|^1EK<-YfLMdh8<(`-W^4>6b2>V$t)M9XT69zwsI`1T&TG} z!<-`o30xU_qub2UU;t^PY)APg=(K+4`>FL*Fw!6k~+onD#QUCz|8-Mx<@|G6$>{V4521z)?@<4!d z4tDQPH3I%m+Q?xm=-fJnQQ3bZCIE;Me}ez#2mk;&lm^7_eC$vl{V4Ci0b$bBbzpELml;4%AZGv=;zEWJHVnz@@jNrSe?W21 zk^w}oU|{myE(o%0*s-J9#lMmP*@^X+qUP5h%766Xxi?3(jt=Qg~5@rI2mD%4oc;HEBUyjWG zF8p7F!z8i(7Y;LUfabqWoBOG}FuqRGlu~&o< zU|CQP)TxXwFQ*5QYDfzbL{%`vu7|8@jD7~1j)p)Y#YsOU-h}!&dTO*#5ExFj@n_E- zN-S_{GlW(IH!^TxJ13b)brI)jJp{4W7bj%otZY9svN-}H+J3Mi6tU!qav0NZ0*@k}AUV*rOxVX{DI z$=QKs0z~mm1>|=JYsO=si%3e!WV8{XCC35L5VIxg?|$;b;}7Zhd&C0pDJKni1zM#60^ZiQX3DE9iwhrzk_Lx5^@An;KPW1VvbNr~apnvXG39>4Od?&o1{a>X)@NJ3wDNpj$O+!EM&ZJV zzuOI|kt|#CCP+ZsBroKYG%PL0f|k-bNQYDd?aTHq&;);cV3ai!FwBJ(DMylUnXns6 z=>E`h7)ZprQ>yUY|BnQ-20rKr@Q&gqT{f`b>Cl`KH%SR`dW7vh zn283T4GLt_sF;78=0Parp?VQ#eRfsID$1hr zu8NilZlY^>5VVtxT9X>u&mMcNq@Tps@RJ7MCPdz|FAO=!gDxh!dRrQRTCN}Iejzo` zokJP+W5rh73%Bi%M&^maw_W6$NQqWPqyD!tBj4YKL! zmF2(j2cXvsQBl@ZD|PEOY;F`ujC*7T$>~cfIkT)9a58b#gl9XEX}sYUzc|3gkFXDs zhp=uDaD^xuTU^lpJ1?nv8G{M8U6a3w49v$bIBz!vl6aFkzJ7WX+^X?jn?K*Af=1bnN0`yak8b|1suk{{6<4R({?X30gLiz{_Xx{t&j?CxAvf zz8=Fwung?_rS+iO6__n!QHvZW5kP!2w8VuqSg(^Mqt!WHYZ8|ujS4-3NMHi=5BJ+- z(GGR^eDMYiIHce;Tm3~BLfA*_tfEPzyP(zRemU~v;+YS+87b%P5WHODKTGoGr~G_dyTi%BrT&mOe2E5CwX{&L8{v4q>%6ncbvldrUh{e{R(4!N# z*2?gbX-ynP?i(2w{_^O?TRpn8kIaTc*KJi4VT%VC6*afLes!u68m(UG`dIYe}j%>gu#ayeh$XT&{hIWe7L6 zp{K7U6Fm)i;Gs~h3Fy~wG8HQ=@&;K``>86D2Qf~S_Wu;0x@n(bfV+r(4Xv)yCJ#vh zS++4jc*+~%-M?GFAwU2|vwwrJDdx?#71@!n8<`qv7*bR5b90aT2e)Mc?zpR=yFvM-Ry$k8H3of%s*XWsRjce{xr(jPDy~%@=nTw zqRf3i~Ka4n_M+?c0y^UD^ZCG z*mm>8*22Da0J^?INhg}ze=Ou%J-QjelBg)%%a>?iH#hVy(3$ujMJ5LD?s^VBp(0Qj z))+9|V7JtK15=L+E(xVvfSe$CO z=xg9nCBZ_(YPb)Y6XD!xNo#?%-Gb~K-qXz7b0w+W!m|KI&ty;y+4Nc-=4>?F9#iAv zD#|bUlM0w;w3~;d$icC=s+eTYL`x7{=BQkh5PbYSks%?jSHJb>JSC4mmw1jNEwTB-Kuvuv z#9=N!r+?@)vsR35&*zuWbdGO^a=tgg?L0nLm+3y;2vq3hQ9)m{& zg03i3{!NGGbVANm71UxlQ34=pR1eLu8}aN&WMC$qOYGmx$45x{nA&xfs~!x4)$LO8 z-uu|-q?#AC=O4SMJB0HNr@WE!Y`l*9`DSWT=*teQkl7!Y=3AD_%^bQofg_N zV$k$41isA{igjMN0(Sp!a*mKEr#3Y7>SR%A3G(Y+gh+#%B!7bz-zMroW-EGAog!_s zh_ogru4?d|tf#6SZwr0C=&iphahR7p)TBmzfvBSdaAF%FOl_27#Vgs2n-~n@u}p%W zYx=te1yruTUOM5P%(+kK7AuXAwXiJI9YX$IK)=K!%O4v%Y>naZcuMetqs)BeHWQw) z%z-ERmgPk3slPHDI1Vrl0|^AI#@qBf&0=|p;fc*_Z&|%J6{=8VdQ9mM~&P3-B93kQz|E))9l3!I$HbVRlPi9qo}g`^WkGqhZGGQ ztEhAB5_qSP$vD*D(*W_~c3mH`^la@O0$HDihA@KffmNdZ)yohFQBl9Ab1t+tCFy3% zFZr&koTS8Zg265P+$sjX^6y}gHUpt9@!?K=5m8Lsr^5Vs31D@`FX-`r^k5YOkjAeY zRgYg^|8tD3E_a64KR#>}U?2u#jd|S!NGR~{Js>WYw3sGiNJIewjS9X`Hu4`RKzxfE zOpEQ=Og5RK*ML%SiD7uAqFL%zraVVx(@cmmA?ulbmS1ERJqAViRY>fYtjY}eW%1zk zH5DIRr~aFhD36AQILNOK^U)B5i#>v|sM`RRCT*E7h=Oj0DuQWHYFduk6l(Q42~+;B z_gH6&F~_%f$-`MZ5>A1Fct|)aeIyCC9x_OB8Y>AqF9J^p^G6~QyeGhwIe_Crb_Jck z@!(gQtjBE zjt}_X1{e~cUwsZJ7wU?mRD08&pi9`W*TQzr{~`i+Tu*~un!t{_n|j1{sgEN z@{96@QK=tZUN&kA#mk_Nz4ZCl&axyP*I~GzJq6!2PM^^z<^xnV>tmQVe@|zzsC8K4 z1zk<^IpnVW`S>*EJT1z<`6EflQSn2d;X?J}&~|^zzNMD-E7OP)fB12n+%D>2tP%|$ zhtZHQFu&V)YS*I+{e7oNI~%B+Dygn*)5Qp>%6Z><)YAa-$MgVJ03QGTEMY-|6Zh>k z4yG~Hjt6^dzJzbGFk)dpqlGe)4{*L>jmvv~+)0F04)MlSg1y1r(CniveX1sK*#r(W8KfxUh(4@LmI^7mnyk23c?M z%V(+oH$Li_Nqw2+n_@WpbxI0UKYRj>SC4(EB z&DwLhA1HP4ere-!#JRz>tP>e1?;B!UEfiNxZIbHL;Egf>gyrFlrq}Jf;p3~UR0hDs zuJGXucj^PpKgz{^xvJ9XHx8JV8HOZh*8T-!9Xv7p?SQ$Q8*H~+=oz+B*6YF5C|t~f z<;Kd)(Zw0ljs}iX8KD2#w?vBKZlYu_2QV^0rj*c^`c0lW8dSa|LwUK8_bev8uTe5> zvYlNMPpObPpWeXf+u!v2l<1Tg?3~m`MGzi<5SNsc1D+)UPg#t;6}#$3md>q(vEb_N zuHZj>-#I)7tLCne7Jp+j_daf90qlh!;eU)HcB#MWIpih%k#(3sD;GWF!Pdbf=Px>5 z_8*b285Fa9X-i!>V*!^VL|WiP?(QS1N5*UY4j;xYxPV1JGXmM+&?}}bG zuh}yRV^hkgbz>-C4h`3uB^~C6o3m)P?-Bs+(CO2D%=YKZermGIZE4tsu`a?Utr{cm@S#zuWYC?nXd6)KY*ZstNN zEIQjh4C!I)qM#OorqYsPpe(eYv-J5mIOACQfEx_A^tanzygBss+^%I^x{lpsmQDEA z8TAFAQxll&e3Op(To8B^C};!fTyGGgMKMH#;Dtr)qZjsxj3F*nu))sPOBPQtJ@fK$ z$cNVWxPK#^_JbqjdBHa`cPx$hx%JgIxkiZCNY5G+59lm2q`^-zTe-}S|CS$KbYkc#)!5hX(DGIY$EqLGc`#IzvQO<=aA@94L=ba3A6=T(X4M*sJreFh0c?Vy2%HuTQ>i{ zwOL_R_iYWmruHd19_hew2K)Fgt6bd7Q) zkrb^d5aF{HHhi(XIbM7RqhwoE`yA)a_D;!Fmc0P0l!cc_{$|a8rb`Z~B^cnLXAN9v zX1hZ6OVEYG35XfTuT_E#>xvHq$5*;bPH-$Q6c6nX9#_r{{q;@E%#uNRq7~zAR_=Lr zUJ~|qvyyUf?8HVMf)&%!6e2($E4)^$qOZ5Hm$M&tKoW_h(uEYIx?*h!!?u{PSaJ#{ z8n8)zCBqJxSEHVuYuo90KO|@F&IZ8k!_F8| z?PNiM8yfucaMu}^XE8HK_V=WR@*Q%|c_y#)kc(F`gk#9F|tL94$v5{De6tG}z!i=OJ zV6n3~bx9gUa_C;@8uE<2ZM@GG@2u2pRuSqLNS62d;T@7=S|LaKjB@IX``HMtu899g zG_(ImG`|2|naU66RqVo{AK)3~;6SLQkWFZgtWJsnic$5~Ocy9KJJ0G7>djXb>IK;} z2NvzE?8My}6%uaBC7tlbC`mUNaEMpF{u_5X)5pKQW{4oPSY3eFFiJGfB<9)M>0sL0 z(z_jpoT!L9qz=vQQyaYg{5<#1M%J`ew({u{!YVsKd^LSdm2k4gZ5H<+Er5YxLrz)r zHW>YMiZb#l&qr>5b+!}zQ_L1`_)lKefOlp!uFqF$mnJ{lqJ1iPFnGJ0TA`_&)vFlC zSwyDOx#r4W2n(>lp6;_)2&%!1Lne(<)m;ZGgGh!|16u@RU|unFduiGO-b z=<=t&aMQz1fB)j$^!7T5_;dC;g6OH}C(VSO zmBV}0Gk-I1eiYQa8S@&p)rp@vN0+<`)y+Z|D zcpX2i#%AZ8{1+2nl;GPc$9-|3W#yR@OQUb=QIQ+NkMQNkdL8#PW`GLDQ4iJ(`*Bx< zu#I3te9V#jg4YByx2rAC&ztPAu)%l|3z4+6Aq5q?e3)tqnl0U3!H#V|Y5`neQ%9q^ z=kueg{W4N$WCdpMqD2Kk18TOT>Qnw{_weWIt?JfE~aK}_3 z4XdWqN%NaG@ds?sPPC3z%tc5*jXA+Wc>!w~_0D~4Kw>~!6LpE8tq9_KYxzzU`->_x?9ggAAl%ud3aLn zy+w`t%QZa-6wiPxtmiy#8w*k0YoK{UskOjtqeY((2(ZT-Vw}`)N$9LCn3wBOtvNqW zh2g*~K>G>)Xm{U-ZbhutM=0S&X;aW|z#QagWV?O43qQI!?FZBk^}3>Hl8kMf4=#WKIi ztIA{*Oa_1Mev=#&PJiQT1PQUZs?&9`7Y$+=Dnpd`z!d5s8==YojRkLaiqLjeLP5X} zs&)4vknjsBhPp&-S~QM%(MkqxzvKM+mCu<%n{9_-TGr;d5YigaFEs4}HOe zD+TS;YeX~AyT>*V=veu|3U;5F;h2fyaOFLq2n7%`nyRF1io$qm5-7ZkuB!xuzyaX& zNf>wQ45S2=>%@*#XQk>@&jb-U;lo$fue$J>=?Gl6(A||si9p4)nva0SBEqt`4L~sp z1R1uz-`yISCvkQ#CsAieF4G+q^NsII66ky~Qx`)X^6M&UZv0r3{jaDAbFIf+s}X_~`jy!B z)`MF=J`iwCo4Ov0*kg=s5*1`o9RP+Hraf2#Lmq=OR8-IFMl}S=^+dw`j(Ady(4EIj z6UfJjiop_}=}6;-p%|MnKVydpDMHI&OjHIv--fhKjJ)}q19&yF&y+BE6{Cfh*sC}H z41dn#4+yr<-RKjw*;zt@cqWCF%@_i59-S^T@%ppnINHQhNXliQ2NwkIlRnroEz5(g1 z^WV$-!AhJ3#aLdQa2wq%kq&GxOdfq+9t^F$ErI>hqxFxD(L%E7U-E~^>2b@J2|dri zqpy&JT{^H|j1xB71iDJ4q%52Ko6<5o-5yGxU7 z@>H8U`$^6VVa{KO4W)O#MAzIi!+E`}X=b7xCXD+twJzT5D^ZSWs~{cx`Z6=Ei0^eo zkr{0co`LnUY?}Mhpi_3(#u>}yd3a)quRWTFNCv<62|^D-b5&+U*vMZvKCx{)Set?F z(64YgcZegZjZg8~Gu zaJ=J0C6a@JoG9MLo${qH>Z>g9CALbdMXn@ML$BmTgT2`rdLi8Q`ez4mi}TA@_;!l$ z*I{j%@qGqpyEXx^mz|jkmdIKC_$kG}JC^EXQkez`ueaQ~{Yw1HHb~KcvBhZdQ^Tl6 z9gCiul3Gyzo8%#p^Kg6P#Ya8IBOvwpj*@D0)tLH4$O{go#ekf_(y6CBV{hdT@~Xq_ zP3({h!(*^4NgW3^hXX`Nl9}C z&(2pCg3R&fUgJ4K(Ed7_pZiZ}cQO@wawR+}<2O*Y(HVbc79PVF+KxE1ni*ZuMpAIK z(Lo%9B%R3+t+4he6nS1yRhVKH&y?&Ia{H1r2!Do62?KBvM8mI0v3Ev3Ev{h<`P|sSj`R0R>KY#!xF$V z8CO6C(MM?AdFB8h2~=G@5)A#j<{H?hKpt^LlkiPeTp%PyZl!Z4^b*TE0TtvOkZkq~ zatf47Gvjw95>mJ(PPMwAuP0Kx$uWZat&v8t21VcG_6dX^y3{D)H4fcV{;+j{{$Far zLnJ!0g0=ZWHl)O<)ndciv_3KKhKLN*1I-|CJR48&)Y+*7zHsN++jX6r89!lra7*O8 zHQ6E>hzl$CQiVlM&!{B>umwKRaj1xU_ zJRE5QAF!C+X!h}I78wIn?&KIGzvfa?R+AQVz}~^D65u$v(S0kieMzA^sZ(6Bu3>vG zLdY1{(Gyjd1PI(K0LrkHmW<1iPxS|Ah&AH;Io}@(pf<*bZ!o{@7#eWN(Eh6I4T`^i zG7~X&tsQ#99`k|Je%OIvny`Q!BUMr1{3f3JC71!X#{7*Y2!5w%ckHbL?-plX+ z#BnqP*8!|0QqcJeomES4ipD>!)aw;?MxLu8divV_tlA|B_wGpB6{?ZRPuR*Q1V z+3!uoW>*nR#MFhuhQh8HY2Kqo#1Wl2#+)O=D@u2Hd-tGMfyHBypOh_Cqw-?_a;cdV z{5eGNvmc@yE13#k8tM!383}O>)+nadFQccFoIRnQu-=c?Kd|0T*pMJzGUVac@gLV* z+{VakF-XqnPvtG{z$RejPWjEZQ(mHZeLut}C!G3(L%$Kr(FOHm+sIpt9whu4(cO|2 zKVu$qf}?me2;rB?Y^{J}Lw&A|8_ZPy854Wf~AIV{)?y`x+0(9`9a z=nOCjR>8t=D244`A2J;1dPVL|8NXP3K0RD|cPScq3$d?j zZrdJygT4Bht)hK=4c~t)!aCV1Yqgw|Da1qkko<_u>*N~T&o2ZMlVea1yN2U$Z*p4#)q-kEwV~Qt z;h?rxHKZNU^0ogatX^j_2^U>pFiPRp^lV8)rP}*?Dk$P#ndxB}^oeM$d{qWVRzUmf z3AQenDyva)s3s*#)EfA<*cLnq>h4t&9d+kJpR?$>Ohg=k#$@9DrpE>WDvk35V;s7N zW?G6vofCq5n|fbIL>G9O1VikO2mo-EZV)djodG07Z$XvRB=;LL^5L^(`PAZ!(R+7P z-T>7uS0)mXSDa9SI(w|aKXKb}ynh0MGEi#x_|JW8Q(5#!gf#L>uQWI!U~Q!I;Y*wi zU85i9`9-Na{}4L%+c(}4uDmo35u@Vb5u3?K)EinmQ$vL*557Qy8e*>pSmrls8+V@O zQlGy_`sy(Uyt%lS+>cWX{M+x#09?kF8Ssar2yfy_y(+D}m$80>N^OD<>3umj0U#)x zcx6%FDMLS4L4bp<*+;b(sI;!Pv!>m#v54_GZ~HD;g7IL&>5I3zP`1U9{~~Dg1Jv~e z>iPyz zOKew?sh!}%t$_Y(^3)=|L!18DBJFu_b^Jz-7ftFiMt z6Q(~8^TX?bw1IG)YpJ!QdJ5_gNjNCuSCLsqekru}ME@l76MNE5ucHh?c;7Fi*x)5HGuRiD1`Ylj)g0^spBtRGD&oWqfFcY+~bcu7tmx?Yx37z8Jeb| zPyWV&oN#hv*#OpP5W^Su$~O^kKVUciiL86IlLS7L^2WCr)Czu0>Ipw3Ctr60-v~m~ z?Rrs=` ziUGf6oN(UNEy;i0;%r8gCi!78>ve7Y}cT+1n8Q-G(&6YD*6JAN#1HHf(t;754 z$sfZi%a4@Jfz;h^D;@h5@Ctrgu4N2o*zy7iT_(uMcpiF*kbIkvNlEXXXLDQ(AK<^NGm&BNjN(6+H4 z{)0~K1W1G@G-Lri&0b*hZEbciuXGywkxa$Y=no9HV*-DHo|m-xn&gDpcX%A!7c=KG z!8_d+7w{LaHMbwCbBzG!7lEpeuVx&Tko+_Y_})e`MwWdGZ7tKz9_%giA%WbF~J-POa! z+0?L#*P%|gDI$~F%e}a>c1j^Ynj?U0IO;lcuz4u6hu(#~SIloJFH9wjA-ep%&t<4& z0>5yxxG>Wey_`ZHsB z#c#K;p_Z;eBy(bnY0TE93QvdFnI6BKD2aRu+E>K%L@H|qau4_jf68K89pE9GL)HTp7o&un_3Pkwhw#f^RP?L1!S1 z9G>1%r~UW=Pv(W*cAX4%Y58=7u=|CB1h7PFYiHtt6$QcDE60?Eu8yU}1@xOsn){FM2*|yj{PFbdD=_f4 zWu*k4T{WE!&6>tat)zUtnLedT3D@WBtz-ul(2Okbx^{|pz%~pJYEeDHffcAAx~rY< zY%uX!TCkct$jk%Pd$>;Z3n0rwUOI1>4gJL?9H%eVXC2zB+6z}7rT#$7-7vw%!T9J} z26QDvQLzHnik9gl?v6|~97(S5vl~rk zqP_qT(4O8Cu4`T@J<+EhkT8gM7VsCIwZp9C5wIl(H3?Ct&w)tf4aoY)EV{5(0DOiGA8gFzfSX7>{hu}2>LjwOSxRpPn@Pa=Y7TNqh zNX5dlQ0yH!kUvfJj^f|z0C2HsFe@GGo^Pre>(sT^dZRu!OYw(U65^nUVIfU}>valWND5ih-@P4v!d(j)yb9%3|3Tpn#H3=|P-{tLQNDv!Pv)}lT z*?u5wgPj)$hqY!8;*PRvg88MKCdQf?aX%zu{*93E7DiaYsU&%n2-XNZjJDxCufz5t zqy}I}&0a}J^tD@7gyXD_e8V;Y+zu(M8D)*?K|K7OM*J< zSz(nklkkZI&=9UymEi}Pej0h<65pnxZU{*uc(%g~`uI|XxJ-=KPBDdX{9hyHk)@`e zBy|mi&*J4denMBnifD`t1>o@`-}GnaVaDW8xvD9_P2LF^>cIBJxLYU!lzt3x;WB{? zYII&in2Ho2+!79#_IgF%#xF87!^U#Q{bR)8Q&YJVQ6`rsFZ(*lf1uS79zmYaj8t#$ zt$kldG*#Td{_fgIT99Xuh^4NOWRvs*!Uk=z8k^&d89VC)gEo{V_ej36e)9twLMBi( zg%Fpx-2DcjX^sRys^`Chb0br99wFTwogx-SLAR#o*NRcN15AlTTuL2a%&3Kb4jQxF zuk%qWzR^r$(-KIE+F*AwTNXnL^bY{dJsPW+$xNdSP1bO#HmoGA5AZ=FEV4Y|bnTd2x>FG6*=eieFp|-$^wv4|*Rv$pX zsN{z@ZuC~1sd~JR;lKU_*+oAP^~U?_#)nAx{cE8EsDeZ)kVNFPW*lNgNM7u(0w^!~ zoN-%{VmV=~lYLYsFWz<+pA44lh)I|~UbQZ}n_Xix{Q6dNvx`&sWvhnf>ftGy*%;_J zfmc?^sz6cf%_PhrF@XEJJwoNr=TaQFFX|2!!HqQkX_emQw_48rmP3MPXxNwzlx02@Z@(JW1pw2l)CkF&pgiL*eN%ik(0x^UCXTm?%o$KG= zD5&!_>jt@3GluKY&98Qholo|-BaiCUAwT`0P_-WhK0F>N>E zPDO%}m%kq;yh0KkuPeBBOtR(kC{L3uGal-}hT5rx`*Zu+mkmBcoWlAAuNirIBoab4 z%oz#|sD{FSnX_~@DDVh}^r`*^Z<5&rH*U6D8V_pQ1PUqBM@l*$e;QdT?((p6@pX8k)%a^vwB@(84~xOjgI>h>td>RN5tt`gH~F zApht-GOe&ZQ$D=`fm@yZSaA7)4_py-w*}d|6SxRLL;bOq744d2=;KfwLFKb#QVdA< ztX6uB3A4ucjc7-BU%jQ;sgfX_1&G`i1KRnEe9PF9cf1f!32w8#Z&2<=Ktk4*j zl<(jP$b*2{$cPq32?EKq*~5gDtSQ=fbo&l0Dw|Y;MD?#qJMU_Yn+q7^T zpLQz(s5J-9Bt~hVf!oAirjs$T!mW;yr_=&UOAYvaLl$J_y#Xpb+9L`YW^s`4x${yh6qcxz~2 zbscR+P2SSyF7vM%cwgWtJ}c|BynjmRo2d{t1PC;@ReFeOU8sIVgxhHGe&wZQ`{)D; zIfq@@AGRyZIh=XG{AafS02o(t`}aEi>2nwFAl}>Gr=+sU?gF+z!A@=4=o%lo>ucpt zZA=T(HHlfH-^qsMT1z$|d18B;B*9oEZy+;x&c9-KzMhXz0P6|1p-!imck}8X9kohUfqa0#;Bv&%abFi$ci-Qv!p&X2ePZJiMi56re=N< zAOOjEy-2FW%`*6BL_t(N$kQ)8CSOiRjw0#_7Zv}Y zi=RBqOV^@V=5r?>KMrITmhB9?8NVP+es*=|BuHTyr(UY_KfVj_2VS9h>N`X47e%a8 z7@CA#eQZUXe$*fXbYbs*x?$A&Lg|Sy!U<)vnGO!(j#{;`#ZD_j^$L`#M0m4%JqfPp ztyZkI%78VYlxJSi+QnSCMC>d(vo7B2It}|P-C%85sw0ELFH7UZ$GqTd8BA7+Twn4U zxDIWu!69o@;}>D4k1I*$v(ySA(KLA8#hy4}shqT~GJ?7waORk8zsm0XvYOb2b~tD* z$eQA?+D_fyUN&s#G~3sx2O=xgB>0w8i4UH-GZ935V;~E|szv`2)m&G%=Vbh5r65G; zr!kssh+}#r@Yl%@?OhEAtes3&u0Rb+1A}N+)N1$SiPGMJ$Qa!b)t|(v$aE1A`Z#3m z-Z}G)rZ_xH=&j}?Xsl8_?&AYf5JlrM(u4AK>aC;VhZpvP>BFf0@B))FZ^G-XguVTj zNi&93<`aRwN^nIcO?%0W`DoujUqxkE>f(LCDots45=7qREVZ%;oBdnyUW`bq5=fEN z-2&~%cp;rV3FmCHTgGj~#*M67_I&s-qEH5`Ko?#QL!rEqla^rRYldS5SAjk&*YoCRFYQ)ow$TmMS6eAS|LpYtxm{!)SvpD2xid|3P1 zjl}iyM%cnrjh6Fouww~P5XQJ+9B^CZKU0}7Q^OW6M&3-?@7qm^_Ka7vP)Mi2xBR>N z?4^&_)WnYq$uBUSHO*I3`m#5T*B(dFmGnz`xzo{_EqR)FYUp6VQuwXV~OiHXj| zK}-rr%9T-TzT-5qh?;RRp&V85yPrJ{+KQc$PVO5N8<6N3ysNJ-8>?9P@NW16{mPH; zxC!*eNo{+JDH^0fI@pd&h}FGC}SSv8=ZGp^`5iQ1ozk)`-L~tkX!Gs|+N;bk)qzA^N(@ z6dG1W;#ZOG=qWOH9&JFn9A2@?Ujr6%o1=Knxcs|IAJdl(^s5{UL`KvN=iSy?{M&Dj z12AvUbf|1#q2>4kIjgQYJv)a=VYDr{g!mUHR)B86PK;fE-|!6Fsu37fE!Z!oE+Tm6 z^Aq3CkLmycHU`2#3XtgTUl=5k!Q9(^hDeNEw}RIEqgU+HNxOsvqG)bkJ;e<83|j$l zbS^p-H6j~gY8!TQhbmojeXcd`&HfwxwH#VzrI^N#d9!QUGmj+EVzH0dRLNo9vlGP) zTY}&2&BNO>`3@Ne#S8K|vtqxB$)mfDG9m&_!F4&8Bgq8PWw41w0myqkK>ENAVSUJG zLr)ScqnddJv`=udHMzl$7vDNk3i;lNaBl{LmLc9Iu`1!d)~y#EomlOu_Ulyu3u!(R z^xehUfBilSYbc%QtFH09s_FSw=9-(D_dvC&lsM0cOV`F3#52+#lIzg zC`Gvx*luLvNBaVXosuYY-C?mIEu_}1hgH3DM3#WVj2-Zf+A*vB#*$k#Wf(!&0d9xI z?YTv&_3L=CR^I<$9zFZ8`MD%}z-xC#@z0SGK}W36 z4D?!k_0aI(Ds*I9a6Kq@_ztoQ$WQEd?iMBEIZ(vYolvJVzMT1y=Ll#~mb#r~JM~vR zW3rXiMwN}2_oX)Cf#9XanZZ|=)UlQrU0N;Cc_d^UoWyA=EdTyyMrbLzVM7zQeQH|x z{sNAUYRIQBXm5|^j$$<_mi;R2rRwTcdyI^DK-XlmS7mt*Pl9VAV7yR%LB8%+G zEOu)7xpr@GDzx*j3Z$RSi`1a=ck#hL0Qm& zV+VVifd(Alo?G+Ad-#RmLf)D-&(nTo?)|fF&sw7t!C=lQrl|wqhT|FCcdlO z=l0UfzFB5+lA@449PoJrWq8GG#DI}r}7K^cH4%D&8u})YJ`!!Fr{A8 zy2I;cRvLkuOxl8W=~j_8@1Se$Se#~}=iP*0X5S4uTcBgt@q9l2ltSBXD8^SzDo^U9 zY*E#mL@C$2Pnyxm!J(Dd7d7{A_g$^WHu7sL^qakqhu;$aawd0u=G)~VHuw?TXI=T} za{6-frZ>R={)-PfJ^?E?T+X0`2+_HP+JZl-Tuj6B)6W0{4A5_S|HA~GPx==)F=vGt z=mmZMUt>T#W>p7II40&yp73L=r|f~^NzzX?<-$LH8no%EePGF-84*RhR^UDmqYKoa zXBTUsBalC3G)(6)JI>1uvKBrC!p#wsOmexZcpG6MbX^z16^)68HQ+{q@Zy%w7__(y z;=#BZxQl;Ox}`g&(%^MC>qORigsqns^VRG#m`r_GvN&AfrO8BnY!`*kM8mNRmgEEG zAFrYy=ol1!%8uFNRK`S8%i+E~)xL*cmYO@0BYvi>Tdb=eF<1Ei!L`k zEpUqT5&z0WgNTW2Mv>Yp&Gr?lj=U(^Stvq&b21p#yv-fbAr9Uh@{$z?6qFXtxyuXX z6H8~JHAe>d`3^YxG?eNHmo~DQE(w&NDt8Z=_?;|K4>hQTY<&hR#R{u_N=bX?ALLCbcZIS9QvV-X} z8L`29jKMGT35fc61WJ>={&C0Sn*G0gGt=kF;|3(2z#YW7^vrS=JoyKi`?vsAew&UnDvOIKh)>1!AUf6=(EZ=jeL+Wr29lxdWj3tXlyP2zp8!%TO=qStQuX47QiRl=!KEdB4#Xk7j(>RIXtdS2N%7BsbvNCfE+08cZQ0{WI zb^Xg!{V4JU+r>$CcG(n$60$zp2hm7GPZqv^(Lp;0v(r+4Pc7jCTVo%{Cm5c(;W;|AAG))tO-;`In~2cj|<^ zoohH%vjUI6)Bnh#ILwpsPj(Mc4wP=LP%sod`fuU1HmzM;!HuS*u*KU{?MozZybH>L zRYB{z2O@dwn)x``&fWnR^9yG6qEPkpa_QJEGmfxhv2mnULH~@DgW}fpZ{MCQ4Nab; z)i4-YQy2LwtuuPJz?=;g@=OMdf!HQZVpBbvo$yiNUhF1#8NOtO(E_2B`0%Z9zt*Tn zQ_eRx?U6Ls%ks~_7V2|*l;C;xk}x?7a0lkg{VG_oNDUDohtT6)9*QzZiY_G-022Oh z3HlgxN?3D1LVJ|%c^(%Q#uX46dICv(PY2G56&+S~KOHJnYm=kb_^4TG;G&bnqHI#S zk1FL;<2Z{X?C`v6uNphdqwExnFEzqMkkB3fy(G>B;oo)@6GRx@SYw?%)ztY2q$nkJ z8rL`a?~y)rpHj#vxJ`o<+!+@Xt&g`_6=C9D=^}i40BW`=+O5&Cf7e(%T~(Qt<`zA{ zabZ8{)dPkJ{hcqUg@uU`J))MH8NIlDj|E?F zbZ!!T+rhZ664+m{W~x7DLi=!CxwpSNYAk)}@u2NN0$G8;kHEykafnkW$m=1ZDwI-f zp>}wM+N|nWtyTGr;4sAvU+I@;U)(;BAqx7G4q(6m4oyOU0@*iLg3BW*jK7C)Gq-R? zb$+KXE_8Z?4L^7-;;RP$goLW@XNF31`X2UDckHJg)>&zcCT_eQVu5(t#THWPw--HhutUhJ zNf0~+=?I?H6!EeHdO^cj;}Z;>N;kzbZB-5)?sa?ohR{G;G>xe>ykTC@JHxJZ2Gz3L z0^AV4)Qp}!j*$OT*$~Ny9*z$qlcn!Bpp;_(I3(nhE7p+|Y4BUWR{Sn|3ZU~P>tOiJ~74`lPdF5vWcT%cYbX4-D$uJTTk6Z zY!&5eN!>^WbTt0+i4-g>!?Cb88mEAjG2OwyIp<*%A%Jkh_M-B76QXv12ep?)$%x;+ z*%^IFUna6fS)yl~oEF!tEWEA|i=qvZt9Fi>v8Wv9Hn^n3%>yCT)GEV5hW{&{yVLPk zGee*R8#r(GixsKi=Lt*hk@_6wrx~u%G~e4_|1Pf`91Y-Q&-QO7yWz9;+i!*bO_Amk zG;hNtf(V+1LXPa#=JZFYNsu>1FUx=x0T+zUXwz8T^ zd+Cdv=Ct7&Ff>&ptGqzL(8j<3zZ3F8G#C~cMQO=|!4gBP%KoKT^bgnuY;HV_Og_`F z3N?F_f`Wj_oBInFNh0-yixLAJBK~4(Md-hHaBkvYf;)pldbmCJ&3pO4MUpbnSK8#{ z`!do*fp<0C;b{w#hA_7}C`A0j*AYA-;G||IeCrI6G^7d09a#xqNNSSnW!IT4yt?68?Zk`jmD?j=#CXxq!b@I3u|6O6k2kARt zk2LVQb@WYmgyB@#tpTzR(dOhbI0!%fYVG@-gg)_rU}xxSaFoFhkDdB4*7#BgzH6YX zRbeU4)(pUimRHX?(OraF?oEBC;!MBD zc(G&nqlwh#aYBXnHj!ez?mENM2zin1X*N1|u zup9H2A52QdX|0r`@Ug5)?&3+X4o&VNH`FWgnF$SKsztoA-lCm&?*bx_VZNBU@&7OG z;sdTz?@<^C_^FMbF_R_h{aMS1(q>P?%7KypvFAm=%o-V8GltbyZudpOPYYxiL9bnlJYY5+ zh9$Vcj;-chfmOiEj-n4k>F-I|@N>m#=Jsd@td?)RaUFD$6`O^JtvNQ#Z06v%)9Gk& zXH=!x#QqIVw_^LRsy{oY+)dHp0cu5vGS-VQ@SL!#{D-KU_vD=Drbe1ft~{k|t&0%o zID9{XAKQIXe#DWh$1yj5AavaW+>usx(}G8awY4Amc9Mc#y_1x8&!hN{QvVOq{al@C znOS_#&C0VbjCxrit=TU>UbX>`&M6b*qDb42zzqrlv}z7t-YQg#h%dy3+{ttJ)~0M` zg8Q4w-lA3qjPA+EK;#{Y(pH#yVcFFIE-k<$#j+zatjJcPKIbJ~5SD;p1hf$@ z;uw_P3e|^9chZzlifl4wSikY$pIwE}))R@^+6wmO-LwXL>yhtslQJgzf02Zsd^>AxM4wfBrilP#en;SYEYI`QVOr`Tnx`Z7_E9YX65ZFK zXmpSvz@ly>k`Ze4)&#q?)7w7(Iz*E84zE|p`Y^m3|VQ{B~a;?>ty6v zzo2&-a0(pzV0ulEaO6Y3l5XR{KUCH4oeS{G6PS6BF3++bpVf2HemMjlZWl8pg#Rs| z1^4m-t;8kPw+oBSHvx_`macL=)jXN+5-&|NYi@Fz3|kPkt$)eZ0Y}rMb-ureV=i7l z-b#M5h>yl*G_s*-?}(-?W2gJ36;}mna3T4}6A0G2e0q6Sh)@zQ-HNw=Ej54?=8xgN zrt}9USZienxz*@|HtnglF?vy0OCg9u!bOomb+HzmQORhs`tRuahF#Bt%Vmr&x1=v4 zU_^^UzKk4j0p|`9W;_IC<%ov6Wpgqr^#}Z%3#kUyw}9QuBUu);Te)t{Z_%?lSxwKD zc?%?8K{Zpt~8oxvIDrlSW(paf*h@3 zAuw$BA>L=XRteky5EcO8Ivt3)l$3Kr^dGneg8T|MmKso^B*vQx(bs)pi=uXg$R3jax zPX6_h%f2&&8}>T!*SO!0ivTDEiM0J`D7WT^45PLAkEG+jc+!75T4Bm&>A^p{xY_Oa zQRrt*ooEf|v59z}t=5^g6NvxDQ+b)kVrV9WQT&YEvepO-$_Uy$~L+tU%+YvaXbr1b*Y9dx-X zLFhk+~QK)eMPE3={GI07h59 z=y)lJag_kAsh3WO1BVCiKhSmFDz#(x2CTzd=ob%0X2?Rq&y8Kuw>XjJNHq z5KzAjOh0JtcFn&5bKx(ce4GD#R`20Msy{u3er>gHH&VT2(w9v?1^W#~cE@96jlU1) zRc@P~Uo4I)GEwk_O`vT3&rUf4J++zBPHwu$uCz9^?zpV`&sj?gNW(SYpdHJg z={S?U>o_}A5%)>Jk?c)Kp_+ydzLnD|7N`n22IJ0NZ^oXVod--Q@VqTU4mm?XoBqlB zYI(3mKJ5aVb+yU;L?g|&Z9S00x6uO$*9Z8o25?a}bOB=zq~MjOHrzjOgy^1q?Z3WD z8%gbxJS6gDoSi9=VQ`J3MIF>#)|Ly)-qAh^ayR#L)Wp=k5?idwQo;#UhO*ae}+vlofsqY64v1NSa_Ae0fpI-}+ zf2igf$!3sT)$9MDljOjTTGaU&{p9m;j80ju`kVj%&K#1Pc&<&STAez1{P6eBKuQ-j z$T};j0q6;Rm)W0NwDR985EKC4&(EO{2LoTP?{Z&jqZf zBXnBB$rlVNZw_?WpDA-|m)~352^eT8v$Yc_(47m&cf1fa3>ivNXkV<|8WVe?Vh1A34C1yuOo2n`gBR;nY$P!a zAWbD}Q+BKR%ySrv6KSS#D@nI4sr0i<|@ zF$15yQH@N8Kh*XTw3eGE{q`!bhJ`df^*b4+i0{ZVpJ*Y%1K%0Yyhfow`a!UFn|Qt( z0e1WT02N^tV9B1|ucfpH03A-3+KW0Rd~Edt~+& z%;Zf_xp7W+qH+*7Vtv;+pS^pV`vtB1y;Jj>l_0QD5)`ZZRV;XaQ1#L|lS$hR9K}^Y zb_tD?I?(h>aR1;L6+hZ}y8GdPOa`S0$eao~xH2B~<_HJ!8{4vt_++OpShFnq`ljHS zoJ17Q{)q~IW@I6>!-Cv9oeO-&4UXGbpR zjQ=YKe0J(~2+N+TD=(%pq*0qkV-`jqX|<$T)GU&VB!UU}$qb z!16*E+pm@u$ftQdAOb{a4(ZL0?q{pIS7%0)t+{d8l4Hf+S%1M&5e8&k>}LZ};?$h- zM2CNuk_I@DMrFhDO>2Vw>b8&2v=ib6o|HIy&_99K^|O)$H)&1oxR_HpB&{VJrV1k6 zEa3)TSB{JlERvAtfqNlkdcXFAiE7U+pNqm^k@fhwp?wz+||ay2L*#K|(aOLf35lxIKZlA{l z14-@gaa=23))Ow;W{8;KPo7IWcX9c~0*P~+IFCcdg0qkXH^B<<(Wl<=PBtYmG$wWFtZFv(HYHsU zc1E`voEBEkuiUhZ;ud4g6_|Z7RyZbrb$(M;sLJP#bdHOSc20!lbgd8=CWofrWLdx; zf#6=_>Iz8c;L8^9u+W-QU`RzO#MjpGkJ#HM2so^s7@|fISkW{V^q76L1ncW1eQy(p zHgzD^J2wVx(10+xW|TVk!y7Fg`fVS40Au@5t3!$6Ro%5B(&b|TAMfEPWM!(cx4oFB zDjp8ic%awDBL`)FcZuT(K3AadnKG`AKIJ&k+}YTK0j4do#x@OWDjdb^*`J;w3#{3P zVCwS!*`@Y$KE)vbu#zrd9ab^@eb9H5J6XcE#W804vh$ZP@BZ22RPD(vnu7k(t}fZd z`)5OX1N&&K!8a0fBN@?Vn+yP-#rz*h^Vgnp?L-N+|M8Q&UN=+100i||82~zgV_3R` z1)8${dZj&I4wxLAa7HwP{qWckFs}jmH}0|WbO%_r&a_yxhcVx!&F@i%`dqf>fp<~v z46DU|d#D0y$(M@TENZ3bk3vfs^pEnuNe(41l-c6>cq03IqO@FPBLAjh0Xv+k-?fBZ z2lQ;rpG+-T>dy4r0zj$g^9%HVo6pt4q?MaMFm8-J7sb=I5q}C@9|+tS$p&C_Ec(c7 z#))F{3DShLfd=5}y1%UtI+-=Qdw=fL5GY&lh9b=R> zFLyWb;QX?|N@kJ4!9n)DNcgGR%w4p};2 z98cpD(d2pr64!zVe5HJ(1C|8Ww+(dGqI`W98K(DZZEm-U0| zn~;p|nLZtZ5~~2b4hZuzxN3Ktbi@SRMwQiGCbQwz7w_H?Y;u~?-YQweD@*f?>h#XH zeom*(MEzSjhC!VJ<;OHoe#6l`N&3-;7st!p@!rFlWd8|$YT%S^)vsU^E46pO_5*uQ zR~L&+0Ivc51}%CebwIf`N!U7M6v;7B0%*8VO!L?yJn(xkAU}*+E0Wj^>twM)UZiBB zB@6+BH+1KZ-K~$eIS(-HgQm)Cm5{ISN}9wajIk@h)QF1U!JMy@?i7X8Ia}Tg#5jq( zDC@w^kk-!99Pzj73rKPUJTB-mwtCHc^Fca^yB9=;$2B;Yb1`3rf~>2~O{C3o4bC<< z^Shf^n|EWkie4|3F{3^Cxy4SK(xaxkng?p=%t$Ij%XBH34>aIl#94P-FsaP<|97N+ z%O_SZ8?q88J-f2}hzf=<{bBc#V&d|Ux@QX^4J8a+$&L2nx{K4ywse-YlKtnFJUo0j zg(e(Wb4^zJld!`Q9SGi#@4*|Kg6J!hm~#Dfw85|s8I~8#!I}OX?UmzO*L^=63hAlu zi#4-wgrLVFl$t%|ED0dz{LvN&ME`Cr&h7ac?4(6&U>iQ`;{=n3dCjTcq(HZV5SfY_ znvL9%I37GRvX<{pa-y-!@hzy}(TqW}-%Y%28bOx4rvdftZ3{`PV3`=gHNlDyHrG1K z!%u{@LsdfJHA&n$ek=N?NGXQyu0j!g7w>o%2LjW~mWQw@aHvK_q!)JgD_v@vd@ek~ z367qMpyaK7(%TtU3Pal%dF8owHJ7tJl7)xFzi4oezEtCS%?`&~?q;Q12o`Fqnw%(c zW%_(nEhKE#M3>$(h$}Uo z3JMw%4k&J8;dn#A`)|3e@m5xwBIu}^8YbR7Ig3ib0@@bqYkJ6t1Yw(Vn^h~xxQ4-c zOM_y9e3Eikip-3gUyV|jGh-MrL!hwIJa+~7lgX14P+-NRAaLzS*X3n0i%YdYu+5OK zMxutM=BxsuJt0^03J5Tw6ijgItocI^K`e_A2|E$HiXMZuDVOH~D4W3`w=Cg|yG$kYVE*1Esf%fVB8jcLzDd@dvvWQ!7pT zys<9vYhaMJQ}~_DL*ElmcOV^Smjulk(#?G|O_i77gA;Vx94CMu8@YJ}bZ}LJ#ka>1 z(Kh7ERvn&$BPq?mLbg-s6mYf^61fh{w8uH4%J9gZzmH9&EKRsHtG}b&y39WO3UmRr}WqlSo&kIi+{Ydta#I2s6*`IBlXPCbT?L zzkvhYTWC~W9iF8Ws|!3f;L$AJ+QW+gf)?zVtH@>Y?a3BXQdAV_K{u=MWWv{ARdI!P zWWAEjTu9HRoZ!NOLo*I^LpFkKCj#z{?i@_hm==e%NScCJe#v17 zI${B`YW$Hr^8vu76Eq~|$XZkex1l3RJ@huAT3t3mDyzFwUlE1zf39j!6j4E;P*Sus z*h&`*!Xh2QKp*kR#8J(6KSWZ(Ecfa(pVAL2u}FtGvw7{)$h;{mw#$Hxf=Z3UiU&*M z?k=|NP-?W~ZfbZNK4oC|v;bjE3~vO-&KGN+a1IiNA}i`pwBiq}O3Kr_`He4SzLbb? z%&sfyTRWGlG;s^>lND;;e5*QP{SYkf>G_|;%~hX4K_0vn5hWYoM=kgsX--7(V&b*> zFRnDm17cg5;HCeX{81chzixV_=R4LcQcQgQ{4-$HcntkP?rD4c9HG$^vDPJD=fTXFkgL=1qa; zK)iCpNk#NLa~dZSHf<@O>&O!P^3xT*3!>h*Mkh7W*N1rzgbo#jN=Ex)`ZUWymUq*!5>$a*|nIAFBj#QXIy)saRHv|MFaW$Gw`mIEJxxICs z5RE4EdczED{2N;l>gt<>tIvz|XVv;dpFg@oU)p@gOm$XQj zZzea*8;4g#<%O=5%3^qn+cee+)BAjF%DorB5GKF^zw#v7@QHuem%!mic35;%O^~A0 zf9WmSTL%9HOn@pTBnB^CVS>0sFuBy4@M>_Ci<1f;uio9RN`d%(4|5$5jyQgZkU3yiF6mKCR_y^r2;col()nWdKrME02d}+;PC28*e@ti;? zh?vw9gU)D%Md~*L@<0Kv?(B3r!aAEf;rK)K1{Iu+8iA8XFi%YaL4jO9G|M;0n_Y&( zsq3)L-f5j_$~iBpQXL&&k1jJCP9Kxkgje<|;Z~UM< zaWo{+wO_xGT3j4GGwwK9h~U4hl&~|OVS|&g49SWW5TU7`3`ku1t!)Sx6y(1pa33IR z{Pm0sRV#$~23MW1D>&v;q8NGRqYyANF(URgsh%v-!-|^QQk~M;}h}mR=0s!uPZ2dkj=EY+MwOz{} zx8mC?Apf7j-Z4m&SZmlF+uUQ@wr$(CZQJ%9+qP}nv&XZ?_BZ>S_kLCH{c&%tADv1k zom5iYE4}iptmO_41%7vbGt!zf-87ywD6`pbZ*ukC?bqcXtAf!to*>5^4t3v-g|1_1 zr*q|R1pFnLx&7tsPUqAdl2Qq4X;~QEkq~!O6(?~eiK1A58^2R^YHI4WvcMwL@iN6e ziBZ!S+LWV0Fr*gS)c&3NYiw1}j2>|SD%GYMQ-vs=GThA@OH34y40RPBJ@ic;%-v4%^`_W^ zAuS;$Jq)%I$@cKS{*5#yP{0bS-N(mZArwujG-!6pB7po=Z!`GF`8(n-u>v%TLtIa(FlZx+i|mOD}O;Jy!A!hq)Q5 z&0dsT3P)#*Gx|~o#UlI|&(zY09^7z(h@bWLc(bLotewgI`vS^pF!Fq(6Mu;Tc9ER_?WD&dv~0>T_T2!Tqbk;^>aUY2P&x`^(x`f3knZ` zXvZZHnLier;@`zXPaBP2u!zRQeL?Ev^ zOYCq~V&9C+rq%BA(Q>7*wQMYEjKr~140nAg*s-u9YiYkd-|PDAV*)&vPDcApHFSh? zVfnf5e`JLNS>q55@AS}M0MQL;EQ+NvXB}Wb)}-upkTYUNw)>tDZ3$92+qDSB>($}X z><4A;0Swy4p7X8Ji^BeCd7xlrYax8Y1V;#h#9ZHYqnE=@|8^K%GwsW(2!!cGlY4i5UCItGM1i8W+mT0b z&qprjXkXJVWI1?0T;vXbY0@M@Q9q*~r>?yrm8wl?$#*U1I78n{5DvWj_W>L2buL35 z`o;_tyra)&baq0Uhu&k&!vTK`bkC8MEnjLR|Lx6 z4Cn%z)tSqZ7G{=g<4rKUKd+J5x{)t-)gRm&9aLin#G@3fgGgao*CVat*6@@ z+X|*hO&l;do`0VG=IJ?S)ccHK)1NGYV;9T<;M@l~QKTmJ*Sl zSAuA<7OFn0e-tmA#H-Aq$)8$MaiYbSXQ=oZ(huz@&NXRiG({4VtIvjb+p9q{|I%5m zDg#_FHtlFa`-HQ1@iYuCtaUcm5ohyLj`XT|FdF4&1f@+z7>i(n1d%8%s+OFDe^Yl@ zMMS@t%pK2c0Nl|qFQ6XjDY*+~+=;>pq;!=Wl<`Zb=R`FFgjL;`F!CqD3JW{RxxKK1 zibu?RjVM}T{R++R8C*Cg0NF{I8W^LF&FHx=H5@&*G}LDUv^&*gt}j%;R~|c)p9LgS zs6<^OH&h8BFi>=6r}E zku;kWIFbn}>(@VUHDqBXfrv)270`oaPd(-|hv*Ht`*p z$8Mu19y@iqY$l%pU(4tD{iArI%%cZ{IVxU%VP*h*Gy#jrCU+sr)l> z1Wn-vH|Dqrm;GqoQ}37Nmz#G%BsGuS`;`=+vaU_&V1|Zqs|5gp(kcD^{-G(=?M?|E zdHH5jzf%WjxK^WRELFc+x?1g&*LV8WX}mCyr*55731I)N#%P^`KJq7Vsebm`%OcbD z;m8BDZ-oLk=<;6%V&wnL_*dfbn1kDEf5k%#iEoU8k60Fs z5lMg#=cxu+VWXG2E|&FOrR)IY95I|!I+=l*2d(8`H=&2C$;6bH7uNWM4c24>=Fb!t zk}j`mgdZqpaNckb`c0;yQV0gw!h-)ue82}Cry`Bq~E51GI&2u9O6R1*KT zu41(n#u)I{2*RoN(_8Xjd%8omSD-Gh%Y&jS$8AzIEI&wr6MTm~57hbhoM*ZOXa|oG zxQe9xEROlL?3&*dvQZ~GR^x-r!_hU|X*vOA1y#eiIN-4r#8n!t!sg?L>nBZ!)iH75 zCux~`nO0bMj&ra+of~^kRPNHlZB49&xi{P^{`BD_%tR-Z$Q#wNra0<9G-6?P@J}e@ z@q`GzJN2raXuru=v&;;9M(r&KFv54k(#E|`ul@jVOWI9esZ%_9+u0MYebU5&;Pj+d ze_rw9d}n)P74kFQyq|4;`;U8A#P$CFm9iaT+BbTcGHHy<;yay9+Hlu?Fyu8dDW7gc zqdVw-wpQe}CIg9JjJ+hq+IblF(#%e1p)I4Ofx>lL_YvyZ_AaM9A1+v_YAzYt)dw_)yLvEd+sT%ix_qtE@p5Dl+>%Zr{b-41`|T-Ya&LV_R3{Vb zJT`7_M6)!E_Z6_4S;afZ<)`4)Sl9|d29%wXNjpRMn;Xc{VlmU0UpBV#3P+hegjtv~ zX*wqT?&ftTNQiy3q+1roF&P3Ck#%9Mxg9Z6){yKM=5`6Bv@ zpztBNI3Cvs>3mxN5=S^KX~2%sBeb%*>G+Iss3vjd7*hw8)nv4ASK&@sKG!h=;ot!E zTS0#jvX42hYzUD!UPcRVS{U>NElo_x)e#!GgbPKWYh)i)p|0M4E93>V0}b)ZY+}vn z&lx1{cHrorl_NHNaz13`2K=@fA;DS!GoCm^b26$mw5b?qRR!1naj8Ye0B{G>Z`6*q zY+6RPW29E6)>%j0`5FH8{YC=zYNE|qP1$T{h+>yYNmsJ*TnHrmt3`sA;|>jfhmy;MZ>FA934S475G08}VdzPKFM+WK(t&?&zF0zq z_GJZvp@hN~*=#mBrYU}svq@Zh1BEg1BgH0-uMvH6dmH1r9(TEaNxcAqfxII=yutpm zV0C(O$t-JzIfr9B;kZ?o;1=UDGg{0=vDf&9W1r#H7z!Zw$^R-t|FCLi{$ZrJ+mR6+5|V#e50>#v0l z7q#M_8_p>4<*{ZdG;7M1{9G`z$b75SRGTU~u!%qk&&XO(8z{{Hr{P@(+`bzIX7z)4 zJtr53O7Gyc1;@fa2_ps`7r>!WXZ3$CQ|qYFo_ui13?9N{IjzV5u$swFG2%MoR$ZG$ za!0QGpV7B4G@fGe2!<1{Yg3?U?t7guSPLb=>oVqt7k#1pJC>q5z(Xi|!tZ=k7sL-F zjdEYYMg13N#UZGhKz7m5{*q8Rn5G|+maoT44d@Q5s$==?6J>g zK8lNak%o>K{ltAYlOcAAIXgZW5e|l7;B6Q4-3fFS)*8tp#;!uPck0?JE!&dW z=u@no{Or3k-qTV%CBAZBd0hM`Q0>zb;@8d$zMAiX)OmGnMZ;$?HrWZ>H*3LXtsovG z+tZ`V*G}`|2|TZt@av`13EawS?J zUuypk)##Jpa-J(KR{tO3l5P4)Wb64de7$BmsG?9P$3-?!gV;H2@D7YQ;13X`_DPAE zYR^iu_wmc4H<7q)@yn@nw4oPFpGIJLwl-x90eEQ-;d3Z_hJixiasK-)AdbF>1L?T# zU1EvPRRY5)_Pcv^PwnNC*m9do3P2#d*nUzGDpLJ?YJAcz1*$ZIY=>Wm%Q$54v2vR6 zSDR~pP>{?BgyKID>O<)=6p4#h>%(27TZV4@@ouN|)T>Cyq=g-ENw#+RZN6m&;eqGQ zHz;YP^MR^D=TE6c1->nZJn_6hTGTDjcEH`M9W4Og$u76y^12MzqIff_{; z*o|OS4S6%16ApL`bkX?g|IhaM(L??(DD?}oNhpnNk6mBrlV9e2ssa7~)YcOCp9U4G z(Srnoq*edZ+_!}0iUxcWpuQRYOYZ>gu+2`)Zak=s8vvg9(3zaFq0S96^F#Y#)QQ$` z_0J?>JS$p}`r0iNnPtg5W3F%cbr#KP2AJFFZWq^wfW8-^ClAU%8p3t1mHB;0IeYAZ zLdM(QqTIp_JHJbw>*Dv#8p*)_-Z*4%f12VGJ4_`W9*3O8EcK+SFunf#UQT5>5oheekTK>=``L)AMpO!Q3P_IKKGy+~hWP2!A+H6uOqi zHdFU7jwQF0y24?~3DfO3^hioo{Wwz}6goDjhuw)8Hof@fiAqG>+Z=m@WMT(tB64Aw zE53xmsWAxTZl~!BVr5m1_2Zje;(rVxG5LbuzLC56kQ$0_Z5aTYErMHX&ANdn+VS8; zMy$Kt7>K(>Ur6WK2dliZEU-qFIlJ1sBd*m!XAt2!+sc6y!3EK_(%8%7U8wAzy#3A3 zvep;&o2f*}XDtXxN`}6}!-KOST4)K39+)h8^K_^~WRXwi(MnS1&-y6(J#D`b4pD-LOMxY9lDrg2t9i6@g@=|v9}Y0u2}R3WjaIp0h76ZCn!q7xf#n76hoBZ zVS$5YBg)YI9?yd8mo`0yPsYAgvr1I+ZXD}b>cr)*H1+hsTuXy7sW>sPw@*DW>Y3Ac zk(T$G>pv*7Ozpe&2b7^*qO^}s6De-?ohpclWnKnzT^XF6FB4bLJo!#bvyI=0KhYw4+^ke5!lQXQLG47E# zEQhwC;7Xg@8U?0v9_EH;@bkv$D063(aEso2fsqWpG9;rk^HYxgW-(}h$l&?=nWG%D z9HT~sQpr3ufG%0k24vp`4%j`jJ7wjZm6j3mrJv3d zaS#x|P(6%sOih)igbTSK*BqcX*zW*!*8Aa%T#Vd#n&Gu0%bl}HJczt)1-Ty}QWRj~ z?_htHVIz9zD3ewS5pnTpEpAVJ^_j1Xb@gI7VCT<|Tl+__ZI6nW&)$m?dl@hw&WrwQ zhy{Q5J|dT?zkI0Ujdv0`K%U|BLyAs?7*zc|1SCl-PaQ(Lk!HoxBUN$Y8dpSZ;?dbD zj=TsjjUcdlsFLN4Y*C9CDhhos>Phrwd@&YcC!XEQEukU&d8`p;gpC-Vk5CvAzkS_K zb+hi0z&;D4yZ-@m{A5Wno!31zvL5sP2&=uNwPR7+$9CximEd$83Y!@3S;k)W(xp3Y zB;(npP2kT28zdGWy?~q#Rp2@sh{3dRZ|U_j8-v>l@%bQC>7C-Wa+CO*@V1_rDSl3c zN^Ak`@;PyA}%awSL5Esi}+^mY5n6Q&26*=r4l<65gl7$)F8y)E za;)I~eJ#&E`mOO{Llq%xqOZEfpf!f{@my_At*op_$HX0CuZ5+-cTkimpzZ0_o18+8 zBBC+cqSo#2Rn#~k9ry6;HxS%p0Eb1XbkUypF;T(>;%GrQW4@zu^5(<&IfA%i@dB9p zJmMA5V8u34Z)xo>qwW4?MLN5e= zRku%f0?buc?E#;nWs@I8$^NO0XF9<`5*%K?(Xd*_f1nXS!6ZByNlbhv$ae9MuE{z| zUy{+7c2W`7+=bChp7RB^mn&FAm{XIFbWwo<7_rvUTB@`Uff14#eu|~w)I!rWV3!_j z%cr|1=$x-NdSj$bLt~hB4l;E`fwX<<_h`*TCvhJe*zE}D3@w4vvyX9LLK2oCOXheY*&z zt=n6!Mn|2qcavt|b!m25DsTi+ggGiJAJ3P}_vP1YN4m(S8X^m`=hr-NnrMsc+{djD!3e+@F z#zsB=9>ujb!2dTLuiQV5B|n-xZOAhf($6CyPi?N#?xal=zmOWTbv?#=+GM$SuYWzU zVKC`%iKaKAhXJ0%vFIw!$1X2fN4)qKA+5&CLGJC?@DSZF8J0Y;yIQohcTK8;5_Us% zIh{CuV?eqGO3ZbP%%L;u`2M;?A94?cBDrsyKZtPVo92&bC_nbeF^l0*Wmf^!K{Z1i zA-Loj`WxWlH>5BN83r`Z$@xj?{Rp{6C%2J-q|{iJ075Q;r-e*U za)04n6Of@>l`C=ziltA`S@XyzPDBa?bTpdG5K~s`?DOC~eW82QBDU#`?d0gK|E=XarKjp1| zrp4aLDfk8K|8Dq|TOHkL!bbbb)n)+uW>wATqD}uD5egOHC-W-PjDPmx9k200h*s>h zgslR@tE$Hs%wvMOd1Z-Pvuy6Dn*t{r{J;a4>TkfjXXzNLwCx=Uv^s2ABC7CoxwPzd z@?*f&qKE+gJ7R~|>p>htKe(&Z&{N);y*s|y+pydOd?uWZc5!@k!Nw{{3C(_QEv!5t zXI9+$3^$n@ousrds!D`5dJ~R|1}y8@7b_cw^6dIe^!Eh6Fo$ED5r|AKQkiPNCUMs(aflK#1 zpDU2JnVRaoAQSY1eJ{L%C!{T#6Wkw)an4R4W|52Jya?gsG$v2227mBFK6B`pWTIaw{T~GZ!Ax6ytDS^Ta~tWxQ0xGoKho55f^m|>{l38<$NAk zTCuQ@u!qw3+aWZKNzqhO$c?g2j;2-!DRGiLc24z-^}p(ilH?4da%c$ChO(OUoLLt| z^wt)h;%Hh*G9v@h1VdS6vrI$>#|*r_25=E1&=6x(4jH9Hvpr7l^yudw73DV=0b_9n zK?3yciL_uNs`zu!Kp3FvTzl3*6S}Faw@jwiNfb!lD+rTLI%LtZi_qYvQ^Zf>jvLkbY!~%=>q9)CV_0>@m91!-aPO2p z8FwBf_ZM@5R$9M@iYuS{mn&g0D+c>&p&O_4CCprrpwc1-8hqIzoK=oCMY{{e%q`R? z9qJYP3#RggOTV^gy`FOSAokZh$?N}~Ebr`fXBqi)fH0#HNsyohR zFv4g9T0_fC=~&a7iw$I&6jsCu<)|;wv70XC@0G#*ya#wd$eei=MWv0+C}}JS0zPYr)~kiS zv5g}i0hG>ds^unZ-rIN^B$>@q27wpouD(ZWupDtpw|7O1KdZ84n)e!EC9z! z%%w4jp{waWrI?7YwE`>RXC8+PaUIKs_3mnvykr`@*c9p5Xy*>0y_?@Co6v7{VNWl= ztqw(|-drQMnZTRJeiJRD10|UD7pj`7YxD+~=1^&@n2UFSF}=_r-X5?fb~hub>(`yp z7r?I6#6?CN3AgMNXPwU6VuC6+D@zidj2GfR5rLPAoSl(}xzx^GDdG3yieo1-q2~<_ z%lAI57Q2I!rv-20$;B=%9Yg${tU(vw<2-xpwEd{D5Lj8wzMxG|KOFk`;>{1EK-u;_ z)(OYtcUo!!nqxEM4#E0?r*$YM`D9fI&_;`f9j9d*)@) zs0bIUJevn8H5biw+il75J|0~pB_7M3d1DTX)UXVJoTR8fO%|p3a%RsF!~ra5UHIjFnXCI3lW|D+1`f42MOhOoTOs9SEH~rHYoB zZ1g>GVLC5ND@N%Yaj^o95Mls(&=q2W6MHn4W;^a*AGuQrx0eQ!@Tqd$@rJ7qfxH3| zfdJMNn{@zfp;BYw`sIbNxRTeFGr{YtRU4Y>c8nXqXza5$+5Z4F$7?hUGG#%7*wHMc z0E*lh80}q(CeB?tKLo?lpOhB8qN!;=OyRg>!*I89mCC9>R<5Qw2Z`D|EjrnGc(`RJ zAXr={5m_tUJ%ee_hsw;Od|)=d?LQT}CsfUlt7iM4}~ zEmfy99CYoNqNR3TOw3!iScJ=cvg|N{v)|r#Tm|^ZPOGX3@e}|l_)ENoD7%|@8ri(Li31e%)WR&ZIx~-fiS5T8vzSjDh*1V(=lA%16 zIRFEkI-d56gP@wf{bH2h34T;TD@!;By@O;&5xz5;$Y;5{%zoc~Pwubvf3?>r8QOCu ztWVH}3Drv@xXMKdv#I%0_vGZscoKluyW$7*$+_YrJnFQn8{UNUaSfQD=YJecvH1q- zvDhJutYS%`l+{*@S@xNxI+(}48QgE@s$yWV9FMUofxjK%nt`f7d&;%P0A6>`H!RNq z%w1uB0Z#0nv{w52Ht0L#qDPmtj!Z!z6@a*v1gHcjg17^J`@MdM%|>r;niz9+?HIiE zsRR~bH(-71SI(U=efu3svZw~+%CiEzf%be11-(gUH>6R8fRYctthzWk_u~p}sZ7|S z>r~47(?+qhWDl(T2DFJqMcG`{{wwHpvyJbfjBo052CF2LjvfanQ`^2PP$B1_TFueA zoqq&=jnhGLI@rT!3j)j~TvOAQywbHAK0NW`yJHaZE(^FZT#+QGin`9B*w}k}&h}*+ z`HOnstl$6Jw+Fu4%775$i6Qw4=Vm6G23x)3mp*&_``Q)EPvEVvkjhfdYk*oJGjd{+ z8Tk*g;dug8zz&*Ol^dYnOW~l`nP{bF+^XRPxB}r2ApZmmNcA>24hv3%;7jQ+JXuvp zx`L-}Y8y5(4^!_6+7O-{!dU7vwW~i%;!?uz+gG*Gi4(tiRdqXDv-uYp=&N@)@ZkV~ zo)I0$H`Vo@0nCzlppKDN8EP&9QwOk~I?X`#uO^h@g)ohZ(Wpbdr6)QgG^9~rZw);Q z>2$-0ps=J!-caEZ|IL~PWW4&8*wMc%SLITzYx+@RD?4Q)Ahe1o$~!mvS>fU#?dJh9 z!$`e?Dq=c>(0l3-ulUh{!m;{N!Q@eQUfW2Zlj5O=&9E9z^;pwwxTDybq}KQOB^v80eHQ1~+{{X}iuVR0biOyjUNv7>{#9<^3ju{NK^KMq1Uik(qbr_T3NQ2P$gl=Tu14}KUFGk$mM$@6zm#XPdf;;!3*3#73e>r# z{K)nqtMph5tLkk=()4EO zT1!{gkBLQ1f5`zjoa6CB($5(^n5}?(Tp`{2QOO05nqaIl&W62@VSK~%d>ARZ{i5t_ zt}@Ws48vF^4`krnd|v(avTUb9;B6O>4K-QcmS|>(b!ib-QTQhD;ozYOz876yuj1fK zzp6Co+O;xDdw+q?aKE_mOPQkY3yLV24|Ede3|p#mxeDV<8Hm5u4C<(WDxW5=T@Eq! zZ$~arb;VLocbxRn`(F>LNZEwc;a2Bv`OhLvgj`IxHIhODX;-M73`<&~E?M*}R+G~G zLr@?8ZkCT6_G&LeCY*4Vjx_1Pe?U}nT|O-wp;MaQ~Yg{+GQ$>x=u>cWdcB2 zM2sQY2hu(#U7M+It{P0aWAk&{d252{oB`@N!YD+*wGfz;W5~fu+GEwYW zuD^akcM!jrNF3jA3wH$!dc`g0`iKs&FmzUqVNH3)t~h zA{{4Bjw8o=3LK`EAzkJH%HLqIn9;}Jvs?Yqh@j2)D;_7C>MwdR8XCCyoou{=MC9XU zxW@lX=eMNHa~X@_M|1Re>sHt~B6}L3NBY9pasEwi2pPBQpSi$OaKF3J0ItiVIzcz^f=9j4xSk=v{FFf&)gXl z@gO|iMY~*OcsP#o@H9A90BhmEg{!g%wqN3<-+#X*uY_&$iMr2RJd>;-r?9J0=4QK$UC=h&Fsiw!@pRLB1X~C* z#G5SDPFhiAO1iouvY=w&0^I(|!KLXu;UKW@8@DTJ6rHu4c*dgRv^T*+xL~qj+nfJN z653*_fD$9{FU)S$Z%cydY`RVC;1OLasn?I*AUo)JVXs`|X?%Pgo{I$lx_CXdX56!; zGJ#UMbqk5L;~bvNUv^zAQB)$&zWq!?tA57nhubp>qUTK+8K3#u0zEP|LTnRhNi#}I zJIxJU9P&ji_ZEb;X?Q^>heo5P*k}hFM-?~vnjC=C#t4nDIFJFBPo?zQ)SXh%LBo$n z5lXtI(U{i>vm3@H9EZpr=1nFEDti{BUNb;>(b{OY)g7zl-)-@PR)<@GV)rMS~!Xe+rsCLi+y~ zZL%GoA3_z^rxxdDqQyrzAoV{%SQldY-C81DySi-Y{du7=Lkd#(&SO;vMX-9BY!V4H z@(S+1`iTyc`;veRGi!XUCBdW6#J+Q<0ql5;`0}s>F0U-wX{9J=c*2Q$||Et_T63TCU^uM+K z3)Tqc?dM180{}yU69AR0sPOxb8B)M$?ZDJU5T9mhfV=(|ok^tsU!|A_%P6r5?B`eH zJq=83t_?=uXUKnSUn6ZvGP3rfg7gtR4Phcq2Z;(wx#VGpS^q(8o^PUH?SHIZ1_Z=( z1i`^QKbctZW$BaDp^c%gqI!rAEUwGP*0$DH5CNS``=*}VB%7W|=##?$KdULk%(t?u z!fU%R`dFrWJCqy8xv*PWJGN{e^DU6cPErb#v`hpz2(Ih z(x(uoewcmL|IiHx9XV}s7NVSC@zI3lVPOweVP%|R4D3w$abKsPMz`UR2pm7SK{+R0 zEe8?*e|w6i5FDImg}^96`~P|8AEE&C0IVH*WHmt4NFry~1JQm|wbr$}fhe zI(soZ*`J1id{nT!dV|-;Gnu#S8*__r|52*;o*~S^Mq!k2$M_v^bb-(RV;4;J0p9<2 zwh91%-r=Cz*uhI_UwBVfZ5+&1_AS(I`UbcUX&_9Pk=jf2kzESihlOU?rDFI!Zh-@D0|bBqWt+jCA(Q MK7C@;Hhr)EAA}0i@c;k- literal 42258 zcmeHwcXS)qnePCknk8AbWI1tc$ zaZy%sx1>P_NP-~126l=C?7fp933h@MdnZ`H27)9i(F=+9-2o{UiB+IwXF1>qxO2a9 z%XbUrH$Uj|8}D7ku%y$M-?;jB7>4~+^0efX;HARUXs70d&&Be zbF&xN`2mKJjxM>(mJ1e(kHvDq^?q(yy*gQ#bU7%X_Lvv$L!-{wVE$jk-o_U8gOE!3&9W`<+>lSw%f`Ej^n!Urqn25e!}Al^k3m3hY>5)k zBE_LJ?K~2&m{$zwwJ2@1XK_*q14cbc+nsI$v%?l6cIr6hq&SY*?KT^JQ%i3mWm*vo`ktSh9Yd7#jcQ&UmOjk1qVvowQ5x z^!l_b`>}QTF4wm=q+i^S{>l3E(!~wwUqBiL>7CGO;lc%2E36iad7~gK2tiXC|wXWu)6$veRAcbB?23l%0AF_}~ zo6T&yU?-f|pd9P+trl2AFPN=Re<+#-+HhSyEN`%8!WsuBaX@8P^a#ANSU;gvk$v|IG)$}ZVa-NGGefsrup&?J5ti>e2l%(c zB2R1tC|0PT)dvcBCmR-Vce(GBo~XiVK9LQbjv5gis1?`gW6*w3DJ%32RL}={2UJ$| zi46dXde{e`(_M)*`6iRaZ4{N;Y(`s%_4X}3K6coM*li{o)Wi(C5e&8yD+gFag+d)n zbTYICTv^E_HX+FK+GB%0%0eP=y8qy9o&E-0B(<7`O?|656YfEFT zq$DM;x`&HV22S+%cD3J@)>oIt)HU_{W7NSD1AW~ct1=JT zFNkxEG_?9+^x+e-K@ex#Q{v5kXKM)8p&!?MBt?lwsv?jn!WHnTCt*x}J(*+5rq zIK@SlH@35|vlA1tvbxT}K3Qe+?arFC&u`oaF70lFjH#*8>#WOIXoC{=tR?0}CVB?Nl4ZT`rReH-f0$KG`@kY97|8hb&6j^ng{V zG?`F_!%@Rcq%?=~ZVJbOZl(l|aQrN06J$7@dd19$W@ch=N-rOrmydM}S}dSJb5b1? zJ8r{aN@rn=MJnt;z0n>Nl<*~##vNq4-BN?yBm5reAApx90+2d-Y-Hqt1mNT@0#LOv z05f|#cMyP$I}bpT!9dwI{@nI<5r2wx@n`j1{Hb>lf4U9vH?$moGzY#R{%|{KN8v}z zex3I}`#reeTR;1i!#MT8>^D2TcJ}*{1>bHbKg>?shq-Sh_I5h=y+eF8^F9hoJn7h( z$IqU5?97?dr_UTeLSDP*6Q8|)ux_b0q@7qVa_DF4@*(m1I)S_MT@`D++#}yryhh+& z`L5hmfqUk=GVhe(-ubToy&|wlzGa(y=fleao9Me>i$ffn<-_;VE%Sf2T*b}wx6Sw3 z#{Qv$eAEJ)w(pmveO}!-zTD|om&@n}YFkZmO@TswgeW zFD)&T4&>W|_^(bTbs28do zK6GfMQO=;+!S|P@hUyCNmnMqOue?-=`ERSy+*l_O7w2V&ZpBwrzg2;8K?9Rh*SY8? z+I`tYGZd^eKT{MRmC+=*B*p?JDHGT@MUJhgP==mFrRqm*-re2V4&Rrm%Zsv8ZbgOH zHcKv*W4w(TIJEbwN>^uFOJiMCNp4y~WJqdvv*fKZERaya_VJ zLsd~$Qe=>ybQ(0O-Ymv~rYMsb^r*KS-4Az14?gsb<;wKHm&L~FvK&!#uz#hR(0QW> z3*JHp0)ElfMn3vtBpV#)?@3Lr7Z;>N2QsOv z8gFJ}B!z-8jVX9*74fl0Q3yh84D@#0ZmcX!i{Ue9Yt*tZe1@ht+}7SRsDOz@L7E(4 zDk#&WsVRIC>%(Dq;bV`Cc8(0gq}I~i-c((b9vj4@ud$Gc(PnVP3yE*%v4+~)2S*e` z^&|b`10%hPo=L@Y-|#^1)MO8PV!U;{XJ~9Jud_!c31&C6)fJUw#IZSyHI_0k#>_t| zX8dL@e=HWiAEsze2!A&%=&u0@)C9#q=oL;<2rrR685Y`l;{&;z`4|335$zf+@7?#4 zvfljj73Qj;x6(1z%s>0h`1y&&aUTz~Cw@jv6!XOy5g#j>0>bsXOz4_)_Z@>NS zm1{v6O)x>EVu4ChP9H_dnxQC2)6@7A2EBZCTrP)bhubV|4G<1d0`|?1ufF#V{^#TG zzW3LwAAWk17F$tyDFwr3Bb2nYijR%MXdW3J>}{7yiZX761u$=Z`q4G~>WORDKK%Ie z8x#gRAhGmM$rx=mNQsrvl;oM2dgx~4_wQ3DCnhrFW1``q{?6vwvYgMOg8z#}qujWD z}7RNu3A5;1V5yqJPg)%*+B{Es5Op9(7rYV)Yh{@=*%yf1)N~gYkTM=EK z!Rd~Ct0^q+lh8JXNZ8YzO3C@`wZy0^Z~fkv!}+&MzrFOQ_k8`if&AdGu=q+5#+-ZE zw`+8xR8(e864?9+hm(nO=H@t@j7qTidv-IM;s5{Q``K(r zb2^lQ8J1r^^S24{pMS_NWKntTz5X|%uKYYd^U|OGGyK-AYs^3V?u}cw@HkRz9Da+O zQ29zC#-1ZpRnh10S!E1%e)F1_sKbpg0M7vVoqC+pwNhl$TLUPnDIGmJ}5hKO> z#pT6Ch55PJxuuC1L-n=xxB%Jw{NVgFS1Fs|a+RavQQ6#-Y>ERFd0eSPm6(E}jDfAb z9=M-)yQQU>((-U~v$V0H7MUw6F32w?Ow|Siq(z1VWsH8*A90n-{a}!LgWp8sRQb+P zW-%o`^QZz7;cWZ@b;xR96SPp0Z-MneLPTRRFcJdY;=F^bwbPeUuw4 zk#O>>{Fcp}Cd{CChRqP#K@n^Di5{5x+io{OV^x+z%aGJ+n(FI{6)7i`qVk=m z%wf<=(8|+KLD!C>fi*e`3lOogf?{`9TZ^=zMv{opHC}49M&qmYRdJTpyk}5I;FDh? z6y1wBT9Ny~AKaQ~s7=ItHGXQ2ioNPbfq}x~%btko9cI~qKDd$A+T56k`4jR?kSEQ$ z3#Pze*q((^3$q2Mujsg3eb2&{gDF1qz>1TbUKcf14^`wN~fm~u8tbqT`=SYD!nXD-6;O( zia60h(8m3}i5OFNSem2j&(Y~<+CzET?ryD?rXjWD;u>5H9iu)}-lA5wkhg>&cp=hz{+6#}uCd$WI~^HAWUW-zc9IC`>9uczy% zS`1p2g~C^*##IquHHMFRuRt#WD>rVr3q z>A}%NjAbNilp5UVWiY@jXMnykkQWxF=?f&)JWJ1V8~7S{RVha2=0;ZxKv7Y|Kq*Zo zy77N#Dl%o7Y0bD^A`#3QBzX(*z}Fel!?d;U657u9QU3SE>wg zYWgLwEV7YTR-AYG9NKWS#M-}@A>H-7P z`adif)CP`+1gKKFxwyD$Had%fjG~4ljMk+!jm7vkX4W<~N`{P$t*NtytWrbGV3OQW zRWn>ao6<5{Q?pQAS0JscTyKf!^0F96}aw>;-pi~tMO>^&$RG>a+bLxY3bfzDanbZAUjY?8@nM(<9eK^#jlkVg~{KvX?NcQjAa z+q-PUn=k^=ZuyznTo@3UULM(**jW%Dtzk(!6WUC?lJ^q^2GT^vnFP^$O3{1ag_4q3 zdXb?oUXl_s780jQDeSvdnb=mCJeTliZC6)7w7YO#$S$;@<(t8^Q9o5hR)*CF*GPx6 zv+=Y@Q6psM>^tK&EukH#ER39$X1bV{=_(45sFM%Pdfuns}Uo%uwbnP8~BOGlXj}ynl0qeX~#) z3WM>l;-Z)j^HV>CmOyr~d3R}lnt=z*1%)&>^MWmwsCHqtG%fOau;r7Il5(awdpJZH zVKOz9@uHRdlAsTVcx5q-5k{jxa62?3va~2DHG^L-5`G#n<)>Y?>n`j?aiJzF;0zEQIh9LuHjT9Tlij`8W9OyWfiD_iO5TxiyIuIeL2??6b%L-VyA0fgv zF+hYui0DwI!G?ijqk;_v2;gRXgl0xv8plAWWTBy>ug`!lU~RbG8~RnF0r>@n?We`5 zg9*hpTTx2L^sU?C_?e8d(mKmjQhRolGW%9fYJ60yc(5S3?^c1iWWJ(!0!Cdmt3cG# zUtW~ZAr%P>@1^nb`r@nJ?Zx$8$S#=GgIPgcbYry57BU?Wz7Q%3FW~uyn5m+{v;6t0NmD1bRWJMqm^))P%!`6F~jQU=}8Qy`645 z%;%T1fLWaPQe$LNYIqqxKE!Hmh%M%^)hv2C7>&x71_l;NBh9)GigW9u3&AXpSMTo| zWE6;E1;)V4hHw~hyg_%nL1A?iI}e(a4Xw^3niPX28`D9KmS*>|+3a?|HQ987*TRBc zk8d=fxngiE- zZN7vw!$c*n`8xvO8pp~a9OA?^-tskMm0@z*6gaqGj}3ARGu?y{a={?SChRfIR6>rd zb~@2j&axzBS)0J8OT*e34w7kv?x5SftL*k11qB3Y*2ASeV?EaH5;_!>Y%-xXQ!||Z z!d2GRq{zit*0A{o-(;aqqBZH?r5|-teyzFn+3o>~uI84yGM*Q0*`Xh$jv(XhO97&@5!L z1x*H8<|2%wMc5n|?2C&Ur&CLFdMlkyvl(~#JJ`sPbzy;6j0kc1;*Z8MgsMf0YEc{9 zJfK4fXQO%EtTK11-7Ba&IUB}^{8 z*>OX`2_Ls}l4`iQa3Am^NpB^9XSJ`+dE9bpbZWxKsRG&hCHk=_o0F~_fHu|cC@Xo}ZT zmo6?YTJoD0n|pE$rK!yY6GWn|CudmErtNnY%BI_<3u_CrozCWbTS-SimR_1S(%@*2 zON;IHt}JzKlRQn))M#sL%$%eE3Q8=5TJ{osk(6)uU-WlkZN3f`v^vaFXpPkQEd?)f zo#+}9dcsOvqc4%Ha>^39VrhxJh*hvb;IPBdFLgM|X@sC#mA>@PO@4DpolC-u&fe77 z#ftn4ks-A}D3Mj>*cXL!IhAlFDP5V;?Ho;M>_`_^WZP!`nrBUW-=R(}r$FQ|Y#3Vq zbzo_-Aj%rPB(z5PU@^E;z!Tbog|lJVvChs^|44J#hq3+6 zu&|DXK*y9Iiwc(LtCr>hT4GX{gee&rDP2p#C82OE>JwpERd9lCQK$+QL6-^nwee-n z{5(#2VpveT)=A^e2mMJp6UnE8C92I`yRanzL_1lOQpNLE6{Jfw=~yNjg`;5)Ur09kgWuiYuPD!h*3x zgo2LlA}zUMmjXbH1&`ZcDg+*_ec{V+5>?LF6`VwqA0e*Z94h#;W z8y>yAc+VLC5jx;5!3qkMlevs10T4ym0^PYMoJ1c7Oo_TREQA!-14=jolqd&)(gp}> zBRF&bC>;PwUui%In_+kgP#W|spwyP=DL{$hNkA!6RO10ai3<3FlD-xi`hqYe1ZU0x3>weA8|@}0XUtR&c1Sm}4Guu|Vw7FL1>NIU>5jqMFqk`k~IK1)(UOx*)l zqI8^W2Q+9CWJ$FRvNZYyB1=5LRn{R(&&;?%!yO z5*zN2Q#4y3N?yQ(fLl;h8)bap>5CAZPtVoJKLF(p8W_J%1j;eMy5 zVWqw=Ev%%z11p6*7+8q{pdS-%5qk_)@`KxdIvw3iGJWB&(jhkl3AdHZUs6~pWd&C9 z{{mno9Bzqk4J%RMULfgsx?=1ERx0^Qz)G1923GO| zfNnjkMAkSLDbB+HC@nhCCv1`CJnC>b;SaziiUR{GDanrRlEW?VhX9Rr|JkAAC>9L( ztz@%#JCw-}SwN7-7XUQ9axb7Mc@IF78@uul&eZa^gfq?U2xn6Mjo?gv02-~wnb4Ir zI1`_Mk=Ei&2zPShOk|zQ0WziEnv? zwG@`Nfwi<7cxe;X(*43q>@8VK2wwWg&05+XUc%;C_sd%foa4i7+*RHZ5RW{;TiPwa zG`2Z!379XtM9Z3$mE1iyNUJ#q+4%^L5WAX(K6I17<1?t1SAg5*O*tU?<_AI6yVov&9@tFQQU`_`-rtNu5 z>09%dRspBK6&{ltaQd64F$H`nX-tTtw4TO90bh)1=N$AsXn6&@21 z8l6F#@tD3EfYZHsOu@ioqFLzs#be?DOYCkQ6AeHnI-CqAFw^dUOcXoG0k_rZwnON* z^liYSJc52xAAS}afTkUQOkWt4>7EGFUZ_kSMVNNWWa`@?ld17RFqw9SF#WACneIlI z5VvU!!gM#2X%%7e6qD&1@ne}kLO+(d?jVu94<9>z^5Ijb9@+VE>eQ)+Po8+_=wWX! z5^0^e-6lxnLq|`Ze*B3i&z*mI$H)2e=gvL#^8vpF49O1t1+h`NVf#+}!;3Ikx|}j{=a$haZ0OyDx9=WeW-0 zug~qd3&8)WbKkqTh4md|IbVNjuLU5HkDNOH{3gE*x&zm4k;Px{wE*D%k*A-3ZO5KA z5nTNBlcyir%P{nif7f0M;P(IXO{%qv%Zsn?sRcad-?g^_c+UUc3gAKiuEqb{eHVDr z|6U5N6D8P=kWc%jd<=9aH_Rqh|_8+JPw)t|pkN#b@SDt+I*rBZp zu%G^2`fopR>ZtdYUyk?HzpMURkDu7O2lm&$?I-6?AKS74_Se5F?%QA6x&ijt|M34h z_sG$$ZjbDxWQ!$mzx)5S=b!#>?f>Tw zxc&$JA8h;`wEsc-Kgjv_ApQ>G?;!p*{{pbz`=5jHcQF1A#^1sCyX%Yp@`Z!>2R(n_ z0sMUvsQzI7J(zzF=HG+)_hA0LcMBY>KL_j2!TNi!|JY>vbl;Z`_Fo74uY>*9!T#&s zyAKRI*nb@CKMwXE2m6ov-e_!M;9&o;ll{ki^M5vJeV1!z&wo5n{~q99ZCZU7dj4qZ z{f`IuXPdisZU6k&{qyg(aPNBgyHCRNZ=3Ibu>0p9Zsp$f;&-0d^7%i^&A+_(`sHh% z-nfZ-?znm5(`%Pszxcwlk8k<>Bj)Cx{_x_z|K^WxUGn7d)*pZK?-zgg+_~)@z$N&% z&%N;C%NJjL?X{nK>Uiz7S1-Q&;tS6`bN1xcv_Loi_}sJK`R@0g_w4b#?|$dmb7voh z2e7vYKePkg1OIvlMD6qEo_yl*(LH+o#!#iMylSp3PhYlY; Ze8jT{SUj{dc036kWX~Uj-MhYd{C^CIlIj2e From c99a60f1dbda549057ea3be5aa55c8e1d4d34d1e Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 10 Nov 2010 10:43:46 -0500 Subject: [PATCH 05/25] Updating colors, toolbar icon, and about image. Former-commit-id: 299b779ca2856f481b27ba4a34324620242ee215 --- app/src/processing/app/Editor.java | 18 ++++++------- app/src/processing/app/EditorToolbar.java | 20 +++++++-------- build/shared/lib/about.jpg | Bin 115730 -> 106594 bytes build/shared/lib/theme/buttons.gif | Bin 2739 -> 3331 bytes build/shared/lib/theme/resize.gif | Bin 869 -> 73 bytes build/shared/lib/theme/tab-sel-left.gif | Bin 832 -> 73 bytes build/shared/lib/theme/tab-sel-menu.gif | Bin 891 -> 114 bytes build/shared/lib/theme/tab-sel-mid.gif | Bin 830 -> 54 bytes build/shared/lib/theme/tab-sel-right.gif | Bin 834 -> 87 bytes build/shared/lib/theme/tab-unsel-left.gif | Bin 844 -> 73 bytes build/shared/lib/theme/tab-unsel-menu.gif | Bin 896 -> 114 bytes build/shared/lib/theme/tab-unsel-mid.gif | Bin 828 -> 54 bytes build/shared/lib/theme/tab-unsel-right.gif | Bin 842 -> 73 bytes build/shared/lib/theme/theme.txt | 28 ++++++++++----------- 14 files changed, 32 insertions(+), 34 deletions(-) mode change 100755 => 100644 build/shared/lib/about.jpg diff --git a/app/src/processing/app/Editor.java b/app/src/processing/app/Editor.java index 7c0638449..735523e7b 100644 --- a/app/src/processing/app/Editor.java +++ b/app/src/processing/app/Editor.java @@ -618,13 +618,13 @@ public void actionPerformed(ActionEvent e) { // }); // sketchMenu.add(item); - item = new JMenuItem("Stop"); - item.addActionListener(new ActionListener() { - public void actionPerformed(ActionEvent e) { - handleStop(); - } - }); - sketchMenu.add(item); +// item = new JMenuItem("Stop"); +// item.addActionListener(new ActionListener() { +// public void actionPerformed(ActionEvent e) { +// handleStop(); +// } +// }); +// sketchMenu.add(item); sketchMenu.addSeparator(); @@ -1875,12 +1875,12 @@ public Point getSketchLocation() { * Implements Sketch → Stop, or pressing Stop on the toolbar. */ public void handleStop() { // called by menu or buttons - toolbar.activate(EditorToolbar.STOP); +// toolbar.activate(EditorToolbar.STOP); internalCloseRunner(); toolbar.deactivate(EditorToolbar.RUN); - toolbar.deactivate(EditorToolbar.STOP); +// toolbar.deactivate(EditorToolbar.STOP); // focus the PDE again after quitting presentation mode [toxi 030903] toFront(); diff --git a/app/src/processing/app/EditorToolbar.java b/app/src/processing/app/EditorToolbar.java index 74ef71f94..f86fb17c5 100644 --- a/app/src/processing/app/EditorToolbar.java +++ b/app/src/processing/app/EditorToolbar.java @@ -37,12 +37,12 @@ public class EditorToolbar extends JComponent implements MouseInputListener, Key /** Rollover titles for each button. */ static final String title[] = { - "Verify", "Stop", "New", "Open", "Save", "Upload", "Serial Monitor" + "Verify", "Upload", "New", "Open", "Save", "Serial Monitor" }; /** Titles for each button when the shift key is pressed. */ static final String titleShift[] = { - "Verify (w/ Verbose Output)", "Stop", "New Editor Window", "Open in Another Window", "Save", "Upload (w/ Verbose Output)", "Serial Monitor" + "Verify (w/ Verbose Output)", "Upload (w/ Verbose Output)", "New Editor Window", "Open in Another Window", "Save", "Serial Monitor" }; static final int BUTTON_COUNT = title.length; @@ -57,14 +57,13 @@ public class EditorToolbar extends JComponent implements MouseInputListener, Key static final int RUN = 0; - static final int STOP = 1; + static final int EXPORT = 1; static final int NEW = 2; static final int OPEN = 3; static final int SAVE = 4; - static final int EXPORT = 5; - static final int SERIAL = 6; + static final int SERIAL = 5; static final int INACTIVE = 0; static final int ROLLOVER = 1; @@ -105,11 +104,10 @@ public EditorToolbar(Editor editor, JMenu menu) { //which[buttonCount++] = NOTHING; which[buttonCount++] = RUN; - which[buttonCount++] = STOP; + which[buttonCount++] = EXPORT; which[buttonCount++] = NEW; which[buttonCount++] = OPEN; which[buttonCount++] = SAVE; - which[buttonCount++] = EXPORT; which[buttonCount++] = SERIAL; currentRollover = -1; @@ -315,10 +313,10 @@ public void mousePressed(MouseEvent e) { editor.handleRun(e.isShiftDown()); break; - case STOP: - editor.handleStop(); - break; - +// case STOP: +// editor.handleStop(); +// break; +// case OPEN: popup = menu.getPopupMenu(); popup.show(EditorToolbar.this, x, y); diff --git a/build/shared/lib/about.jpg b/build/shared/lib/about.jpg old mode 100755 new mode 100644 index 90afdb08b193f4f77b8233fd1b4ac01e5b68d6b7..0b168bba1b7c1b899af157c11291a9bcd15023fe GIT binary patch literal 106594 zcma&Nbx<79w>3JzU!QFxfcL*?p>)>v|39f?$5AKlQ3^0Qe+!I_wa2O<52rj|( z@w@MS-}mah`^Vc|UDb1Tbi-F}{~iB*J1PpwbN2cC---Xf z7f(F^LM#*xR4g=y*j*XU?ysA%Z_6==B6DZ>Bc0CYsWGFY1Q7H-6$$$TW(g|ZB! zuTJV-lfC%9uJw-b*Qq6wa2PX-5Uaa3zfVfhdyf*qIgp;6@0;goq5S88Kn!#&4B!il zm(NcG5CZ9l(0MUrh%q%S=t)l8LitFsk_+o(8NO?MWAtngc=c=j)bibHcZiozSjyCU zD}EhoChQ{ZV!0Wc`qbX(v=Vt{LESe`O8^|S=hYCR5dx$DlkDt}b1f1rOCpPwIAYvO zG}DnN`I?EJjG!#<)oO*oHXa6-Zl?eRg4ubcAFWffBZT2H%kP^<55nO-k09(f&lhZopqGjM02`TsX&Y}$9yl@+vy~HaG=#b z@_16>LbYlx2Lp)(I*eM}lbIGm_>?jO?k%t`k6q9-&`rwa%B3_i4j)QbIcKx(H6PXOV-PJYv(0T|wwhwcRj2*pg~ zDbV@KA3t(Q&Ub3J9PWhK^Y}CG);uAdoDO$T{muga8~8I+$MlxELAuw~^a-%i0QL0U zSIC@;09CKisOLjBah2w&Z_(QzbXa6)3qALDRP<7+Vyg%VsaniwJ9~S75K@Q1chDtj ze#U=y;aVHBcObo$7wP<+I#RN@fZTKsUO>tf;_rn)bLm_@;{fz`u_Eg&``PSFQ(r3a z!1ggDoZjCR;3L_UgWm+(4A@l?^C39geL%{JDj;y}HNq058TRL0ic9#bv^xj8ip>2l zqg)r8ia-%yvma^Fdx{Hpz6U~ynnY^F+L%8I3Iqu?619ovJ4D<=kWGm%Qc~xY47&&c zo(xSJ1VFM~>I`^Ica_^rz8mkAEIVdPI6dI6Ie**!I^Pip(;Dw%+HCP_9%DYSEy(${hjMUZu%^KT~vV2S>b+Xj1r68UoJl`jC zU{R{%@MMuuVzMq|@GWIbp7u>grDecdX{c66*Kh_jcctub6_h3X0H(G`)_LdL&T`NbHEE@XhCiv@LVeT00oG31X3$g{!!-a z#P(tdq)U=ibigu9tozpmRXx#|$x$n3Q>T{@ zY0%1^=p!O5Q6HVS+iKEDieM8JFB#5yximYka<);B1R@FXj(Uf`ECB>a1;GVwUvz$Q z{_aYAE7^9t^8`?DkQ#2%phcl!IhG6~lv-DN6$i4S`beYQJ-G2W)IFta26+N7NnJs5 zp8&2uAEq%nXXZs}%zhQc@@p!@vi_1MXDyZ|u`7xv(fof8wT+BRj5N~2m2Hb9z}_(R zugNYID%z~&(|ST&o7j2D#-s0W=v{PbzS!6q%Jwi)S+=eUntq5F-Jl&A`tjv0xqc=+ zYLPEaw1zUamnRVjI%GhG0Z4KV3Tu%3The00|8X9*kuxH`kgS6|EH& zEt2@MqEp_zgbTon zXM7d{+xLR@N}-Wo6FLXWt{zwD=2vu(Fg`INY0Lwb^_GhS*zsw(k(rkw1>u~8*cBB+ zfrGtZ@roK?5ZY&Pd37g1?}?DxJuby7t`xet++FL+SaX)1rlaomLu%KS&&m*7e|kL1 zCxpQm{GAwDSq|17a?%mGhjKVpm%(=S4s0`R_nnfMrJ+@z%U{<%G~rJGJDNz?Ttc@A z;Jr(+)%3xF_kQ`(8U*uV)@M2S`RCqy7$>`AL3~qGkAGg9?ep{yuFd6pg2&}FHd2cq zlNbP1^ZunPQpK@~8<7?uBNv8saThesDX&8VL4+hXZ(w$pNJw}_OW29-PtGdR4&Jrr ztu2w8nV|L=W}@a^X@=9P*Es(yO=y(B%SC6?I1d#&oXLHV>Q+L$d`L_2Pa>hk7L&zn z8sKHdR9@4jzSH_`DH~3T#IZGb04p!ee(LMgcyG`h}Q3+sErtl}E z&Q)9KqIk$LypV7x%KxRQnYbPWj(!3J4^eR=RbE2(3{x=M*cqcQ4}?|;Fvulld0bEa zqO%z}&!;H@^H&*rClgiW?gH5h=2sjx$w0}wxN&r7Qv~5YGtfr?ebc#9SeuL@A*vXv zxtt}wYIEXBIdwXJi{nKJcZwEWYgF@6dBQIyxr=jA2*f+JIxMBA#$HeRx&SK@N%IwS z8JYN^9CZ(KQIWAP%M~{|WGkz;!wMkh?^@zefOha`xLL)cyPQrc53Nj9;$l3l$Hzts_i_kFl<^u z((p=r4SNSNbhNM6@mD;onvl|1Shu(KrYjESXjlGsZzK3fd!yN}DiAW1KpYiC@vetP z%+4x39@3Q-q|B}3e&&N@4?b>v2r&8a!?Eh{^Ly;Mwj>_T11`kwgSZbqKQK04d^pom zJg*Ra2&T!uE5!|fS9_Rt{}0uqDrn+nqU@7l6JqW6gzkuLmGaG0b=xXiG1z$elCtyN zjS`Gy?-H5*hP+cDS90dOH`ZO13t`gw`bCOT66uG`jD=96kreRwkp^1R+#a{P==rWg zM_<2j)##r=%CI>+=eDcA{$^!n&ZfSpe48AH9~9SGijGT71^bYnGj+B?q1lMQe_+`) z*qpug*vKqvqk*SrN~?XTfghU|WH2^GRmG{CZ-dyX*6!!t72ynx8^VBWdIM}Rq*ad( z`~DZyp{q9AukqgtgS48qP!(kRKwOr+{Lo?jKXq97+|y;0oErs`;IZ$ucUv9UeZFeN zddBV7D#j}O>UTFTK5aMN*!~$O!*zlf-VSx`BnXx`h5`vjmMB6E^4>&IXWy7|7iQnJGT-~U#DE7y@1dx+jh{o3EMNwN%P`rzF=B0?ij^; zzdSZmGOBFv3`;ZZp=C&fM>~n6_-9sEfHU(o@0q?Ja603J{V{ zH~8UH#5r_W?qnMlqxVYC{EdD9WF}JKwVu`+MJ?=uMju_B|GW|O{&Xu4Sag*wrLLGn zc~CVTEJz*(dHHeLNEuYb^YUO?V#iQ`rY{}3O*{P&a3CGov-;guFYfvEJUSi+=~E7-x5#B6W?P6ldCcv$a#$VrUq zN@x_uZ~iEnn5oL+bw#dMjt~nJl%kP=`v&aBCJeeH)38EsV@URRqL+PQj=M4w+j0-= zbbIdAePwB|@FTOEk+K&sxxqP}(5RmHc3kd7h!cCs07djgqH|mCW_dh2gF2$Xf=FS< z?kaTI|8QPU_V2TMvj4qWw!m64y= zc|YXa79Yby*ZsB9)OvbcRJ4Flpx~a^uJIBA#ien8F-2yZa3(AZdPjX5v)?>W0RXf# zvPl!j#9=RBJy*KFPyr%tZKF>xHfSOlApwp#-G1Hn^md6u(H%F8PHCA@4hxjI!BRTB zs2N!#k*MnE$hH^)~}n&^>bV{}Jnyj&=8CZ>|R zb0~_3K9UX^S_Tsh0n_nJEb0KDle=R4$RdRd#K;^K`k@jhQMGkXi43iaEXW1q{AdWO zdI&>czFHC`SB_=uA@c;3yHcAbg$GGA68{!=C<0(~BU;vtqzMm0c;jeAv-&=f-SZ>h zQ#)$sy{r&=My(D)RAMu> zwr=5=fMMPkZRYs&y1SnHJY{~id-xe|ZR>j7K1xVksgPf38~^Eq{*OTG?f4pf-MIQd zTx25uN1IpHqn#2eFhAwbtb7yI@0l0g{X0|=NwU{b6r2l9ak7UO3R2r+8y~d#w$$Q` z*q-=J3If++EpfSkFS^bw>|ja~VcntX}mc9MtS!jla^O<6&4We;sjTFb2!ZcBiVv zXO=7D+P`^x<2!S&Wcf1vPF;wbYs8Xxcs^H)!dX0;kkQ4VS4Km>M#ZpQf@AjpPG99K~}H;58iuUAE(~(K0&CZ3C~FnOz5EEz6^f)J*68 zFOl;$tVT!t`4tjM_D)sYqJ7aHG`D}JhK)V}cu)6&Ah|)_Ou(DuzxjNKf_y1T{Z`F3 zCmXv3@aZOSr9~zBF6!ld#c=ISqr$zUEiKvh?4xFGG!1u(5BpoHS{u=kQvOWV;Z%WU z-PHfu@gTxQA?VTUX_4Cczq}Ha@W*SOi zCNgVXC)Zw62q$reNH&QAAA$?=Op`$S#OQ!MGwMxf!xv3|h<5k;?y|)-X;CeNPn={> z@)MvP)RwD*g|8)u47#J@%F$wm?-N1QR&MvCAKQXPLKkp<3$8unb21b(f*tG@?k%oz z-v-#tKqLmFSUsc6GD}0to8u+eU+iLK9nY67)6L6wJA=KtBN#)pchSf|-KM7PyGDlP z*ccI1?Fr#Y(nq47V4Kq9vn1Y(Sr5p|Yg{U}(5eX5;}Oi0Q0fPtC5m0styvjZ&8DKU zVC0V7EncS&vO0MmreicUPc@4hIeFl{KPBcMdI7I$^PR$G4^{Em%Ob#mDlYt>YNRf@ z&_a6hcWtHCCR*mlB(e_5oRDh&SmlqPJ^{wJzBOl5%!vDOhpv8d6!7kK~eysI{zaDLQ0T28gqrOT0HG)!d{LZF_8)5@SrTR znsEU#oDuGq&&(VOo|Lc>qz;Iu$t*F6^^hf>-3)?fA+)*v_|r;~Cux1rGC?JY*-wD} zwM%0pVi~CMX;L%^9utNIcWl3>=>4h5+mo-IiZsmh;mp&PbZTFAS~J)MVjQf z$>&w~qRBL2a3m6)g)DozwjY-eb@46)N{5C-*+|Phj)M%#QCDX&iG<;rZx0I8H?N7X zumSg?_7sK)x*5E@pI#r=uo#js=)~#%VpGqeEb<&9oTsWSIq;?(O)oCSAM?$L*Sj=O z#HG)I&d_}Oa5N*NrSMfha%(>Uo&dC;@92XSIidRZX+$x}Om2Qgu&S-f(Z!BUyvQ4ihGaK!C-*^Sl^d=y%b}4Xf$-cd z5gMEtKx)&iW6v;B=t8RXSHU_D;g>gN>wz5^y86X2>U}yy`Yo@3Xo$)P?x!fe3nO)gkZQFfV zjZL#~NF>aynlBGa*)cVZlPu{b?}PlI@|qH^>qe@ZI}v%RH?qG?Df(aI_PaWMmxJU5&9sYByq>n8F>m@c;I{Su`O!!epTjKP+gHSXv1`ivgjJO3~C7DPc6 z{&|$o@};T$&w`pE%`ffMWn{89uan>LT)aYN5_4b$7;2AK$tA)8*uUH*OxHD=Hm$6O zB&zDTp8!)i-S9s{93)z{4#__M$)M+IP>>DZ>Nl!JkRD$(dY#psP zwu-(uv{PKXGMf#qSaQ$5?}o~Uq27Z8dacK}yodN`JKF$1*diu6y+fS6>lpo#^Y7`; zq6YX{zkZLYI9K-KX3D5B)J$)!%uM?i(P~}&w|T96nr)g`F8GD1m;1ER6W}Pad4i^` zh1%13)1B~7^RkeE#1r79ev-J-a=`v*UXef5UM8$(d{taQj?$~=4ewz-+47>Rxcsk| zzKju+PXNJ2h)H`wdwybXbrXQ=ZOV%jZ-R3v-(7f3SCJQgFCxk?-WEqB!~>M{8l~yu zgkaklDWquWLwC}&Zkr7qJ}HLn+lw2RvWD}nURp|8r^Gw1sWjmTkh7(qq}Atj%YQeD zn~_qugSwZ8n%-7N8mcZ5Uv_r_Zexb1x$Aw$xZM6Sjb4ejrm;MYrY;HjMj;$_<$!KsetKDKlm?GwPI- zeoIl0a#RvLs4zM4FH^8x)#rClfXsX-2gicM_rBp|XJGP{LdkX5NPQCb2EkfYl+tA2 z-L17>%x0scd$*Sr(@Ild7`4Cq*?>pUdGRpMcl6!1xVU1XD|vF65(1ct+B9tYhx%r; z21W48HR!i-FzsOe=&)6hk|1w)2;+g(kuJ-MX}|^kS@S-q^!j+{Y}sIJy%^Y}_A+ox z2~*cocJK`Pk06P%9;IhIwm?>65Lkw{wih$A4#MahZQi-g9EwmabUp8We2| zvQQaPNUtaXh=efAV@W*Y+;LEH zi){F!`yx;hK)`Tw!zHwn6fy#yH!RTG#7jq>G9x{yDflE--lwDJ>wC|KhI`L)s>Gmt zn!AKzE%MmP;5DQ#zA9JIXB`~cZ+OY!lAHLEIXOw4J>^7Tbe=ti>4#fW<`MvKwQ$Du zUkLB#%Bu>wdMf)=$& zB1#6t$f%0c9>lP)o&Z6~7zp^QM(Iad{6c_d6xjfE!65irCrXvgAvz`o08m7h{sO+A z249f!y5#Z4Utc7gF3X}dEtz#V)WowU$hk+JZW5w9(`Z>rlSi4T>R{SUrPiT~k)dK! zcUsa5C03_*k;BX9QnApQ)xs4RWvTz-PAuuxA~IV^ip)_$&v(0j)}fNeh(e?VO4y75 zBr=hYt5U4}j4U$y5E93L7g`&Z3~0YVA1w%{eW9HKXa|VTE?p$4gE5-CTlj9I?=3;T z`7*Urr-)*&!-HzR^bQa$3d>2}mpsYCb-de9QHTw>{!+_#u%S}ox{9JAW?|$>91c`y zA6AICv~k2MEr&5t+&%+M039)@Tr~0M4lKYhx6An=lT0FTml; zY7Onn0wH|=+x_R(sQWSgEb0kxaIv#Q@LyCB(in5*3Gko3h~+fj3FZ{?(Q@7ah2_9={%pS56hKBMAVyCvTd@P%G0t*T6(=c zS6k-YQnMMwq?hsUtE(^_Igd&p{kILio1$hA9;!YQ()w zMmJaBeyYkpS9}x{s?-{pW8Oq%`l?JVkbzo+yiUYm&&|GhwpyU z`IWx)XdX*$3X@%+(5+d9E-srOGu{P6aT#Z4+`ZSQN1I`EK&2IYy;OAhy0v1*1fy}O z;mFa_p#!d%1D$nWntcQ8V6wII(5gstQ-e4DD3eDF&)8ikwDMz~zPW|Vrct=nR~t?T z)HIyk#T1Tg`X%T^Z=Lq~xyCTs3UcTy1sX?}4dl8M1iIbC!ouAZjJ#}H@=U4u#SQd* zv$N_6TWxf4INk0=tgJKMRXx610;8vgG#XvbBK6a&nFqR!k~3PDGlSgMs-VMy?bc8w0?~=%S}*O z8GmT>D2VW6Iizu2t4N-!b;7Eaj(T@`e2L4eqk1`wS!sn8eb}^=H93Q;f_o_@omYoc z@hne;L9re)@?j*ArX?C3NoOu`x2Ju#hVV@e3m$mPYwjk3W43^{BP@>bMjJ_^Z92wu zI!HLdUDO#1J*H4;@0{eRoIHzzkxmBG$qC zvuYIuqDIZ{?Qgh0jBm=aT|zlaak-fXsl0gIPdk~rSmn_DD7R^1a+bSHDi zZQR`2>38n&?JNQRqtEL%uiU@z+q2};Q!GtnZRox~`dBtFS1l>}Z@*WiO2;Gewu|^Ofj<)sDjRQ`<;+YVZ1s7|`v*t)Nen`E&xyiR}p~gT3rs%mH zdDV>6Y4?~vRuHRUH9vt44k+dfj8djh`gk134-vkW+yY))k|RZS->{dY&5FWU-fEWp z9PMP2pp)PlTTa_5>2O@{@$~7|=5GwZb-LOgWylHSqxa23yUR2G?#h3K&3ouY>)>xg90G_Tj^8k} z37XkvGbreG5s|zZ;`6GA`o!{$@)%}A8vwlwM@yQr)KUQVOslTk#$EE2Tp#f3g_(KU zb>h`+LXDd@jc_n;cdGasH+QkMU(3NPd;<0?8&lhiXu5$+dnqXHZlMdC>w{R|EmQy4 zI1BeySp3Nt9p`iwA1&9SvY(s%?%cA}WB4k98AyO7;kA+;Q7uZH{ts2o(=q|eOOW=j z43!KuFyQSX{&ePfWvh7cqfzERHpF5UHhxQ+N(tOJem2cC&5N@thapigIL(mFlM{cI zwv5Ke!4@JO`-kbKCg3&#)AeZ6x3m7=tC?J_w@(1Eo1X`2pDKLWEna@Xha2rrWG_d$vZO424X+VY_Oca{(l`P5 zqL_=LLwyeOW)COE);A6xXMYU#tgqAHXcRy?LpWBs4lUY197kTZEQW)NA4Y;HYmG+I zM*YgD7B1xKvlF*S15#x4M7QTiG2aa6T^0i?z=tss>=+=N<0|FXObEjigxr8@h}pY3K|1L8$M)_@F5Mx*fqe z1(#H|g8P-}gwy#@_Ule0v3q0^I5b1KqLdYOSGlp|K;;Q)L4!xj*8dp4e=UEs!wZ&u zC5331;QlA@mzz6rc}H&x{O^R_fpE&Pf9~*eb_>zjfA&r zyOWZ8P7~J?*VhyXkByaV)vsh`XZit2s$OX6;KIN53EjcHwrC$M}T6jgTNgIP7#B0BTu-! zgH27wicJ|aO>$0}vwOoH`mx4GqJCAj_wT;FSQn>1-eJ0pvhk0}>>c^)$}`SQ1lH(F zIk`5bQdSVxL&R&BPHzN{MQziBUZA~X>3~XvQR3ap*;A9vYT-$TDC%lJ=tn#d6CCv- z4Nri8iNrte-`(JDDHu2l27MgT%bnpAOKBA6ylYf+ULu{mO90R7w7z@Gb)PQXv4n&+ zqV)Ham#~W--G6xb!)>E_ZbZPu#YJ4^Qg1Zq^5XSO!ja~Wt2L%CnP=Hr zQt8QaY{PFk=N-}m!YC>9S2wOIQ5ND0o^2S=fLvQ~$Gq#iy<)dZXj{+{s7m^w$MX?+ zyQ>o+odv)#5B6u6?hv*2)d`u~tkTGLC|vduI}q)imwq%fcVG|id8WLRiD;#ce?e8n zjB`wXll_qBl9Fho@b#TJXHiQ__oVVavO4>#BDPE2sNu!`Z917yYGuAQ&a=%6e!bvX zj!t$NO1SKKLw!0WdaYB*c?`=UOA(PyKtiLs()(461K_rVJZet>R4HGtL`^Jv>U7=! z2YaI<8Dc*C+jaM+}no;0M`jOONVg)0u3_!;lrxhH;*lU;QM30wzH7mzR z>`G(9q{PN+*wzO~%e{39CX5R*jG3;|LERXUGU5ElN_)gGiArzP)HlF8bqcTQH5+Kz zkEV5J#ULP*dDiB|-h=lVAv&!IZ6bRuZOh)A*suw%7R(4BFk6AFSZJDv4j z2ADX>+?p2YSOR5bSWZK9)P7UNT9DjcT}*W=rAO4v?^Toh(s{L{2~6u_r^g`J5{@oc zknnfFpPKamyv9>&-Ze9k+P!!J{Hj*Rj02_4XVFQZJON&OY(gGSKV#|tk{JFAN(T?? zJH@b``y~rjsorT@Ijv~a-PAO8WhYF0-OzuMEP>K6uEIz67dOg%e z_r+NAb%WE zC`3BqYwtI0E?^1gRa!Y#uP&w3YfRih(4bX@c}RwL(+#7$sev^uz&b<0o>B0%x0Qtf zwMXXAj-R5RN>ce00qLtd`WO;MjR9;X%Sa}0u~BY$ESIy%WxZF-{}N>j{g<3q&NFO` zav{uh%5X(J=eB(n?99LEOO6rl-{6_t%8w3^%Z#lCB#ma>JLbE}bVgzDRQtbgmdB># z;=((^#;epi2EGOkUy!f4(0sSCTg8V~l)oqAofB`W@}tjy^*;#X*FH=7SHZOiY)^zY8`p4$uxzHHpz&?di=G&DD_(gVA=V9#?l< z+Pvgrq#&-CyK9^D;#;l-Qi0Ui)o39*1OI5`F^gb{+(uNPlQfKr%0OH-4` zl$nl_uO;m=tg^BiOGE$wuAfBqmiw48cwj46k>xVg%!mFn4KbBPgcgT>5<4QKJi4d{ zz(K0>62JkV9=XAMQ0#_?{gYVH6Qu5G=6@&*`8%!6Z_sv#>U;|g;OyjBxAZQP(8+Kk z*7AOTOsANVWCOn6>OL(Uc|JgW>MoZ5tvAwHGO}>K%D?RQ;9h?Ay=KJ}C&f~s{wUcS*LQ4UwsY)w zYI>E6q^xvaI3D`>UXDq8ijOR3ne+Gc*64+(ka?9Ue(L=L>US+xPG2=z?z=ViII5v3 zD02@&iP82~AxKmBImZmvAk1qZMLu0SgKoQD&3jh0d8m0@h5ubmc zg*l$#CQ0kss<qaU%uK7w9()4W*iBzEb29v}{0%K& z4I5ew<@f?Szqe1bQ_MfmC9(^CW4qN46L^-*fc9oKVrFf^T<3@PrhgAB!$;QN(G$tj zul5;-VF|MT?I|t>4DF5d@X&Wuor#^t47`~hrQjA-QXN5h<2rb|wB{Ye@Qe3mrhDf! z*}_xPnCgJqnSLEb<6er|a&#$oyxCc9-zSCDHUC}bt!BoUoAF8;`-mQB7vdf+&PjGU z1(Bk56z=J5-8J6*n;oORkC>)t{^OmQ-mf7uoX#=y%ScXyc|XmijV+m*BW`wooG)9x ziM5_2RxUy?)K!D6{`}TiFrS-Kr9=}>GHlKJmW74=$D6dIvLPkDG&(FLBj<(?0GjcJ zB2%6=V?^EuOGU<%zFb8KMV3S6&Zy6yKlPgrMnzHT-+PP85E8J1DD9dckXJf#8qZeR zf`GSwKoNr9SBCTOGIa!7p8!4k|qV+`StX{iiy_g4+_M)zIPTWgO5Y6?$>=dt zWC;yHv_zx^U!+xiL|ziuR4QF?8L@BNe~$a}HeOXq-ip>hh%@8DAcnMddB0(xTGU2h z__Aa<+fKAJtk z(SUl7+p6wh?v$~Xd#VUInxJ=kK(KXxWNZ**r>XF~1feWH6!u>UvKdF{|LeJC2DoDO z2&wKKYWYJ~$_)2{VuQ@ZHh;O;inL^x3?J&I+)xH41jdl$#}{FK3=43mA3$M5p-twB zI;_Fn9%T`?dijpasx28|_`078(a3g1K>j5|-)&JTp1tIU?(d8WO$Ya%N~#Sc1CM{T=c-! z`)hygL?m=2YR?RxKuzk)$}0^`8{>sELb?-7W-2h6UYG${hKDKs058Lqf1mff@uSiS zXWNTPbE!qxTza)VRFRp72YIl2Hr>@WbBhm^OJL~wO?Gj7x(oY=4yMR40G7xCWi&B; zp8)j?nlt;yaAUL@Lz22aENA>BNphdMX{5Avz>HoCEu-t4n9o`n^t{7W%e1+ZN!kTF z(QVm_C-P(_Fleb9Kf0tmE%mPq@ZLMhG=>}`>reoAw)*BquKuni3G$gQhil zg(iZt$XuI}FLg3ud%zwnW{P8CER>lP!376VL)groy)qLoFBtjkCz2ar30B1{5YUts zbyQ?02nDd7hZXo6W9l4Z%#vPn^Gk`Yqp$n9%G zP6hh3UQ8deGZJL68HezOL+fIrMI)+99~^!QPM<8zV)~W7{y!z!+yAY{{vRW89hcVlt4K=KSMFCS?F-F&!w7cw!y2Zs&ZdSH_?;~MFBjIFX zN0E+qT2|-o_gMBc?tYn!XZBk3C_z8hI(|^Of{k_|46hkT;3Pu||7Xm?i~Ra^nOo|! zEeIvzJJ8+|i~jc~lRxzWpDPSIy#!px_@>X_mX?ghGhKbKZq`p73{8@6_%3RuY!q>s?_fzRD@x2p$J#zUQ4pXkDWT;tIuHtcjMj8d`lgiqpdNS z<)r+ot+abgducn{e|FPHIHsd-tA^(fZ!0^}-9yDUdE7YElxz3C&{>Fs854BUOmGip zJQ?;eH7{e;DF&Q2=65&nXB46is-3kum5Qq(Ka}b)mwt*(D;rT7pFyPBeMp@5)=gKn zbSdT=YtiSb!ezq^dl8MPpJ3K<9fZ3Xm6UeB{5|gDAZ6+n_!V+V+?PmkMQbyF(ME&7 zI7HrL{j{}pHYY#X|NVG+;aZ|f=vYM8W11Ngyt=E}zW3wtjACItQN|HE@V-+4xnIFd?D zD|l}}^qsQ!4c_r}HnonURp;DnX78ct`(#cUDlU@6w^O{yL_-gr@g}L`&XNZKS-a*A z=gIlW2*pty`_%e$^1yx8tkk^ZEL#^f7n5JZ&D*80xlR1~4Hd1D@{)uoqcxhL)B7$Q z<9t$a5o4#n)NGbsDQtuhvr}>$2_+716oH-sA;SYhy}76Eo4)l{mX!%G1N~SUfk?`e z1Y)*CJU3z3%#y~*hd73;h7i;(itzRnPIp9QAECKoqixG7;f-)yvh}(=^F|?aE}g9) zP=B})^~=J$wsP7d+LzOR^*D*PZ7ufrZ<*7XcyE;AiYv#4X4$m!Rq?Ue`{|+ChE?>Q zwHuT;WA)Q87|cRkC&l5kqnm1U;LKc!asX)pwoVbO6=RC|F-*J=iKMS^rmM2VE*?4Q zD0|5c8kW;g`m*^EvXRcEaBUmcpw0YSFVJO=jBm|7NlGYI=8@P|43K*n<&u=pYr6ai zw*N0?C~<>uv&wjbhayGAQie+MD5q8O_6LRWG()p<6ZrVwx!9i1Dd~%?g4^7m2ghA` zjq=?PD9sa~EMc*9e5FgTV$yk8)oEDVP|>T+3#r|WXw;oL_Y;gNt%UQHgrcW0 z=Ko+A=(Nb4r$HkN?)6DeoD1WT($2YMdjgDZ#t&v@93@zU+_dnXSZF)Ts@D*vtyXsNndORJi*vl{;Lz>=e&u!I$t%Vm=1gLzX@kPD8_~T;816hyE~MV;p4HUi_}1^X1MBwMe!{ z>0lzMJ_YF;4^SclssK=)F#-qoLdv(?-oXQI(;;G&YASKauxxh;I{hxLiWW1t$PvBx5S!q{xD=Cx0aqpi2P zx4T4fKBN1e)7BKLq0^(Y#Ue{s>POur@YHV#FVs%R162pI+&pery~n3YbDkH^u|{#4 z4W8}hkok=-LSUxHke+2Y8RLVNgAX^U{Mt>nJiz`eFLLNG z-kH)>uw9i_cV8=8>Ko0PrJ5P@1z>hEoZo#(%bWOfMoPddI4}W)lYE{V7I_KmmGK}6 zkBD!EesUadycF!fd2kY+FSim?$loXxfp;=^ZH*9ByiuxRZWMfYOEJ;;j*iV?v}^H& zgTqyJTA*4;LCMqtCmXwqH{1SW#$|)wB1_A=G)i9@C+X`E4rSy*NPPD(#f9O`&CU8n zJ4=(V?*sE8TqUrX4Kq8J{tMa3LOll{AwFef)wUp-q!9hX^tOIq7ELd5=kZsKjOVTy zi+o!`-L;3K+Av+mmdlRAZf%4{x1jyIZz{FD+q=Y4oNU4dY*_@i@pPJ) z&i-#>wu=4;5Baf@X0WAx#B~fku46bSzad^*`I5VP<$FezKCDc#c<(I}vnsJ_M1azE z1aWlSD473M_7^jCFTK(#ua5D4*FWE4r73>fb+l_wXCK#^9O|8Kn3*D*VWRShIO9Dx zCpOp~e}XMIej60y-y2G4a2=R}pJ2B_b{AL=Q@mP|m1r_EuPJ!~+;_GYa|<=zefoAr zeZ3rHE2%>JC5dlJCtb(%4$ZQHK$2i8b#~mjW?#x)aXARM#XdIe%y}Q|Yo*-wwHfEt z*umkZYVF)6+OEj(w0dX04CR+gHc)aDx?@-7Rs+=xXiQ?opF~f8Tn0 zhab&uC>N#q+!k95u!Aw2()tqj$ z2Ws+6URljx1HK7+PHOv#5;H)q*W8j8vnQa_s>Gp88^&bpe|t^^(s#mJfeKKu7pzP# zD(d!qYE$b=o;*Zln8an6H%-@Y;1ZphMLUdMVnnG6i87gZri(MemrA(=U|te9Di)(F zD0@QDGtRIMhq410YnC(_9wOZT9`AH_8k|oEL2t|1EKfhmZOQ!+IVn*{bwQ-A36Xv| zKTrU}qmxbo;z$F?q7Q@?cF9vdwXO1}Xanjx%rW2N#+gf+Sd?(i|3CoNJg1~K2*Xum zp@oVxCq1dnP*5SFtJk$D4MqqMEh1C_ya3{bAJBT%tV2l5v?r&Ufhm?SP^?l)ftb4r zK-}VN2KonK?}*83B?cH%QLdhGe(s|b;WL{| ze5>fVSGHCC1URb^CJylUzug*MUK5V6pZbj|Ki~v#AiODB-V(WYNn7Q3faUSxaw>aCcEyM7r;yk#iJHZ#T}zH} zN+m)Pj5XDzy>ajrKV+M5;ook7XHT}60gg#Tx@e8SCo)vBjsdKWQhqCNNla{9Uz~Fe z!yi)IH~ts+b{;JA)o71;R{=4d;NAi6-+phf-;Hm-1>Z~Rl>V{%BX1RcmOH5;Ua-xC zZPYVeB{}WNw?S>QMK_mgZ|_!S=tk$vXc=hh35u1D&HnkC&dL8U zXgxi9KXLG_>~pL=e1K(FrRzdmyrD>|GLmMCfJ!+l&sB^jYLic7EPhpul1SxFeqoR( z(+f>G7vcRmF?Q*q;!*U?JNM#Et@%88`EKb0S@@NM{PPBNn!OsQS_&*G+q2+WP7iMs zG5x}>T%yWGnXH`l(P@P~fs#Y1A>S2XtS6M~HOOlM|2{sjo(IZ6xhoUq$WAd9D52k^ z7JOKCGFQ?6+vbd+wIiZz$Q!57RJO@tuis4WM0ayT2Ls{Y)f!dyh1h__Nkp0 zUp_o*idRB|Dr7%M(%Z6B#cJ}XGR;8|02 ze12|vzKfH%)gA)WH85!7NNvSZ(vcgVm<|+UY``3UxL!D_{E%^4;~K0P z$f@^pm25}F%Xzq4qs^qrhO5F-R+GsioqRjWsMOTGA%?k#=wREcjUolNqi?)_7Ug)b5#ecuI=0GlQFXstsmBA?^dvqy#;;y2 z|Me?hyB76Au`GL8j(`#}GLf~{&;Fp;{wO%YJrZ1`RvvEH{-fcp-c90>F*mm#hYwQh z%I$XFsE*PLgH?dhS}o;0vrhScYaMze;t92t98^vugMPq3%Il?@?&~b7_l+6t+d+YRt&KEdCV?_0Ep`@LE(H;YRIZ(XZ%-o%5mKiZjZ=^E&1t#y0Z%cwJJI+%tZh4yb3*O;{Hg){lRX`@ zxB%Sxto`gN@zU^6^;Nf4P(D$DPh#vr+cKp_TcVi>dn$ER4jY;97N2Nj?@E)+&^VB- zP_N3#$=>6tHmQ4OQ@7XKjoZTYW{Sw^>&<5>+D^=RfHys-vLR<}nedDT`jYKOyp$U} zb*R9@{*hw&X|uUs*4|f z+ltk|-|)5X(J)j>*y_peeg6K<#OL+z&8!1SkeNfxQd;sp8{2}V)4{||SYH-QRK=$S ze}xudLL!2s`MS8x`7W;G`PxN;Ph5Za7EI9Jyu1Z@LW>lZixN9s;jY~HXzQ*j32Xr$ zW`#-bbMSfQ(jOKmFhqNfYStglTM~}J%frc@iH36gaO=OlzDXZ1m&iHSm84e{`V+VO z@o^yuykj%kQC2=Nc3Zh@+44W%mXO9k2~Z-#9oaiEG`bFU)-N$ei=l}|21>c#M)QZG zuf=v-m0SRD8{62nXx7mpT{j2@eCX3>-ndGtVdE)`c?5m=&(II~^iMLgcsi1e0k1;m zEkv&V@Rz;!w+k+(YJ7Rb46!wJw8Udn-;8d;e77>=dMabn+KU;l*wlB#;9*^Z4MqJm ze5UnoBkJq_SXD+vp%>%J`o@$sGF12g$w^w&->>$OY-k>KC^W2`6KT3GnIl|@=d1; zi~FM4n=&nV1~VGV7SBFk{gQMG#&nAg5dMhn4Gz50_1D3B#>GGV-_aNUUgAFzcf}J! zD^%jHYyAfx`EQ{e0xh(6|Nj))p&w;LczCpsq+}UoR9J>CO%65I#4ll-Y`?i zcdX4Bg7Za*T@*A6bGbv!G(rOYqY;wi2NfU=5>|YiHyLl->jeIRma^%`CG!IrMG*@K zBfrCtQISCnNGQ)|1@NH{rotakcdP_Va;o6c<5QEMqL%^rgH=&fQvl%N1Xv_~Ovxnr zjubRINWIe&d$sca*d!zZJX$CRMgE3$E6MJkWSE`WlW!SE6N9zCE~s{xVGg+ zia%(+E>EOA+j_bc5i29#MZ{rX)=j!hs`6Qu>PiPnSTXrA0?uD3i|$p?jz@w20ITaq z#ByHrSpWvBSEg&RqTR4W-gS=gh=@o*>`KeDW_mS)A&6$m;S~Fyc-z7z&euK8hsljh zbuswcMik*NO_GBhmAsv@J;HuTodV%bij%UFp0Kw$>(Xbg6K=&4-y(=>NYD+>St3X_W z3gw_SF;%V16b}Y~e*pm|5~aTEXrPVOHW4{UkqFOBP!t+1EnnXH#gM$${)>Y&W0zVk zmW3WSl}>5XG*;qcY}v}V=>3bt>0$33%^T^(YF5MHbT0Lb#7~8`(nIUCo=>GzrPiF4 zlk*|ZIrb#1%>|Xs%%#suFAKp}8L2)XY)g+aEEcA<7n%hdmk|ZZHUpDrwQu4`m0Y?B(hG27lkPsPbYPEhShwxq6+31TO zpV~Nr>vH9<89OT`?adk$ebsKs7`-wDu+_rDJi3j-eA#MRc8Z7KToaY{*{m$OxUnX& zKJ@~7yy$ayTWyXOv`UDd`uHUq$K*)T=4w3VOQcV9EF9Fpr7Z%}KcIoYQKBUa3@({b zKOgpz zBve>Bi^=#^lNhbdxOz2FbD`g8URA$)|MVt0%B#mD2-K9S*5`63XBc}Le4gZO{}SUx zq30c(W2Xl%x03uh4D09LE4!LgBTL%~JKHIAl}+E$9mC-jy`Bjn%TG6w^<~}OK%*V& zd_h@Fh*!}}@0h)#8?&z9$Vn6UDyJ!$z=$-A0|@8S5KzM?{%0K$-@l{IP~Ci|XTN@n zDi$EqVw)+?zS#mB8) zu66FplIsLo8qaN%7E1Q~*%rlo!_usr_s0}^6D+J`#dAP*5$P*O^eoLoj#a(--23$?>;9i97D?_j1 z_8LIkMkZm!EIFm#(rd!>)O0gT6?@hb%o_~owjbP<0(AT`DUd5nFUL5@wm&?irKluz zPC^!gv|wowB`p<`va?jl&Q!8DbWy+MPpG8Vp-Z6siuB5TFhd05@pAU~wC2 z!MS9eF)_^jz3F2b_;m<$JFFs`RH& z?(;9XJB9JPFINsUIMeptc(YWbIFiDd165>yDb9*S2sBwjd)W<=1~0u=UoPi%(1o4G z+{XHWQs_D-a&_$u-{OxhtIk8!`B(RR&S@sOrG_#nF?F4|W0mV`qCV`WF#CW6=OuEB zrugRV=xV2A-fdIY%BA_5^2%8IvyB$|3asFX-J+SLP!s)4udYWp5r+t{?ueX)_KXE2 zyxT7n7B2Ls_t(QNvOo3+C&~T;pu4YelM&hJ^&x)y{YOiu9HYp$GeeuoG2P zSjNeOJ#=a$5wPTfW?pvz2 z)x4Ie^1aFyPeEdZ3$Rv=iRYu-6M1TRQxIVZ-J6bX@!d8}1JXF>u>(&8m3GV*Q=`(n zNtL!7^jV1K-puqkkB?`{=+6l^b0H~=75^{~a|0Gia=x>nkm0K?oyTjkaJsErOCpRF zy(Ba3mED<^Gk%IFD!VoH7!t`Kdu6)w)C0?f4kx6vRHHAE*)G43_V;S&6uNf6OC?Mo zazys<1vd&Ejvfh zrgOO!C7*WR9Lh@lp4U?|kT%;+;@UtSw;kYk|X z08MoC?w+HgD6`nzKPIxD*d-oHOHHy;N@@lIQ52=LA`@$nuR4Sq{j!D4J1jc7v}A>^ z2>g04XUkSqNPemUW7qTaqJ6!NNtZXo=G+p^=jI;Lghlz+=O|E z;z_yd+jax%<#EYe*|Qalo{T>~O?d?7lO&;7u~cJ;sM3aW>}wcl?iK0%pZS6crsuf` z1agri^tuxx;<$dknA?X%5hQM|kzMRUIe0lKm0`hd9IWz}lbX{g)Z^P1D_~L+h14a1 z!|`(cB^u)D4jAq&Wmron^;>gC&lN4%`K23TDpF$Y`GuDMKTIfxrH_ znc8X+@P9mggj17<^AnaGYi*#7Ies@`xbm$^ox!;;;I{MnXk18l{_8Xp8AV?S<70^e zAUFd>P`)$gz|a))%snis0i(R==&4zy;bI2|!=<{&VBg;;&!F-)?6N&+*{Td!iiAG! zH)6ZEcwFqd6;1!0vdpH-{7ErwdWxf%DmI1E?|{hI4Qc-1=jZeCMKjo?dWv_7wj^>Y z`tVJn6yijmp38nih4n$Jj{;V^*rK2Qcnh2PxI*33DNCmT-TdMtj2lspyU^`d+Wwx* z-@au;H&=e92FFyjm41msbjSLRxxI0UZ}TYKOHeMH{{K@t|KC8dT7~m$jdqb^oc2T1 zeTkT;LR)BF!5lM@XH6OI$R|5`n|VjIpFxwPbe30NR)!+gF>dPcJHruac}n!q6>>`lJC9xs!nqfAwGcjFZSu4FNc16f8e5y4HX9;)!X=E%_p)%z zQq3>^(qJ|782>~>GqfI_P)j?$6i0{g$a3}DPMj3U`1O>ptIc@_>NhO;HEK?OEWc;u zmdgX3%w}r${~nC80tIwj;(M_U}rHTT4w$B5|3|dK%3oV~S%PQn3}Z`Y!iT`Ss97m{LC34 z5GIJyhY9A7?XEq*EfvTu{b!xip5E`r8tF;KLoVZClaeh3kqvL^^JiRftoTW8c+=jC zUEbFy(}_d5lIyAk+7j$py!+&d2D*J&!Wn_=EnI((ImwwpVj#|T|CD|~xydeOW?4il= zpXsK?{8FrQzaL5RAE8;jHcBxD%|mw~%C;Xw(T7*NV?T|NrSM@wD^EO&Di(>QUHGct zAV2Dt1QUjvEF%%v4|;0&jE@$16yJNg81SbQV(6Z?Nkxz~b!y>vo|Jsam<5m%Wp(agRPFh+^i_?CkO^pXR+2kQQ^?9a5u@UOS{Y zNjVti8b$7{Tq0O}7zN3o$5Ho70-WHkkHYytvBM&?q`_NUIjBjVXx!&;C zj@kHLHl`apgQXO4z>xVyITznN%N1xNp?o41rzx_yO$h^fTSN8v2S^)X8B@k^-`Z&$gP>9{^qfQPX;vKeqxf=Wfh)32=+%Ma?0hFg59<_c-q#cq)T3h=F3|U*( zzSjQ$gZ}{1YtBUWH>B^|Pn^MNOaB0CvC+|IXA|BVa}#!1o0;2>gLAw5CM}(QZodV5 z(a|rvum4J*z4tPG`UkL8p)lR>2tW5YU8d1b-3+r*342p>{Zl0~2mDq-ui{w!mHX5x zJ1_nBH}(4{lzE;gkPr9 z@k>xJ_ZxE@4eqbC7VjHNu>Nxi7fKYm4^0C7tVdYqvbm=NDem#OQ0nj+$F+o z_#L}AH;~AivZg_B@pnI>ihkT9-gE2$3rf}Y7>Skn>(9IPBkCGmd#%8%3 z{939@ZxosXS-WAT$nspf;KfA6C$42Zy7>%Wa`46}SHe6d$3*{((E;yrgl^sXWCuq% zy1#4M{-|jc2X!Mt!`5vH+ye}Zx_R#`>bli;!{}B$u1xWi%mTvY(ve$|L=^58R(R2H z;=NlPAm*o`%Pn>%j(;A^I>p+LnR6Au7laZ*%?b{>sX@+a9)M7SfGljJW5vRng&YAkWu6kLs_F)*5J6#&INmTeB~G;tuJ;Z%}-=N8+Mg4 z``Zr35xUFUC09SYGZaYk>G%q0wM8>r+c9MoXE#@N+KQl^B~}P7$VbYzt7Y`3c%wUpmLMAP% zF?bpnTR}*B^6N~jG7eiBw#VroKsj!SI{bie=jRrM1{&D0iiJnKt1&ttGc~DnOekbR zah}b&UxSH*OVyL!R&7f-&8)5R+X=*0zJAS%VO~qTV#1BkR*gIn&RFK;W04L9DNBX0 zZy%m8uQ1y`Kz8=0{?Ri7siPaS6m5ZAc^>-0gytmpP1&ytUuxS5k4U}PC-XQC#LE*) zunR%s$)MyyWA#GFuA=Xe-b5MbDc)`y$I42eV7^$2C7dM3^ZT(xEqI?h+U@xG#SL@* zQ;ErrGB74zjxSIDIpTgE;8Ko=!vi4`OLBVq6FY2 zgfXXE+|XoY;QL)f#EhqE-|{vTMCuPi$0}%oA)Q;dKwlD4<4G7n*=4lt*IN@b_l`$~ z5vHwtfk@!fd-iz3T@mMVtouNAhbbMl%P)yox~8UL-&jJYCxfEuDJCESh!Z7Qle#0! zd_nqDOgxASD&%23-Xa2cp3tmVmCqcP(25EopSF(ltouuC7h9Ek@F|lYg=^p@b@OeNw4kh!pePR2~rx{%&g`9y(hO966 zftrq0VK$hu@L{g3$)6ygX)f}~A2$ThlkmqqeW2P6t_@C^`k53ZU9a>+Vu(tiC>jh3 zmKHEZexxzN>Ef&rpwE}wG%1@Oj{l8%fGeJ789NJ$8BIyj5dckx6fjs5Gx$F$9Ej>J zo|97}MSsMkfQ*G66}!Nl3tC-Jcrvxb`1}Lt`9NdxWuxDq13IjG+>NLw3BTrsBx;p*`=rCM{GM~9l*Zv3H{DZy`XD)d>dXYJoev!@+ zc`VX}PQ_MbUM1IDZ-k2O&}W}_GCn&Ur1LxhO5A1^$0V;9#>-LrwE)yzyCuNIAxnqz??$c^;4aiI7BX`Eq!P3IS0_cF-(L7Dntfb}i zw*5GCrin>L+lpH5adzBc5$G0?W`bQg7*%eLGGw!($*N8-!VgV3_Gm;YfO)GAzqHZF z7$-CD5kY=Fx-lQPukI$e(YY79d@K&dN9&c8K+jyraG(0cx7XOL9Xb2+q(s}+K)XQ6 zMIi0=z4Js^zRsO>^qai@8fHSx{q~2P-XUr%CvNLT*#x#vme)Z3(u|O))^>hO;si-- zg8m^w+SRWD_t2i)j;jbjbH<7?##x+X9UZc`(%8J3o_nfwGO}3jxdB;Cby;-dr?1%8 z`E+jHV~V+(;hJA5&u8p`{jn|7Ts`e5wa@a4j)U$JSqK4ec{q4~eqZe}$m2Qf1U@T<7`Q!{ zIlkw*`MOy+8G2vf9>7JNWpbE@x{saWUg4&4>_)RdPAN_;Awt!1Sw5gWS?s+Y3)4j< z2^jfnioje!3S=yPN%#?iZxpi7>fpng&hzN@KI5P;9f0akI?98Jpl7Ru z`IFM{oR@*+^0z=f!FN13qp}cF0qfKTSK`{zqaiKWs}Yyqm?4WcFQFCoQW4h_13`8i5BAkgG0b@z1wG!A8Q1(d zLKHp@IG`)6^B&HKU(f8= z{N%t}vvpxV6;l#ZAJ@@5RHO|~ZWH7O)hy_qbry?zN^AjEZtL1+oYvmx0plz0l;S25gz;6GpOG<9()E=YTAJlkqqn$ zOJ#@pX(eL_q}JGfY?I$lBwN3b@Wky=T(gqJ61;^M*k5uJ=6ST&^1Jc4J!p6w`BH6W zr&>^EGsEr6U7y2mTYUghyx_r>|HX?HW%GaZ>HY^WukBuhSgK&1!u0CT5;EE=b05pc z{(Tg8xjntNTr03;(Oc|x)OC$9&vRIFIa#d#F_(wK*>TXVnp3!BIZqq+IRXXp_1JQi zXAU`VU0YSq1@xVKH2i0L_}{vEb(rKhcb@;yCZytbIZXE5t0U??kg^4%$KE>27f_yC8vK4)m;@agq@tdD21)<4dH=ed0^TK+i27FFsnQ2#FQQj}=2 zsoOL;t1WnpZb&^5<%{@QwnLKG)dwG0&?r$maJbH76l?~Z{2f7|xXG9yj z3JK#v${(_}n}wHJr|DK{O3dsTP-0&y$U9>AiPmEkCh{Iv>}nRZb4xB~m%b2jCbjQyV6-BP*RA31nqzB9fU{J&TEmZ}Fm{qT}Rw(l!B z;#n%|rQP(w0UfOrf~*q;|>3(G#})){Ct~P-N(ZHv8h50x6^;$dbB*_+n1V>y~fljLWgX zyy<~?it=$4gxXt`ng%sZy$)yaJVf8BjsCK}aCW?@G12M9b$*X8r-fb#!C7#N8&O^! zfT*@AnpIfS?_=}8hmU-Rd%&5OHE1X;uytCB&;KZn`(XzZPUg(UrV3S(8!90q4Y&Cq zp9&e;EfjhgL73w?c;eQ%rTfZMM6fSQW#Uc%sO@^6Ok{&NnnL&^g_p~MVoN324CLHO zRA3E0FnHu|u_x_-eQe4FeL0c-fQphpcZo0tx2Is&2|RIVMhi?_VWjV)D_$U z3<0*B3#rGc8l%p|EpA8(EN%&WSr?xj%z7Kv92E5rh@$NTr+!hoANWzIq{??4?E$=w zy~3m*jCfF!pv4%vSZd>txgW%HL=Fape?Sg9SRm-GIIldANZ8rYL+0rwAJ0KMgb(j7ATHMehQ9*pgl zeK10OE~$3B>h}HqgGi@oR*KPd@lE3$gV=J-{IOB9o%nopE}-&@DBm0#??%@FQ#57> z_mcF?_6BisULE1>G|#3ti`{5~BZRLhQWJN0EJNhOV58{A$kcxT@0!fprOpXNDwEeT zF??p;F5C5`U}}Q|&mucDGkRN@1uC_Ey9{OV&PdNJ)ubN|p6W(Sa_qHMGf6EKW;b}*RJ;M6%9m%>w=F&VH4>E*GHOhNKiNo=j1>BVhu|j<+h~zSdy6(p2_KLDp!c8=haI6~^wJd$eXWj;$pC7&}eG<73qXXQafU20xHxyG3 z9GgP)F8?r=#nRs*mjbJ}w^Zw`Qhj974l%E@9FvrtZc>L<73k9(gtLnlXbxbLV1HAw z4O?8D`=1D`P{#}ni0_Q7aheJpsR^B|cUrd>T}YeRC+`1X&o`|7WX|8$m$g#ltt{7p z)q@O_jq5J2Zm#yRY5Ly)wtC2b*DNHW)Qldi;XP41@ot9NT7UQA1}bSNOBgy{2d_b7 zWG5v;{18U4&rlQzm=H8DcgOfHz7YV{cM~~<3sx1^=jt;ydRR!wqR81ZBDWtkS8-6S z7YiaK5EVP51vwY=hg?Ai<6Iz%n>F~&qF7oNPrd#{=7zJfJGCdRLiy4%5jBM@;O(C4 zP@YWX#P|Yuptcku{FQlKg%P^Pzu-KG=Kqwu&X!3ZSKob6Vr|sSvU6l^g)$rf=pV+dFL;e@nN-}PF#|-Q%ejNZ@9gFdsfkxgnFN@GR;VzqKi(f6e^QL z@Td*RwRgOGEgv)tA3iC1cv`?7UI_$;>Pv-L!i#Ce)Moi#zVbGtW9$tc7>@z4#S7#; zNrNvHe%(P0P&hwmQY|Fh8cTbd?oXbBp&8QESLV&>y$qfj zbrzZ!;Kx>q{ZuW?m@;Qa$wZnZcK#4Hrf>)_gC1$zE1TD-5!y1IGZhhj4=;`qEPN^MPn z$Vep47ahn-0RKOtAAzs$6(}%G&W8Zfj+{b6&!idaGjUo;y0$c(!$)$PzG3nCOu+Y0_JKO^IjL`eH?0n|1OFwb?d9 zVt$!LA)$%+)Rs%@xN@;qJKbQxc3Hf-jPqv`omAArwx^S~1-JV8^>7 zY>_5lQ~+VBV|jLa{PJLA-tPK#mA`QzSNQPe>C00ZhO2@cX$WDGLXkAcbI1j3yfp6|;`J>eV=kp@M6v7b@D7X{r8v(ljag)CeG&Xn3U+7Et-1c?&v3rGo{NO6P-`F;Qi5J2DgxFd+7 z9eo5two7gtioj}gXB4_=m zp^04^!M*LATry47)G7@UxNEVugrZ+s4`^0?Pbpu=xrXdjE>S(QV#D7kS}bvJPYWd+ zr^9q|zPIhlPSBz9YaniI#Idb)#p;Hrr1po`xhR{s7{@dR=xl25uFHo8mHg;c@ngy< zSRv_f4MPa09y?>+o7P+>(bDnsa1zVFLM~z(H!;nnh-s5RvypCEH|jZTZ>aA(6-YI58txVv*H z;?`dbemp0QUM1dWIu)-daUg!+g?Uudt1jdMA{aXJ{KZ@6U!IE_8~N^5icv;9Fl=h* zMoRNh5k4wujMGq_GhUofax9V4JeArY2Bo%N)8CGhlIA^=`xD^p+pFaOSBaVwhgQke=9?{ z`A*PY?HFKAdrN%6R(sQ9QR}_z;SM@AIR0+)VenJPL)$&+SMsk~<{oL@hAaaV=O>*C z&57RcSH1oJ05}4RR~_pa!;K3V#HZ@I&9kYHO56E_i_gyk)Q6pPih>Nn%3ahK5gMwK z(G5b`(Pwq{j<8e`>UsrJ4?I6yhi&>@e9g=lQ1N2KUTIxmU`fg@=^$Y@FvqopTS=bF z>)y`UCVS5w+}P83D2f>z(gMdb@t5)Q6%F}Kjx@4&)bD|szBImZ?f4@MRpZtcaJqKJ z*4zpwxsYE9247FWZ4q8vwGeIg1+@bzLDtgQe*i`VjB-zFrHKntFl&4HBl7k96*33` zR=}~BbhFdLptKvI?^*Fl;6hP>y<2sU_e;{6rX|1hRLXdDzDd-?_jk|3Ey7cM`yFqU z-=s+f<@dZJ1S&-8dRMv4(KR%usUeQP$C^BjI}bzb^zkf?B(xoG(z^(Z8d=DSODcmL zPneSb2573Ej$IRP|GZaS-N-(D-2K(5r%nNHGs@INCi`|#<>Pr<%k66L{oSBj@paUp zyLDC9^!zw`!*p2J!+a_xi<;IE$aKgpGYZhAfE~wNiRoy*!OtQsb!F(!)qD(68c7x3 z?~VD|$+_z-@4I@~?Y59!xNOzhO#-Tt*IQJsmEXipOnWQiVy!Ta*kNN~qvR~jvH1j! zcC&t%CLecw0A@4<{W9L2;Iwc4aDXJu4;xI)0}Hh#!_C_GwjJ*}ugBK9Q%NNVi(C7 zPa0_taq0$n3DW4c)p(rfF-y9hIqsFuTiAn|C-^c;)GAGjqbt>$#~ayi?C1*pj~HT! zy4#WXNqm1F5SUuje3ML5Q<6b$!VHiInIOf6!9Ui_Q~C#B$|)OrH|O03@l$--?KHNd z7wAfCnBu2kP%wA4Ny}&*#pW%7Pu4IqZrSu1G8wj?J@^M8C;qF}W#K}@+05AFr&@D5 z7SnVvx|v^a9KeK1t@cW-g{+-#$HVg z+z1G^bI~T2DK{i45cGBU}0tL9q|%P2H-D$dh}33l94C<2HNMR z|Elec1d@rP)a2Nki~@R{0k+cE+F`!&anOg5sh6gNi+K4+2YcRPo>o6m4wN_=mOk(; zAOjG5{E?8-T~1+IB2yx`D-njVFyU%mMrNM>2-jp7SZJ346Dh>KkVG&p`M-BptOS&S z0;9z}1D5T!!i-Ap=HYbQ7jQ*a`U2L7&|0JU2hQfM+AI7esARXuM8aW3sK~tei76CU z)nJcJ=JKJJQuyCV!m1^YOp5yN$mp}91r?pp-r=zYoof{q8b>SH&S80FNbzN>3FLDJNgBC*cE4lXH#dzM96?WDR+Xx)Thcm%gn0*E5HS)RSKS%sJ5gNMf=~Cy*C-4A5>*40 zi>NMm5GXU;rm?$#j?WQ&`BAxhyX^b$fRAU=JzuX5iGlIr#Pz23v2=m{TPovm&2t=c zDPQUMl7s$aCX|CFre)-sWbBN=w#mZGFQ)z=60^R7)^F9Q43xMI<{t*VhMd#Q<0zI|-mHcny>i=_!^Z(7+@wLJ&_Mb4K1Bt)_0o+*r(cEDZ`lI2N zCBE()Q~TD5R#0VSw|l3}|9GTe3(i&-)u%a}p38u6Kb%06?AsjR@}5|+Ca|1Xz{+sM z3|ok@cfh^xeN!lfNJm+33MC2LX3ZJp8$*9CR;ynzs$9X&7^|qDdg5d&BR$TZdod|5 zkPNd?ZpFg*V|Z@V78_b=1Tf1yXjkqUnO=WQFf=Og9xKtDl~Q*ztSs7+0cdPc{R3D% z-tD#U|82!IRiuV|ME7>7UTHn2&QqzHsbD^!tHRzW*H*h#D6QwB;f$mjJs$(>uB}Pl zaEKa_%liwAL?leye9lgz3=5&w_{A_R{n0!BjM&yt@?fo{*S|H!r|hV$rtkJ2p!J2P zsWYhEftO=_-o#8Y4yc?xABFmp`I0TAt37)DGZLxKrFA*p!NVzWh_d}t+OD~GaZ3pJ zI>&0V$-0&eq4`bLaPwBG*a|}+Q7lEXg&EnL5Y9N#IJOmvq88?9c|xaFe2i!4*nVoD z)b)uj&U;on6rO@=w9JUrdKKI0MbE8t+(H7yRsv_b;p6qf&(dZR2F}3cy3ZG_J8voz zEW~W;&clv8Lf<=R@2bL3w|LM9vxIGC&22pcs;I-y&K7OU4yuywkYR75KxJ~QH&Z2~ zYRnZ)3^`Y954b+P8F@nrCVP~IjTH4Ce}=SbGFQSh688l_AWr(Nmy^@d4c+SJThL4b zyFl*Ec&Lo~S%isXD0jf?G-IPQQc8}SWGS_}A^s2JO7F@W_c7Fe8tXl^ZnBzzZ zjGAXez|MU(xy}GIAf>7I+(Vn0U;{Mo&};rXxU1dsVRbxkLEInB8~k~@>`)8Krg4P2 z^WHQ^sv*7C$+O#2j2ho8u?jZ!Py*YdP38h7a%%w>%T>Lxfxq1a8F4x6(Ic7Q`ju4M znc=E@VpLdW8-(WSqr-2ry-MAZuYInUEbF}3V|}6{JHRKe1d8CHyfnsEb3<96LJgi; zMk}q7OtY!5@C`y7!*jxW<~`@4l|&{a#C^jhD?Otn$~pO9JSNXp8@!0^-b~5H_0@{^ z2>;V30-shCa7ck{(>V!0T_;1A~ij}C-T+N`xW71c$W|B7`2<5{Sr@cx9h=) zrk^!GC&};(3WwcX#(ji&#cdRkkLX!aC!+(ebWUI5cn6LfROCgs^9>9yuE%|us6s{A zJSXeVX@%JT$xc(N-l%LZOTd!G#&uI>k1J0!SE_?vbuN&PdY`!85Yl0np}tv%R!3Xd zzZhHwnQlBNS8qe!P#RNS=lcm7zs#31p9OG*(Q&R?8zGL=N!yVnwCk4HR zDs{Z2^N0lNn3>Zl&V`d>j(e&_7CIs9f$5?@a>5iRU=K;AoaP?4BbuNIS4l|?VtU!Q z!{N@flEFyn=R3TE-ti-IH$~%jGxuEl&cEh+PbvXvw2?}19=1`u>N7dW>Tm{d`WwHy zUaT!xXaq|CUNZaYSE&zZ{R+zXF`Mo2J=Zhs`=~>&BT3OFys&J%rcV-Lv>P_}rYhxp?w# zzL-tyw6C<2@H>!4noOfBsabEkGJ}i!(bhg|^Lu>nuYYqqDOk^g96%JS6XRNG!HY5lD^0Ac3Rsoy{^xoNNV=Z%GTJ_rp4^>yt& zTN0`~^}HSlB-#r1*pj;Jy`6b!Xn-#RL8gS-j2ZUIMiv87y|6hpz*G7y8i!?9A3Sp4 z`!<-LWPf;0R6F1Q(o|zV^8EGW3uz9`rN&+vaW;q9#M3$k*$6dGVY&4wO?E#eachtp z!@;Y|;r6M#_Y4aQ-^DQ@?n3oW-B}dLNOdnYcn?$yl9^gQ!M^AUEEeRE$H#H36-k`0 zrYjM(Ek~Q5XSA&M0&^#09gbfEJkNo&!pdvX_d$MyH*QBNSw9bbTqeR`65hWd5)n%3 zNo7{fbtKI+c>E-KsxCo`j2FnE5B*EZ6pSoPiLKCq_tw0>ZUBmi_F2C^L4uchiQT6C zemoD69Z*Dxh}Z&<21E<}Aj%;Ysx;m<`xd{2LiWlBD>Tqj=(1GS^uk$mB7!L{gBgKI zYUAUKO=ok32b*t*Qh@ebh?H&R`|(^c3}Jv0J=ArGrrZ+zjc6YlC=~`uH<*`IihYd; z{q0PO)#b{zAj8FKy8~i~j3Y?LsI#woynNw|SStNApdF#S5-4(e;#W6&R-p;@$dz&; z*%XN&!sN5UU#hhIRFWvVMeNUiyCO1pUIJ0*i=hLtX$piD^WUujF^z5(JRcYO8rBvX zylB&5+P5R)2IdI$xmX26N>Ty<@#U~SoA4qRK4d=5`gg2l70qwSVKV&J$f1Xn@vMK0 z2*SO&aGXliqG3vEpDXBf#YMwJa78hUATb8&uS`nrS4puMmZ4Jhv3R%HDSXCVZTZ)RFA2-AS(H$L#)~!%z zf4``N8}$ey{Q*AqOHb|?gFhLG{~(E=FWaM)(2kn)Rd?;#v$e7YkeZwfW`V|Cl5KAe z@$&3L!i>S~{3jFZ)7xivFy6?T*ha*L-@V@sE8s211;_g3q2WtDFY>B+GUy!NNpP8F2{i@O3Yk#Ga$q^4EJuBytD|Kxx7&0Twb zgwDeWGy`(^a>94Q9{pIy@3=dfcAhyu4E}S=z4!kfb5{|jN+n4-(s}RwZ$Y)T#znuY zhVv{r2c%$^qnw6M4XA*f8l2>rA~Iy!EoNHGsY*X<$M0Fofaavhf+`r; zS)GBrJpW;vAPKFNF)AzKi1JnSpQxqy(~nDpll_5SnsBE4CZ3#}!>s_$QAkexKYYDa zR2$H{?HfE$+zZ8nLkkos?h>F#(Be`k7ThWB4#A;7fCPsYmtrk$rMOFxwgjhGv7Y?* z-TR(<#yI1?=OGU(S>O8RoWF@C>uLzHv$28tA&s68&pMWPM=;o)G{$ZZxvZeG>Y%2M z=09VU zT2lrz_IcXjbYz#!H0q~J2PM?B9F+%*bWiDFhG z!zA7Ov1~eQL=D(C&Y`@hu_DjS9Pq{3=|Hhn-8ky!I(vExPp?QaCS1rm3NlGc^WK8+zYD@vXQcZKZDbDEspAg|oPV`7#8vwAdD=eaIu znNO2ZY?-Ia#UKpb8{&#HXy?ZKzTlgT-nxFlrj+IL%^VTp4V!tyR6b4}^d-AOPL+Q3 z(U_P<({JAg7FW7x3v1_GJ%T)UZZ5rOqSj0kk7qAvzU;KVprXlo(z7l0(!3Vu_;46b zXR#sLAvSe=UBz&K3gxE}*%Tj^ghf7+rw`Ckpk_W{HqSj9WVluvXn_3OqGr)2Oik}P zJ8$A&ZomCHlBZ*~(8}}CWKkrVO~hu$5sda-PgL}R+ng{vG@B4L^Qxd-4(eDk@s|Q? z%Tnd!s6L&huSXVmsUrn1h>0@3B{h|VK!_#g1Toj{71kG#ru zg7`76%@}rPI8UzXK}6yM@5|257qVIuD!xffni#an=i5Dg(EgN}pUcql<;*|B%36+s zgqe&r+n30rIq|a~9l0>u#e9X&?Ih}5;58n@y7}ms@WYoup;pj1u`vG=z6b+mc|!F8 zwUQnY*X(_yxj6kL#`z+@MQ$7G+h=9m9u`X$Jv9Y%X7A{20yQ6+j7-m8YWUzL+RVPG z8LhU-?~j25zl20XBTSOO711l^-NV~cv9TDME}5&We_?6;mcPUs8YJq_gn_&E?%>OJ zpT&z_wg*LKg8$ajg-Q}zW_(eN(WvS@Jxh~-CHSf{N{0DvG}akix<4q^Kqx5pH`$yt z$0tf&zuMzvwBf;#=Qg2?utPQTVixLW8WRYZYO{a^m?JQ#$!>#I$X@w;g{ZcIb3Ry zDHc(0nKG}@HgF|~*32Z6mNY61*#8^f{2+Yhp~0i3F2{^P)JpjNy}uDX1G$tBH31w4 zYY8wj-`DZnG;8lK8hB{L@xI8yQEIs8&C@-Xr1Qur=penRWBh=44)Vb&Luxr&Rs=6551 zWBW1ULD2zJ;D3P9dH~}dAgHG6>>r?j$+CSzz(c_6T#6^$U~hOJ-wrLpzvd%hg9*Q2 zle~$+GnuRQOY0~t%m>}asmRyqdnrW+iwU>fxpOG|s^(~1>qOG#1{&^URL_(fXJ)X( zuovc-H4!3-T32+U*d?Ew-aDVg#-8hYAVqT*P@T*tXvfO6?BhZxy5Gb+>d_7DxBmxd2)&Pe`VX*G1Jsu~JMTdjR`zIW?a4j5wAJOf z+)5ukkfhMx7q;Jbo9M3iU95@*`6f+q2ky>Fpz2~=EY&2&Q+#`&ZH62~J&mvcd_J=t7 zKIG{k8eFF)4Y(33%102UFj!ObO4329h)_&a-y6KV?cC>*k=y7`iD-ATufljlEoLB$;nGs1~1!@;(zDw3+^Md`erLh8pTn3h#LR5cB(A3QCeHE~>Gr!vcYB*D(>)t=Wm{{SUDoA1`IjUb_u6+dy>i@(yhgU(xz{@}_m7enjZq~w2M z?fk@K@9D7%Sl6x6HmIg(QLnu?(yGz>kY73HjU$!w!8MUsOh*3!v^$%Zh8-~ik(jPFkz0H;*HlH$)je`UPn-!RKF`;5-%_}co~ z+i1KL1T}zQuSYxTpIJA={IdRYa=l-`n5DirXTVCRGC*{7$-$`%t)y6s{<%j;ctzE09bdgPaetR+$~vKUo!+WjeW}_* z)DZo{x-GI!fG1i>0sFmm&yY;os`}MTF5}7@$v7TN(j}LWpu(7hT*m1--ttlG$gV&# zpbst?cxLu%#iHSX4hKV~XngqI>^7Lr#N<-o#UY{+ryN5CFE~!C-$98(U{&|DIxNdM z{o_936(Ee4%?mgX*`?%rgOWj2=c91sl@&dPNWr>G=-!Tj zjUhzM5gmjY5_h=)3a6mB8!ict5+=tn&@o91zNaCjSRlm}WSEc^Vd}2aziYFkd&Bj{ za3B|mltaiBrRmTs1v-gg-=VC8!qvQzSST?uuwjINgVyQ4S997;>eU4wgP~v#hi8V` zT;yqpXctXMPeE))a*6!Pgdvph8KN=^+MfVeqM+iP0WfmMx@oPLyM<)w07SZ1_pfFG z*s*-VF?|KFf$0MnxWYM3icV`g?nkn>fsz+J?lb$|3k3!<>kp^F&h(+P|Vgp0D9g<@9)laqkZp) z+c~RxchC`4ZFEGnsu(uyrv9h*=&dw5Io-I|NCFRsR zz2CZAxv*DA#H=Q1_|f!oe@v+t@X4Y)Pkc8kT1;RCMqH&quLd91%XxMH$mMTe?}J3D-R(w&WkqjmWuG`y>5D(s!S#`OpGR4R;XzMa0D?|g8r zdMRnr?mWBKoEN{pA0OW~raI@LL+7FVjJHwHI_Pfn3g!d4$?#GKzeS5fu2463#y;Gz zpqMNlC#2s0oh9@C8hca{c>ic1(l6vC3%}r!VFL(!F!@ z{eA)@UXgCWeDPYLEnJXo5ncLzbx?&B((*bsu6(#NWEz9Zv z%&n$RNh}zP#|*+2^BQi+&-#ufhDa|DmK@s49A#EMvTPphU|gl9AS4`}MDuH{L^(+5 z2a3%v%)&K>s+jH-m}yCxLRN67uXXfAZz374Q~S$Pg9XZKMhbU;a>MO6*D1*xD~6Z| zZOS7G4BC5{btZGACxuReDG$DDxq)&SpRkmNwdt~|L1(1#;VIu!T~B8ykGxLcT#61v zshhpppL-HhGD+R)u8 z5|;<9D@NVYWrs*A+PET++o`lKXdoQ}89Hqsx?f(JL$os}nCjYZ+qNR}K(lc9!e^2% z_neGjn7lF?bRCZ8L8NkB9cD6Dia1O|keAF>YonjiMyFNL5)F8Y8fe~47{1!lof`NC zhg8CmE+1AGQr>JYz+< zS(8c{-)zxJ?h#&VGE#Z4CYY6(%O?y^tw8^);|ZdY{FCkCnFokmK92M^?nY;PHwwLoI>4gsvBN!XfxTx6Gc$1|eU)lYq-L(pVX zJNjT{6;e!m9W@XXNW9Yuhwd0;iX9V}eBF`*0I$M83Z!cucg^&x>w1v3jsq|kH;;D) z6-J{RPpllP5}}NuZc8!>ZY3xG9kTHAjyx)P6hEQ8-&0P@Hw{dHc zax+JcICMi_A~*zga1_I_l2dH`yjs1Chk6^~H1{h3`EzeB1kpUMCEU)9?bK=yS`vp? z?>>1=PbD)cC4YujDZ?CTC`BU@zGfyPCOO#fp0LtT3G^n+I=EJ31?5^_Vc@QhBC-f< zt*v|0L494mBNZIH8#s|{QKdF~1)U0-cg()8p=d!Ri_Vp58&x12U|xEjwgw&5O^w@9suJ zUgzzrlFXXMCG2g2uc{P-;}5DKL*K`~_im~%KUgiz|70{1zwvlyTd*@pH&U@uUy`}+ z@jaF9s70&ZX>gjOlNc$izUc`QbzSF4-Wiu*(Q2Ym|@1{l;J^ zjdEddWxZ*{&+U1a>@!<1fmA9es#aH(E<1qTinbb~L{uV;-^+;Tvn68Pn@)OMzCh`L zuKRUHjUFc{W!in_A7E~dNrACOQpd#_hJ*1Fs2oy`i>$6ceovqSEw<$DSWfhfMYAV& znlmUqNr5jdMOGI?R@V9n4xB$D;Z6T~-jLhD2QK2)SvvBzseS$&*ghT35*-I3b?#VD zX+X1kdxi7gY|nthNb#|+p6Q*_GXya{FGAg)|4N=Al~@df>D~3LZ&k+idxo5gSY8?O zCEgrpp)JU+g&_=A2eaC`3sq!LB2NrWImtteqySad{G4cr7>WnXlPZTd@E(XM+ohGb zS*H~47A(wYF1G~mecV=J=_ytW45!|IjqR!=Epy^mVXpNkqBUGng)>znD z-F`sSQ~l8#k%Lv`!CFV5RDxo`1>oPyJ8AEWt2y5rvv~|79kC?^=J0E8I{b3E-+}ra zv=P?CpEJmSx$nEZKRPAHu4=}S31V`>Wy-W3$CBeKVJcgP*b>AQhe^Wp#r3%jwe7eNDF08;ACCxt1;`_?cwxWt6t-r@xU%PvKK|BDw_VXo-U1b8brHuQCk?X32Q! z@xy?tW{}eFo)%u$vr5LY6M?w>E*VU8Jw>cZPPAT{Wb%|Q?SSfH36pE&(OHF!urwEe z4w=5#J{i%@;;hOE6dMbUJR)zz>y(Db)A#q*Criw=+?9RJMxK#H*#gn4)%rfSp6syw zh4PyA6*$<)@`D&S{7^G+pDq`@wx=DozLQ%cS&uoJ5$nkkbavL@k&OF_$@WpZl^(^Z z=#-09C$GWj&<~v=4P9M?^3Fws{{TahMs-chFVgr?zN}JKqL(pcKTfLXH~o~Qxu1J)r%~%+ z^pU?mgWn@Ryp9nI85Mgp_+}wa%a#li3;qI^1PzG@C5fb|p zGL`_B^^uy|j%`0=)eeEb7h#Mk*V+Q0{YdX(qgmc!XNdv75^!B}Is_^BCHcn3zGgeF zt_eB{$vK-TH8TS8fs~jW)Z>|th%rIQ*ZZZn&YH~YK0U=+E&V<~^;g{7*JLCv;wqRR z;K{M16E=X~h-+f9odOvg5?awIyF10D6rq|a1_$|}E&j$ZNv4=~G4#+o{r_-Ts$|jF zySv(NZQ8>XkNeRUN6v*t_2{l?ZzJ|um*u&fgGHZy#g^Z&-baoG_xZODb3-IlwRrDP zFUHkdOJnBbc;W%K&h+D6QmR-{YdPXssj9U22RZ_C4=W4_GWTNL{Ix%HFGz9E5tDE#% zJoXvXKp;0Lsdpa#1bBe8f_7Cb7yc2|WGEiBITy07Zr)IIBddW?<%T;8Vq@ZCNAOB- z^b}sVRgnDvZ@zccChtm=*da_~b<7udI!~3dJ@Qn8za9g3K_uU&-7pV50+U!UDsB-5 z8NdD<`c6vPcJ0YJrDQ+Hvf;dS=pbK^V^;NACaO1yKvZ>`^q{)Sg~E%&dVtODncwmY z7-5gz${lF=v#qIloh=mVG~h?$?k)|#nZCC7WuoDDYvKB8JYULIDk$M^T6`X1RXf#2 zL5@4?b!`c_7UF!dEHOz?rBw&vA&f`orZ{~6QxRkZOS}JYQSecHqER1)nTF(Gt1kn2 zD*Y7KuZ^r^j*$7}LzOl(HZ&RT`Dr)B%!tNFg|Jq-dQ6RNgUDAR+UUO~{uag>mrV=l z^)`m1#Ja$8-unpWXm6R$42+E)n7Z`Rz@?TY7O3klQ-EY8yhm4PpQ*)6Et^{&j%B;xo79;c`BrZ ztChwfA}g<*HrwlXvo|U`7Z+ZO{%AQKGob!as*hy_o118IUPXu`qOYMo_dFilA3i)| zsQ#7O=&aZ-3e#AuwJurDzCUL|lC-&e@gUEBK27B-4Z_i94K2Ms-|2eaA>N`q^)gqY znqI=F@ZZEg-3LeE(dK6q*tuwq%dC{s{^<*! zH99MYOO&%;OT9^o^mBZ?^TEO zC;@f^aFP7dc`oJ2w1fLUz_&EdcbU5rHIea&vFTYE1t1yAJZg!7Xt7jL*_%eC3il=> z*NX)U-uVupSetVxdkay_p=Hkxl@KZYg3#cWD6x8vV(GS9m? zZ(R#qULCa0K5f7Aa-HF@z~A#TPidpJ=%9|OI6nj_C}B+Zfw#>ImLASfZNb*J-3N?J zKi(UWPpr{ko4<8==WLn2`z8eU8#4(9OF0)70-muDQ12IU^c>gq+hIqK+Y3I7;vx)P zp47*qB;rzlTlcrdyYFGo1M&^V6M211_A0=IooDl3BqdGT4-+iE8w@(EQPk&E*Nxk% zOLh3x2qzqlt>sruKbG1@q1N)!NhI!I^}Ye0IzlFP?RWjvK$AyiRg7=W*xHJdUlz`2 z#~>=RxpSNIXg^04IXX7n52ycdc6fQCb+;pU(-X?dM|{>F&oVrj<2Syy17D>U^$Ht$ z3fF0{wTB7@urQCE@5FOz-CBy-sG2~2HWD?-2e&`ktN)=?KjJO> z2f3O=QnGN;cmC>OGH$txXG$2KCHYH?!#W7V7?G|)2d0mfPt1mkhGO8@m7&rjW7Y71Tn46G8qR_~dZs2%5@(9j%j zkIl`s-en)MwEj6)zHYZLhd%z9RcIx(=9~al3$*f~a!G-~VM27GfC!>5csgiBPF2w| z-++e&k+O^V@kYV=yVAq=#Rk@W%c9!5r}#DD9GLi0FPBgKPh6;jzYC{0f(+~{lG2)ZOL zRga;EbOU>TW1gs$hH}dqi?OgL_z@3+XC6AQKZ1UXUafkxS)MGpRp|2Ws4@e{NTsd6 zGxWaowpe#)keH1M-Nq)v6g!svyaQ))Q!fvxbLtkmI7X_vwYAgF zbB!M@qK5EsECWtvReOgjoFcNxmGBcx{U7TsRQ20nOM;5R(j7r=1Q)03sceL6QYPs_#Bzaq2e z%@DKd%2xT{!{QtvF{3_zV+GdUmI~7W|CYn#iJReAG0>@5?7Kh~Sti=l-NLM-0a*SH za|CH^+Utl)=JZeW!*Pb|0XTBN46@nsQfOfH6O8-jtSas>Zm?Mvqlc7E7c6}zJC`V1ze$b;=Bx&m|N6E9?RtG8?rUykN}oMu=lf%I>I?gy03R$n;s&kZU74v4fBn{b6Q2izLE{9fW64M+4*{9u z^mrV>%Ow;ivbwW4&T)3#(z18|aJBzgk+>%}+PjLKtCXG~Pz#RrswYCdyScDj{x9RI z>TbWKhF5Z3NMPu3uEFuoj`hHwohy?T#ctE67F6?Bc5Z!jmrIKZ(O+9x6|;8c9}06V zA93ySAgO&$v$B#jNqxnzNvmAr)2>Fr-&J%uzA{_z{O%q9`yR{m;qCSdp?7mmo2G+$h2#OSOpSGq%y8Q`&MZjkWfB}|hk!KJT;zc2%`qV@L3^! zFaUOXCDyX>C4NEwyt)$nLvfW&;DZ(0`PRekIY^9a^zP%nz|5Gwn5Y`;AhdviXzKrNKZD5yaGlQWlPkgi|ag*bSB3y8iD({R?&)Yz1mrs8M&Ui z6Vc+#e`Z>^^D`z{I+~ZsGmfb3mK_&njrm`-_0)+&OPvdNz#A_|W|}G-2U(O2Y$`;) zXQd;UacL8FoLC!^N^7TjsnYF_CPC)OsdQf}ANGYc*d!}Gh7n=H&U7%HpPdY7V9qmv zk%q$wBL^W(Bu5U5mTM}@>xxl`iM3}P1kL;1tD4jhA9-%x!C|V@NNfBi0aHO!YxTfw zU}&f>T+rQC&7+K3KUsk%rPV+OKy!s*BI;_W%`TN^mIQnIb}U3JJ+W!c_}CuQn(w5Q zRUY6ph`5~`hi*1d{udNj@&{$|?fKhU-OGd0> z+v5Jn`>zYvGmTN30nwdZn~P#*1-|sXor=qFAsj~-XN>vke1>mY^Q)*=D>FN;?7Ywc zF8(J9eGtQF^ks^sfwS3&)TTp8Ob+B?Ff!L)YbZHq&KL{CH||-wDzg{caR|O*M}y%f>Kkai9IciW&~+#T~z{u3RGzR2`W$3&LOR> zUee%i?@xoLRVo?x3%PT%dapG#<7xJU(#Gz?{%U4)23L%GUAJMlM_t-ELv^&j4yvbp zj&%IHq;oa<_;QddHuVqQq(Y~Xt$ov5R+1QQYyO{Ozwru5)8D=Uk#<=Y7K*qlu(gLX zhzXJbh<4h>Ej}eyboLPatXo>G^V?^>3sS&VVOq0YG@`+5-~--tIYt2Fpx;${Hy5xp zL#pFD#DsU#*f6bd2QK$X;=<;_MbsifIvqF|qJfz`>^c z(8AEXRYrSpsIq$v7~U!8$JV3rWIl7emv(K?TvLT^^?eOtzOD91*&E3k}*tVE-Cs7$n;=Olp3q$8VgeSs}G`1vlh>3w_Ce1Xz zB#N!)G)V$o3gRv7DA#=?XPl%2OuZ{v5RA#1ipF`}QbnbTz=QU2HZ!x$YNb&h=$tI} ztR9hz#gQ^x_|J9muq&|2z`v5QVhYOo=JX&iPT{;cBd%hCHx~!zf^>m&{H-bSI)F1~ zGz|WhNf8;yr)sXOlgse!?4Vf&%{%a>ID$V(mVk`13JRj}Qz4}Bv75*{Co8N=ef3lb zNpO4^2LmBKme<+zos+4mx(=tO-?0^bs(D+IHF5^Mh2mjXkz}&eecVhb4ek*>EPy`EI*Fl=jVx!(F!}x$*v32bRZL;vw*0M$itLzbb@>2C`MW#-5wU$6d!^e=o1~ z%T>vZvPdq~LpIKh)=9!gnx}Lv^5TIKUO7NsSOTD!<1EdoK&Fgfpprb8Xtfue4xX#4#qymr|(Y-M?th{Q!E)*lGWEqqWA6I(kkYW zrqW`HM(Gp844kxPJ+9=M9?Tw~&!dM0B*6fPDSxld8OIDOp;cilaN?h-83I@bs~%m4 zel)p#M0@kzhK{Ca$AvTMSfq#Pko`wSs7h^D8j=n=hz!hol>TpESNdN;v{rd|;C=tU z@BHoBu5>4!yJ5ehl=!Hz=jV;b1-cX(dX<`u^*qlmSzZ#DvS_pL<$Y6vQvsnpb$*A(4RfQI2)d`%yrdrXgj2*7?v%=4gdu*D1_V?ap6386c~wK* zmh9Fb!>r~-Bh%8EV+j$%&3dqiZkZAHY;!`3^kb-sZ=r6sC&braW+iP)@%*?7gaqp( z$>Rl!*sBmn)g;SfN02m=*#J+O*9^F~B6;wJMwK!cm3c<`gc{RrYRk7Ggqah`O6rv* zirK*xBQsTvlVH6nm}m3t`vUx}0Yqj7Fgq*Tj;V8&P&5xG5=_SSXn|RI*=e+7msPW5@TSNwJ z#96Dk?cZoU&7icZD-8=K(K~FVB&B`GGyyV-H&HLDj z$0ED8S97)Kb=j!U*}Vj_p74jFH|GsW>U~uXv>&oJ2(Y6QF=Z%Pecw5GJZw%p(oZQh zUX?1HpVKE|{}qP3GqPg|%LDG5c~;3QbBLh7I1j($Tw2|+ zb=@`3(_pW_KxjF~z4c;J%kCw9B;*^fDHBm7p{|(tC|t@#42V|Kit{%Kt^6xxDP!Tf zuC=_`e!tv*+5ha{-*lK5U4PRj{71!S=hW@qtelw?*4|~D;4;M<%S7nn>|x>}yb8tP zw{B+n)Mi-m%veDo;MI01vQ8%+{l~h{`Fir8ifg6BN0d>bxOwiP>i|b`; zsU#X^+J|HrrF}ro?b=g}#;?4;{|w83r6Nf6CkC3T6{7sW5+7X8Bu1Y$C&=S1slex- zeef4L+Z`CyxtS?rtNK0G7o&H~oaX#T6PZF(j$_WYlu{Ulk>qm-{0Qa!v;VuvdWDTlXTBzQgF!O+L6c;- zweUoT^=k*dOdwiSA&hmsk(>=w1ucj`G@hkmQB#SMzR{i;1#b(K|~F{|%oPd1f@ zS2dvfA36ZqmK)eG+2u`5vw2D5xr8yjyH9s&kH`5$U5+@|Hya3QD`tqy)U`u9Lf_JK zE^Cr``Z4+{!HP=nTzl6z;rU8_mcO?_rjMamC!cz68Ge>;6NXytABtd^_|0t zrarooc(T;P&7I!mRjpwpX1{uz4s+jyEUS#bT7(asotnhl=n|%OCEJ$d5QjvLxfC~X z80-h#C3(M-3bNrV~}6fSylzC^o!@LdPM0k7L)1+1&b47;YGB=+f(c{GsE zLB!k;@Ty1q4#NbxdG(=1Pr0rY)u9TcC0+nF!vHO8W{NEN)}S{5~cr&(g=;&fK0U zV!jsG{LyQX9ybTRno9?FP$}nL0#f!51ASH>R)~8Iz{;Hr&M5}RVQRY)w7H()SH(?g zE7jr16Qp7urp!z`sek`E$siVRAkYc4WI0sP`g7$7NdwkVFJsa@m+ZFzT&(r06%*vX z79-A#l`a)rt%@L2TT&pyi$7s1Dh)KypIiMKQf$Y8ojsznt%o&G>@BuKdDJ%HXj1Kf zf$bbhmoP(JIcSv{$AHhAT+-zoSH(+Z>S(KDDLs?XOL3D%Leai-n9CJ1$rjp(_#9a5 z)(Qin+4YDh(hSCKP5+Aca7p4Y2Xrb`0bjCq96Kut0m#ffV%m5Tx4DKFvJx3m-4R_2bovc9=={N09{N98e#xU|bocXbOpn2`*P1-nL1xF@9%x~~(32+l#oWiy`!CT# zuis(Y<*y+!qu2G3y4-C(;V`?Z%3I-!HR*<#7oWW2I!MJ`l;u3 zvbv-B$qHo_-HqO*FvO`NIaFPgAfo6cJ^>*|jGntEKp=yMqX)Smj?)DoNM{Njy*3?c zloGZm*=Z{JQ5-X#>Hrkn8Xa^-X}kodXZH*ONu7QF03>lOO3ffyO=5iPzZ`v>9N!vn z`4}$S*Jx{w`hzE4#XH7#fUEFN#$VCqX9xT>%ASo=jD|{N+9IP%o6Llv)3J)K-7fMp zG}xHWiE5Rv-fRAD99M1G8BJN;amT7VX)d6K)Y-Po=h4Ps)Ysw?PBChj8XNj9HF3Aj zk7qSW{{#FDPj#P+So<)z?EaGHV}-b7&FiTN^%=ec*32JCNA`#VNG%I57jab!-0oF9 zwJX??eVus+UDOyaoU_#S8~!B6>#h=>QK7L=ykY(i5WeDxuD!eY`z!~Y?AiO|5s`<) zQb}KqQxp7-Mr#*AD&h35Jj&h+`cwHvlu7FfcjY%HN%J}$v5tm&)b{tIRGrv~hlpN& z^N;O&1@e^(yM-VXtv3*7Nt`rs-kjTlNR;rh|My$PQTO)y(CmXOPVUGIsn6F$FqS&7>n)gY}LV7Iv!RCZk!#_B{lzZ8-Jq%Ik3E zmv0j_$k8-`_Pidqn)0%Aw~jaOkla~LnTR@`c&LUZqST{CYbl*K9U(5U3=Bkj@C!Wf zx6Sc+38p{4oQ@p477C0+(Y(l7do1avP{ma`8%|A(Na1HayCJjSv(IvAoR}0T=GoWDA>nJP?KCMh5ML%@6x{6oLVQUk~PtV6=EZf)(I_jwJmtedBYhyPWmjCQtJ z{Nz>K01+sHHtuFzIBm+S$*C@$` zV^E;^%XrOs6Cc7sLgA<;PL65*ae?uW$!9s-Sz~G+(_3tmSJL6D&z$e)bm5G%Rh)*P z>6Px{e%}yKnn%PNp>xn5A)$9(&$TpL+w!C)Yg(FhFiS~dgq3HS7#rrB|9fiS8R_Em zB$7S%$~GWg?O@MS`dU5b)NSt>X;>im?tHXlE`voES+Z4JHA(@7K4F&~l#7?Z3;K1N zF+}?^h$MCUucq<*Hx*GW{q_Lz;7vXoEtZ03B5muQRAece+d~+fR$FIx?|3I7i$}%> zvJ(D!4wFyPU9SHuzer2{Z2Qy(=Mne20bjvYM$q_FsfkI6O8qpN;n%_Mw1c1V(ezJ! z+og%C@0;fmv*#JmLC;wi{^d6a%<>QVlo(4ErKjr;$*|mpw_DdFXr7m3>bN|$#j{#5 zp0TjTE>Hdy!nl0RfbY}^Dor@SUseOUd-VTCpe`v&uiarhC7$wt2c3u$w;(9>+peh&k}KL0dinjjXO4}Pa=vRLy{`t|Ew zwkN4~OX1*8SbqBQ$j-NM)Fj zj|<1e7eE1Ij~1u>|1;q+-W>m0PmctGpAgXnM920Z2w*lqHO|v?;Jr0UwO=*)o|_cdre}}@WOLnRjJgjwNhwx0 zY;P!!CeLV8i}}GB{OC^qo2eQuhc|R-;f{%a(#)!apX8hJRo1mQ*2L7+M?{b5#xKdX zIpmb2KK%Cei#GL2U9GMDU(Is+r!lFk@)*q`tZ*A&?4VL0=T4h-MJUBgDP^)04||{9 z$VC#X7`iDhBx~@awl!{loia#qbzWL}Ag-<>JV9G z$=Gb_TP;la&HT#|ro>nJ`y|`a%P0PHCL_}7@+j~Mzz>jK9%}wt{7MvEM-ONf~M%LL=={i9>b+CPif_aIi`im9iaA zR~%nf88bFhQ32$_qr`NXp;#E-?}g1Cn$fxt6*;0m0SFFT!>rr3B_*kx3*Hd^T5t?i zmRDaEj7Y-RTuEQ_I=gYSyqJqXLE(rhb+S11QVC-9T?2BqMQE>Uc6l`p9&)IK6d1>| z3%nN$CyQMXl|Zi(S>5VtgPW0+IJA_Q%xRRbl3TB?vFb|$gHWZBP&X%&one38TEbv> zVU)bIyZ|YKcdCZ|Vtszdib*!INB|FsiBmTJX)%sGO?{hm48FrLRA@^HPP4bTefW1-m zFosy$t9>6j{VywZCXj1Sn}o+TwEhZyD0JE#&BCGniOzxS z6K8h2{HU>TKKK@*KKF?`-u?}=@lWzM?dILD*;}{D;wf9ZKSsTGUd^aJNjx2raFgwR zN%VY%zECAW?$biED$JqSvBn_!O!d!@v>%a7}_bH1DrCc;5!8@oijC^lNr>bK}IgT0m**8osF$ zmvMqV2A*v-ACk7r2Y0jdV`&ygynX7iL<}PxnMcW-5vOi-R-C6ng^~UdJ?qkW^xg5S z$y0M_bhj%@pvg-Lpn>PNq7cU+#NSubFNLoyr0gmRz&PBd>DxuszHXv_Z)7TNLrL;b zOoU%FdG=|xKX|o^o4uW4pWsWGof?Z zdIf~25X#N@`~xW7O(h;4KSW(imCFq6ZWUl_)_)|mJxM0yc0~k%LBMs)jsy|x+ICa~qT{-GKlZ*1* zY%?znAft7wIF{y-$Oxr_>C5_JE82E}|K?uY?5AXr%NA;p-J4$}8SDeYlvu8an9TJ= z_cedwpD~KA6I#SW632v2|#Czy|gG3f5^)FP-RW7qvK1#C0Gb9 zgRnl3am-)G@E;(CvB2%&RKl-XiR=VUO4X$qR5+uyX-$F2QW5OHy3_yHslmtsEN}v( zRXm#Z+EI?xpi9$bP-DJKQs^;t5jLCQA!(n*EF&WuVvuJ}?Y(k5So{iCZaITzpa9a= zy~`viiQfTtPO)j>&kVlPhN+P;kXXbX&Mtlpw=HRh^I&889ZINH-Q+?yHHMPgXc&0j zcYehx*(cTRJ0fY5lUYgbY0_nv^ATW>k5a>x^nJ(|DaYIhYiMS{BDV@;TBcy2(_4A( z6qP|rvO>Hq^EvSMVhQ|-Pqi0zP+J_Pv|1|kj#Sv11?i24YIf+TYzb>i{a1A{iddMISeP zvmUZu`0y4DU@FA)=X8>#-0^xJ9Uz15lJ!^XrnIMZe>DeEVYF+Vt-<8u?<5^9kZqC3r!JY5fs+XS{X2vB!t;7)76tt;qZM=b;D6a;(i+5q~1!U~;F6ztWEm{|=#S!4$_n6(rSXA-4&@m};Mq zxvj1S-nf0JE;rvrnaA5CWrwOdSGqxaoJ5yK%kX;H^!YJEC0mvXyT0N>Rrez$c ztmDxERIFGx8VnHK9;elHOE93D7`YARm=gD|AmUNcIIve?C44$;E^n zWX6fo-+vQh$MJ3#`LkRdyQ}Gs=1V~VGs_tlq45;wlt3I40&2Tvdpe}JLIy}Wm!GkGTus7@~5>jzQ~=+mkDjX=G}OoT z*)94_%asFhOTuoz1_>IfM>8=nc;gpJ=dgX_2Hm88o-_0C!bCM>GdZB`C1>=felMSo zOpG7(%9}QP$unRJug6_hTi!So+(c67|D?-yeXO>mm;q+g8dM$lW~d{$cVmn5g3B|S zY;1~_@nE~PUF{0Y5nG*2PXcCA@0a9U;}(2_94INwQuBTq&9`aI9m&mH$>(XajXw*!;_c+Ub7$txr+m#?|8>?m&$IXbZLjFr zq(n#nCZ)NI9%hQFxYp#E@`vffp=@F#1b7l8AEMx-F{n{;y9Sdu6#Tt~SK;E@)*tq7 zp)PJ#fzD=~w4u^Sc(@8b!+(VDP}zkcnL1$^-u<|5m>ri*{Xl5he~4lUM~kGW=SKAj zK`bor^#yXtVQKaYhbHe=+EJe^ zSUQc9aOTb^!-RJxC->0`pRSQ2@btd&?^Tgzus|EkRpkqrro!=}Nsb&*qXFr-EB#e* z2T*@4>F~q}o{ATREv|JZX&&{2|D663y}U^ZZsQ1pp(4DOAm?;zP(cq{uHy#XP^TnSxaRsX)VCovtn0)u zSmMMJQ1#Q`6+cvNV7Ez0?Xmp|ydD@P|7>9F%e<+}oE%SYxrQuBPe;?D^tbz?i6**8 zVKR5*83j_|2y6s&*am}j(2?9aDL`FOmy25Tva3|ex0C}t zLRX>pK-1XRF5f75?8X@MFlOuX%Dp#MUpNe1^tT@yvRlg6SfgTo*XtKcOwYPsPA|(i zECFA>&m$%-(vo6-!$Sx`T$UDmJ}a(b_`a?3PT`$(>og zKoR~SFrkZzZDo-5ldHgko)QwA9NJDY61`O7Z;4!Sfp7R&6Dr!nnQ&j}<xj%Q8I#| zeDE2;Z$-1mxH|k`W#diY*|^f`Q01e-v3N9X!P~dei-JA|EPA(l9b(x)@F)_tDk~F! z3H<{j?%M`}jM61eV#yCZ6a0PD#y6^vqF>cOE$X2$W$F!7DV7vcxp#7izkm3gs>>&h zRq|({fAK>@qVW!tK}r=Z_&X=JS4~UN+E;VI{Ptc8mZcMsVUm3Nu$R1niu1b&9n{`w z@SkCc=56smDY|bVYB0%1ls5cfLwXKFAtT6Q29G;?z4=az*RCV366^|C$|ZuusPPhyPSh88nD{SnjS) z+w^#*Z_O<@n5>@FN$6GVzJuIPc*c`1h>J>lJO9P$ejkNh4%Re?%vd@kW!dC$b;1IRd?W~io z#_(U@;DSTpB&85)Ma|frr_G4Yb1-ttR>F1C*^F)9b-QE$N26>k>XqK)1q|yn+O=P* zvN5dGP04K=+joMmK%>9^!JWkGU2$IM2;rp@n`*ot`xZwTx+r04z?PQ5vdtdH?a-7s z`8{5lGKb*eDW@n*#ea|X>ul_~piJH*6pK>sPp=kTH&9i)#H)wMHc@Q2K%ry_@k^RY z`7+{AYcFt#w^@3nwLT6W1T>& z@9nJnj=uD77y{jSKcOwREiPVmnV^pL2m7|mPp(YsjRWl?8*I&M+I6r`WwcH$*64;7 zCgQUxSTH4;Bd1gx*yX0}ls2c_-j!vXY31vyby`klyE&o%^}8dgNwxMzUFF4>l4ULp zv3-EuLlXRmXV)+uZWb2?4ZU8BYIE@nJBXgO71mVyk*L(ygb&}V*TCD43xxz0;v?%! zCgId3J4(R7{njM+u{60Fjdq6x`6v2NMlM^wnEEnjo`z@8!BcgcM9L>40ko4de0^uV zoGK@qgndUfP0_ncQ3Zw47pp)yj&c3vY7+-VT&h4|WFLe34Y+R{Z%8%4!2KdHz^fbbNR1_^Djzdv;=!WkyqU~FsY_gqm!z-SzK^GS&*&BHTe-Emgzrld z&-9NeXtcexn^V?}t@JU4Vd1)6s)fpHcr0!=En9|bpYk$W^Hl`2jY{v0k(FWYm9YNE zstiOe9ch|Kz}*>&W()XcnS{nnKI&Sx1iLYm%DOXg!U06nA%A(l0=hWYJ3&nrsDdBG7u{uT?k~xV+O$)x7qL{0-B5gK0>*ORU6M(BYnazbZ=k(#jx+er)8A z2Iw9J4#JjT1XO<>P?P;cInf?gKnGwc{gZg9c)jK2Z&Y9`a&+|5x(~^iRyx?b@PKO$;h`Ah?aYN+O_p z60M>GDz4>#4$Xk#B@r#cT@#U1v!YTZjglJy-V%*(Vk;5JgRaCkDj8{A6xzzs!RRk^ zy%NST4C7^ukLJT!_i&;56J9&lKM>cLlMEl0I4-L$n6-4Zi$$YRU0`1D^{Rhxu0;_7 zCN!Vdl`KdnQlm7)lC4FhQwfXe@J^$S+U?J{uL3>l>^ z$o87VK!;KhsJi;Q=ohzV$;?ViRDz~3TrrkFYOOOAja-H7zANMb&9YM!51=M(c>7}L z76lVGApLqv6vn&zCZitn?Ei+vC_jcBy^#TsSMhujmb;N9(vzbhL*l6 zbh?#Gj=gjEGaAjr&_w-fFyYO*Qm9pLR$(8$X{{fov@id#J_z|B(f?sDZ}7|KN6iR0 zCR#Tv_W|vHa1+J<8&9VJdmjV_TI5T;8BqoM>Vwt*CoWX2ZMDSI!!Cy0vy?Ex?&oM5sv>L4&uQ7 z1m+gV{P$niFL+@vtTyZ@ux~wP(%(7yL-K- zCus)Kqmy>n$Z@+V?yIJ?Uy%dgjQRBFN`GH?X__d6)AhL1Z}0c>#va`J;?^@|q*b;J za%&W*t2>WM;6fAoJJ`wvB*I?}3D+zL&iKarnxOitEVqx<*OZYaqjHJ0?mZjtl#1@` z2%9uqX#(fsiN{M`J9of^^=}(6L$ZAA`#N@)ZjgT|4rR&$*tZ;^r+mXSE&jow= zNXc%Q6)?Xegi|_!9}_j^X7e;|nk|Y7A!h zL@uuU?az>PgzY&~by20@t_g3bFTY_1N(KH}9;s7xByYRCzpG2XQJpAz63KFW+&y2* zim$s-tXaS6jWI48Ff837>|)wVt(aS}VYIiQ4#*u_*C}60Zm8V=J%1rC)=o`}IqtF; z`mJF+Zky$fK`Lm^tYdAxC@Z89EAM8W@5^(K*v)YH#7BULF&)4-ouWE{&Vn94jh;$v zAB$HBUxxIH&AAR|nSy?&HHo6Sx*q@_%HfSrC5EW*ay&Q*(Il-Rdj;Qz|H^oYOw7Hg zu6K4i?7X>@FE3x}_EK9(&LJTrKF98hDC+gF3Tnkm^*F#+*sg6!Vq~(_af`?>bCqCg z7!}18<)V2CI1wQg#*CUtkR&=XA>id9KJ{NCd!h{TR4V*n3- zYql@LEFK4G^a1D%qkE=2N(Tl8Y^{cQYX{r?L{{5z)cP&0g`fIY!vLVzudfAx7I2g5 zkf;W936oL()HeHt!@J}5x>LI{dp>pi@|C-cRc({Ihx8QooNu<{2)k5*nA)g zgIxMCbIv9OsX<;)!7m{vdXsJ36^<>jSylzY;1nHJH{HXlvB{}eR2_1GOjH1Mh+^vH ziEH!t7v@_s-*Y<>_HRCYW+%^hTzJDLo<_^JWT_vaL75bP$;N{IihqtmRaMVO_VVc0 z7YSN!zfsb&O3zDYGgC~ls8HVo? zL^tZ^FnCWJT}>9p^cX39gV*9~XqkV_w{SC$`PoobTfK`ZnVd|np#xb{tIpOvDBSyK ze%(~47*nb-f4-why)f!p%M%r^XNwtCKA2483TOXImoTw_Mr|EHV67^``<*wX$$Ohu z+4u>(hu7M?%EfK^0D1G=&@ZQI;rLWt!slRJrB>v*X3@)=5fkgk-wo;z3jwza3+eZ7 z=45+o3jel@C@ZJ-P?nzc%r8_x1dk-(s|w1+mjo3W*H7+gt0E=e$)Jol7buahg>UTU zjQc4Fu}$8RZIYV05yfE6e;g%CowT2w7?Cr~V}JY$v*gjIs%H;#N3YZ>_%fXI^$t9hm+c|db(^z2+z&wIoJfR162sR& z3d#|w?{ICSo_u-Vpw*^mQt?mHi>g2!AmTNgcP$OasR79dGaa!eo0w-12KX-*z9r%j zU|BKo1YKc9EBo5or|^uM;+zuI*KB^EEcXp%av z+qu;7m_w24Li1Z~c(7(n&`Ji95%+7IL4)ob+A{4^A}H`9pujSzX7 zrh>jSCN!cw3w$6ml15@Y(^HBW3THrw<~zRUozXcw_0cyyn0Lwid78h!8m z$03!^Fedctt~KrUa;sxNfRJlPcA(a}T^i?>w7x7!&dhw#^k^iRb<)hOnSD17Hwv{D zbRZUi+fy?Wm6l`9iSnev6bE!uA=W8^t%$?LVoOQs=)c>A%>zh9emWA#9CGBfN<}i9 zw`ODD4yhPaT=-5MBW*>#d)Gj= zg8|3MZd}(@KYMY-*W}(af|7M~GIPW<^@Y+8{4oZp(;BZCDJ@Z3-|!ElA@v-wHG)*! z0|CoB8)dBLd`k5bZNBl&?nFxMS-V*3cW@3F8qKU(`uUdcQF8Svf%{5LYZohR+c6M7 zLfNOnBwOwh>g(gy=%yz|{DbsJe0(FppieL|sE7@VeukixGye+JWCxSP zq`^Jj2F$OcSQoyT^`KwvgE4`;GHM@M; z`;f!ga$OLG#7^IJFUTS{OA2aU!r`%#u&2-a5006(0tjuOw5Dc<@eht_($7Yj@beki=_1(VkS^j&%8h>7!XFD8_k78Bb6i~0GYPZfw?yK0 zqOG{{DQPim@Q*EMb_C!ePI@cFn32}SPH3X7{qQwSc~H*yU+$SFitL2-R7M`B({;qZ zJe#2HmD7tk+YN*J1TN9^0KBPND7uKNn68bm>hrM4r4l%&80nV((c@}Dxr$Hb(+&Kx z=f7K`P(yKX35tU>NGy6?Jl^OJy0GV69}b|Ovcw|!AmbG@UlQF|tfUjzrV6KK2uBk3 zd<`c9hGI$5H^T9uud#1p^$pY~uU`YplB*1Y^CDfk&2}C4(p3W-%8t4sj<+$8ciT(q zaxXSoT=NhheUy6IS`*B>E;GCcA@S#Y2$TlCU}#k|F_T(+40<#1n&i*k>3wfE1Kmf> zVF3wNd4uZ)X%UIBq5|HNiL|jB;TUldym1-ZqEVjCrvrvrNiISDmNZ@hAO(qf3m|;% z@Y_digj7M`ArcZQESj}n-ahXNRw+_?oB48>5_DR#0yJ%ujnD(j>b80~W|`AR;#-Rk1?pvs@=#d) zN6|M7o8$ov$G8VJycne+Mp%sss^;>7r(R{g8cTOAh+Ul$e84V|k5>|IVnPHcG!SAX zY8T-f3zsKFR-vc`m(oP~&K9^~C~sBg?6O7qv+XteJ1Y^Nr+0uq?sY#^_(T+H4zp8k z&Xg~G6mfGhn%-sY0>RcDo>v~wKc$AX)yjoAory(QqffI>W%rtC39m06q{Oob{ z6A9JkAgusNI4k)66O|MAM|Sbs-i4|W&2xc(C$Y+Ub{JJZl#%i9T{_=T+=Hz*#pCc z+0U@Xgc`g43fE4FvpZ{scG#q7*38EcMG+B|Y{=(sg2DaNTkH`@8SjFH|a~JK2|@8B_s&q&1ckgy^~;j$m+{CM9{_56Q~59+3HAG_cP;{ydhur zTrM-XRt@n~(AG}BT+lsXKa6jZ0%>TET$v`SQXIQ`q|GRm1gNEhI2Cbp5Gf#K z;?*R}ggO}UUa)5m6Ec{_`PCmXl}SN4mc5GLum zLYxv)1p`0%4T4)Twmr6-grwAzn>kJ3MgrzD?_ zZwK)1YhG;!=j|6!=N%E=C$`vZojLJK@+TvCFojis#sldCmTlvQs7gSX?#W$Vq5%~#JuCbYtI6#3As*bkcH)5zSIt{iV85Iu~I#GE!@5~y6W zVLMFY0_i(EP`$@iRWbF2^<00ruHpUZs(jD!{P}i9;V3m%w-{iy+y2vr-)PdX8;9R37Q?^{675{(=JxL>yVP9 z|7qE^nSI9_^5n6{BW8Y~Hm1^3bPKiTA`hj1ICjv5jtI68lN~=6YxDMu`uMzoquRhJ zsu>OCyEymYROgv_78$D?# z(uy#DHqY*MvW*2zN#!PY93P!=61I0_O9%Ztx>8IO-;4*g-~mA8CMv!ZSc?~r#L~VA zL+-lH)@9y1nhH0~w_;uh5(-`^3pQ5cOs4c3EWFlxhnP*N3UZI}`s}rSNn}g1w(KRr z-lVs~=lTON4ms6-XF8~=9CaH%W@V zN`f!n?Wd};I7KaauaHERoz)tCoRfku(HOa9a40s^)E(|La}-FQ%?+`(g_gVyVH*fw zYL#;b8KIzH>KuvZDAJkZ2mo3cAf?nFHyOTvl)V^O4lD|SkTlv-h=|V{Ob8uwHupx9 zuJD*tcJMq-W!3d1mY*3y!A@_!&MaM)dk<;}f|aAM3FTSle))MVYJgrkNgoM8(jywm zp!}xEA4R+uFL?PVW|>KWKwH)&2MJp248O5Jx};e@0^fYf7VLxJnbGhR*=RZceLivj zv8FOIBuF-M27QK268^<{OsQ&{@tXbD$NH;Ta}nz(uXgz|6A8m@UDhk&ZW8bt%5zT4 zbh}dbP1;hI#&GV!-Er-+j$WRilb!Z=m0DBKijDqqouCMir7mURcqBX{1A0T|o*$#D zw(Y`T#6=OU0k(syVKv+#FuY=0>fbx+Df)VV!<9#k;{l#v!mOK6u*H1RvC5d9aFvz= z_7mHr0-NPhGHel!kd|H<8?V$z1dceOd;)A!Y89{8^JL1^3=7G%uEw_UH~Qx%9axVkTIJFVtZ`+>4XrAugXD9G1%fsOdd(;JckUu7nMU5 z$in72*8Xd&vl5gh+0f|$*0o5Z+P(FDs)-N@o7Wyk{hQi^9EH-YemN7vKTT3ajaO5q zIRP5xlkIE)GAXK6<-Tds{$l*pmL0~b5#5jC7hQbgX~Rw61@^`9VVR>=_Ji=M1YcLY z7LJ@dVgQ8&%}#&z&)=_hcA6AZsu|Na+2X$XHe9id^sUSh%_~9KkAtP-i z5w$8(s5JUl9~v4TT|`xkFQRcptIw?HA5@ky@RfgM9;SyX?>opvxQM!ZDnJVLIoJMR zq-?T+Ds)$hG{pKJc_VPLPzodaVSkC{V z@cl#*3~LxWO4#t1;6Rr8%X^>UH&xi&5%&UvYb$dEi@)angWHYtST+v~Dca<&*`xBB zzOVcLeZ>DQkpDl!*`N=*fzMV4mDBa`ItSC9@2?`yCO`0Ydy$aN!~>Pb+K=rAA|CIl z9OJnJ&lLptf}Hf%m3-(DRfsYyuV3hxwVA(;F@5CKUj!M7eM%+sY;4vl9WJzj;~TfO z&BCF(5Z|P~rOyt9TDNv2-^X*l{uH^Qpy&uz9iE(3BmF9z9|?#d4iSe-?S#hYeV-ps zJef+gV0C~86068NL<&!#-S$JAGGxZ%{TE_$3+#O2QvcSNZep;mRiVLfMRKKYW%dI} zJoiLCy!vNLa|%wbx=5jIq}ZSS!P!8duAYE<97JLQ(xgdK%uP>X>zk+kfFcyEZ(0t2 zP-ic;^NGzu2T1RoljdxP$L>@!tXjza`i58}Dgnl{AVC9D6LFKr6B!KVZrn$hHtH!E z2DfB7{Wl|HVqy~`p1WM@HBXBCM*=N;X}3OihfLREW5?)feWGS8+7M8Uem;c^Bhb^` zwmio{xFUUtSg_E(a0>EA3J(^$TVm0X)BN0){n)gU3UW>{*0c(A8}pT(JTiCeqQ01? zg`6BwEzc{;Q{%~WBSlEy63G&?Vu3gt^%foeQ?Ao6n<-Zlt8Jxdu7!u_pnyObwcB7m zu{0q0B<+XK))9~V`(=>XQ<{gNy~cbicVg?fI=eDa_8}Us6kkyajoDpdmuD@_5bJ%$ zq#AvtY)F)=7<^;sa-Wva(tSP;N=kqxl2$X75P>NX1wv0s>1DN^EZvrmbLPfmx(Y{+ zp_$JaV}tj$&$Lc@x7o}Gxu$Bt_C4Jtz^07uR>(Ims)Er2PtVcKX+SLfD8oC4Da-bY z+H#2epF3D92}kFjg&;o~JImvdZ4s=fyuv1iqY*%ptst}j2W);#G zyrZ#d=BaNb&%VGv13k4}OYO5vviHCmpBop+X@dATr}iWlvpYkQi-)v)&&Usd?ob%W zYR+a{w|4kYgoT2ynI>jg5?sTF!mP6AGGZXcFxOhDz@>&v4ZAdg#>E;0zT+z2s^C81-JTtHtc zHt&OoEqcw(t6bWr>&3vS-F#on9eWo}A++(C=#86l3|qM$C{t0aj&wOt+o{_M2ZmUK zOh@SnYL)6rvvv-#DL=g!NB_j9k;e)S9ng6Vz!^G)G@yF}=qga?*5V z-NfRPA#s_Yk>jFQbx%!z#Zr??X-wq(D)j^|N=OkD6Za@#MOEP)HT>2xdKCNz#hJ6{ zLoKJ!XXU>}No`N{Yzap{!cgIEnH7+6BV4maQ$!N)uYL@q$^Ga zZg2?6&Zcu(8m_`N;YjwboJ+FM;alKm$kL;s{MhTtnc$?oX(j3G^-@KbTZPLpqHO^_t zsik8X-;Y1eC%2$Tz+q;k?sSDNW(y?!lu@;w7YuS*3)usE@(tj9RIrL}=ic^zEgnVc z&O^b}8~>gT|4~XH5B%QX>WZyB$w8i8c^jG!P9coc?oyNx*4k!psRa0wzsn+*ZdWRE zB<-omsMlLQ#@Y>5S}Ciq{ghx=;a1(2Bw29fWLkEj*b@|<+IXhzqfD+KTiFu$UbT2e z@5F{Ir?SUcX?vLn?Kl@!q|%~Z_CtCQ492z0bZ=sd*1ceZcbDc#$Pz?~z~>Ls&42^8 zacZtgXl5%j9J%`+X9=469ob2Xs!!GP)l}OG=a*V;>Oq7(4qKa9XXqRW1$@ zeR*JtlGEXYpFVx7yEUm@Kzx||6!#sR@mkHoQ`=PUlh(0E zklHJ$)XB=~3#@6plSic~cEGHJiG*rvQo?B;^x#|>XRl&5naXXVE(_%puR;7snEmxrI-E0!WTm9QC^ZgOLE?DKw47mM-n|aPn7JJjZzh8&~fP-N*7c12b>Sl@`MoKqZ{|!|MY#;+dpewdT;~ceVyjU0wpFcINWyux~FgS%v|HxFdLr zTkD8-8gL?JYqd^*K+Iw(`~_TuNp($T~PDl8FFcdJuy{$_f>1kQ)NcT#VVbj98m8&xPK?Rqdc@&Go18 zUCu`Oy(0qEB7Nq*wxn-8*ebs30i5NYDZ&>+IHDudAFY~tWMf8o#6v6#iJ}$hHq6A! zeSciMy&WlW*b)E03*3E5nnn5SHIL4}W_+R&EIcCS1T=>Cidxl!4h3oADXI?G%q2yAt_iVhRrX zyuq|-9NUpV*ikpIJ!Rl#;8BXj6Qe>8t47ehEy=-gTfe9E0xlE#X$;Qp`+5)#yPHag>?H9yVRdx*Hi=8Zx$EB5D$o9L zO`4AHS@m*z>(|mVJIX?}o%j}Iue90bTi?#NwsC9qh%^|#KYJ*?SYT{Zh1ck=#gK=7 z$VC};BjrMZZLT@36Ja+J3-~YWMv8;oNQ(b;BPqO^bn&=3SSurvA&$qAUsRsgb&*$D zuW?R2?YOyUYzaD~ep6m%$q>ySGcL6#+g_;#*|oeE65td5{NBp|aO~vTxE*P1G}Sx; zk14oCkU44^eKQQ{OryV0Mi|itz&i)8(n*WvnVtv!2)Ib3Vao{U#Pl0GY*(mE9NRj@ z2P9q?^#g4T(ksP2^pC<5NzFel%wjAMgL`Bqiw%XRzZ;KdNhtPu=zpkJ+Ml<aF`=9mmXaYsZ5mE{bf}s|$uoQ7GNooU zXgzM_cvI$(?-pNU7?=GtErO~wBddw?3;@MTjBHDSh0 z&7S1uu=M0|TVKWeo8z6a*Hz{USflZ9r9|DPINi^HB3s?fjU@)V}+y z%9StTcO-rJVAEOiPl;D!2c5Q8^#YA5wn?MnQwm5t(&%#po+#vVggmw7EcDi($;r{& z{Eh+=kZ26UNA1Qntcn986DLS}1-HQw@0>l2eu~eYuY_!k-q~tR8pj%R;MU?C(?d4@ zBaask%W$c%;GmNghyebwlUgVBiHtd!vzDn>dVIwj?p?Gzs99JjKCMT`z1<^lXj(cl zpHqlnWMYdgiCr;~E&FjPU>SVW(=(IDVgj#+(y|P>k2?Ox`iN9fmiWpzj+p5Y~PHy_>ygDrECQ>W=5c?IkUtDZR<8|9hv7S z$Jei14p|4!GLxeeQYuDRrQl_`#KyI{?!?Q28aX3K;}D!~Y1a16&80=^RVfk{PMTVd z=f)-rfxSD*73mAiDMt(F;^xj|#F*)Ygm#P{O!u4HU~POC19ql!N1_^H!%ovgXtS%+uP42YQ4A%0s^Nkb46ITWc zX)*&mCDhOa703i9ju{wS7%_g;59#G(1d*up9KQKnh43X)&I{rY{IyDH($Fx9_29Ih zTEJG5r859X`(~o%8d*k?mC;@&XEHUFbwO;YS4ehww{P4goIn|AF0r75U@%1YH9x=I zRhgCX&G{&o(QGS0aSE;HEYG-nr#&b|^T?UES!1RQ)W?3!>*EJQMH~ud@v$smMl4Luv`sHBO)2JaaN;#?*hd;G#RxROWJg7QJHHS#P;Oq8Xb*VBSBN~uFs zya7_)s?! zlEp^Hz%?1k$e1UmNqWv06@!e-dno-G$hEGm%$Sq?*uuj;F`DYEc}4vx5Pi znce&kj_6B}bs+F}TPuBczC^#@8RcZT1Jfb2sW-dHRs<*E%K(s*=(m+ioOMc)cy!3) zr`^?PqE#J9E%8C_v8FIy#*8>GJKi>z+&%HMQkyFA#4(k?m1^^i+_%!@>UC=2DgUdV z*{-v-2eAzNsWF*<6GYmk`tBhkEOy$y?Qjbrn#9UYx71Qc6Oe6pW!&Uuh4ULU)Arns zQFd2#aY>VxZ-2%m?Yy${-Pi`Lt(4=d(s{#MIV7lM7*yjj5`a(|{}w#JR-H?hVDFB1k``E!>fb35!0gnF^6?ry%%=J>vMndA7(AlPP#0W!ru1<;m5Bx(kf zlIXEkZ)Fhg&Fc>W5jxapbe`%d2yiGu$H0$IH+(l<&>IrEVrTE%`Ttm@W#l3h-LW)W`evjT;`sznR%PCtliw$rYTlvwTU=d-x1ql zecF4dSmV4LGb)B<)JDNmBwnZ&TihSGCzfL&U^8ij8*$$e6IqnO6HxL9DSv)1igk?Osw7MWn$YkcxHEZ|Aa3i$a(fG9hwxLtsirHK|R;NMd z^<+-bR@Oc0R|*xLZp~vxJ3=Hr>ds*V6dZ2$M?FU$i~0U8IZ~m5RpD)N_=Sk|HS0^e zhWU26pRl%bRZXvRL_$AtnqQefp*lptW-W#dmd3=^oJs|*Mnggo3y#($tP;H1b`wiz9YTTjVmzSi}b_n ztdN1c6!bm5p>fz(*9)2`&tQbbE?$mJTT_z!J*To={RC^~rP?jq*?W--Q1;DRkG2yr z5zndbS?kspqkxPM*c;EOika9%qzl!PInqGRKo1(*7~f>_lp==HKX_^B9{i2Gb>!M7 zs-5mqLNONX^}ES_Dih64WQw0Fy^+04gVR;qRkCJunlV#gr7%@{KKhJI@|1!?dnY&RHn{hUuDW;XPma$zzxQ!wC4s zc4~Yiipie@pqW99qy?*5eA*Wwj19me*cAi8e_J_(qcGps1hF8MzJ1WQqW|{oo03wL z{0;Eyr!xtmwF=LD_>SeXa~0zq?OBGqX=(A)(i?QOj|B&l5AUTfOO;<(i>u%Lg9{>m zA%rnqMZ5eh(sBO5L5NP5xM4pM1Y#_qghFQmBky5*YwG{Aw-$cQ#R#;3oeVcvGaSa9 zL9ggZ#<}tTNVO3<6sPfVkLLH>dT}!5IO>~aX9s+uD<_pH@EJ=X7{e+}`%Nwv${C3M z5#L30x%Kq_MVx)~wsx5|i}g8G?rsUYi3U_E_0RQ0ic4p7s`#rEE6(_q(N%Oyn}E!m z9mG-qMrM$ar)eSy-h}3irzg9(FFO~1v(T;*So`YoN>6)YhxH#^?BNr(TiW?7~jcU`4-R3F%aO|KG|=iyWj>7*&B7NdzFp zwxvf(K($~Nc!Qlq^c#aQ;@DN3>hzDJUxzDtJ z>4efH^=vq%?S@9Jz{FS(?n#=+@aFL0U72{e$zf_N|;rLW9yzdx^(&3;#92cPZ(0HDL%4GrInb%+=O@Db^(S#@38kW`OX4 zw_l;;5Pe?POH?G)h7-f%IWC*LD26BzQg@m+HYNIkUO8tI8>#i3Nv{;mMnz@S<`|=a z5t{j%Yp=76*LFCj8J~uEhOX{}%5wVOj(NJB$+{-eX*fP)UgF$e88nwC&+X^;_O`%SN<@PV-MIPQD0W+;<4TTY`EHk zKQ-<=Io-;(#>gwZvT$5IT6Q2?d1xX7?W{;3PB0{_p3PeY8huqGv-!?yuCL+s{7RZ! z%+IHgNOc5#U`s2NDnTMMw6Z#)(W94RMO z``LFdP#g@2*Bwbqrit=b9%{0~sa^krBfZ&UYi@*G2ij7m2+2(~`}XNqc^GE%%3Mw? zH470H@ObH-3WI4iAm>hD$qo6zy1I4r$KPSrb+tL23MS(64Blna9mC#vb62&;jUS_c zuZ`%pM4o!XUw+Zs$$9uDtj}U1_b4v~tyko8x!zD3@JvHRE~5%l#AcEvYB#2v2W@S} zr(AxOOihjjCqt~Kph<-BDmg^1n^#TG4y!c}is@_A?{3V4U= zY%!WIsvu1D0ct*68q-2hdz*`MKl1we2(@Z+r|(Sm3>@q>i%+vI!3UHdEN!6fwWM7s zJ&{Hj(W`QwRQLFseA8UB(0$MRsCp6&Ab*0cR68GCXOEbUo(gxT$HyH2qox78$2ri^ zns^c}X3rsFU^ejsHuxAr~pIQSE3AlTxE!-X$N0kI+;pTfa(!CZbF zzwl1=O(RE6q%1g=6x0i&H2Gg-oo6`PVcY+8DWzI!)Tq5j?7gYIWA7rdg9Je-Ek$h- zdzRXJ6SK7?Mr&^^3AHO)MOFW~@8>z5H~%+zogCMZLK0<|B{~k~qyKa_t4k!#(Zfv{)+N;Te_r#wetdiqe*M zLPW)n`qo!9jzSq7S6zGwD)P}I$Fqvy)80~^rdxdBH z4s0to_b|W+1?NO_saqrj($@7Fb?*Qh&QL+ zkvfFl`aXTVQf!$3(BJcG#$QFR-EJ2q&}9{sUK;rpYa^OdhtxcDlzX^uPSD`9)dxVY zS53qF7HPs)=}_`9Vi|!kdF>E}S&q&BV8;v72pZleI*naiE^3ZW(*RgM3N zh8XA2k=WMC;R(qQr?4T)rpw^xX$1?`qX?u})#{JtnktU1u4{D_l`O`~;N1}N@H>3X z+nTr#+QErLjV=etShlp$QNc=1W^W)SiPw^twfPO%V{7`xHlAA-`#tw`CV%?`O)MZK z84FWfFslzv+sCUE1MP)o%c?_YdcZ&>6H|w7$f1{z)aWk1IXwfJAxfEZk+>+^R3FQU zlXVN%ll45o4WNy`&4(?>$1k5N)l1cpDKhfSGpWO&So>N%G& zx_F|9lqdm_w%oNwq|%<~TaMZ{@`U!LY|s}}jk+2Y`f&w=Gxb5k%mF^=EeZYny3-{- zYx-@NRTS0Hy*3;Lf`pG`%ZQ37R&{A`(b_oRw?*QY*8$SKFG)i$-4vuwvu zHt4o%&n{mKMMYAn_r8nSCNH1WI!4yw-n;;!fwYDo=bg={Y>kg}^DyHHZ(Xr>>d4iL z?sa5D>4}Z!JQ$AR!1BwaqWWZ!;lD)R>(%-kxI&$rRqNL=S2C%p02UwE6Sp8{Zec(lq-DJ4IVohb)DK-H455uL>^J z+rrbat$m=;tfYCZ#`^vB&R8#`X+TwNNT@{~BHXM^3cJF!Q;=}muFQxGmBr8GQSo*F zsmJMyirxwU?lbC9vXgD<*=u%`t%WBV4ZsxoSw`@DW0RvVwp?AF7QYa0s>l)DMaYlo zN=e{JmIZMGV~Z+p=^by_z8yw@FF9-qr-N{=$EWpwTSX;@eZMps)QEd8z|0SH`WN-f zO?@pDqCBB_J}IzmxjMNTlN;l+S}wr}UG!8e^(=IFw*}t}rGz>^c6~lmL!alD^uR71 zFVtBZkM3@pqqVie52->&Z@cy=H?sJjsVIX?9kosgJy4*>1{i?bQrGRvxiw)Sc+cM;;wcGZ&RVvXb!AGmf0-FZ%{ag zz^=R^uq*A~5+!4W4)6$YueL>0;_cgn6Uema_x`G^>&W)T<1AV7~bsENG;T%E}4M|8H#wU;6%+YIem z!<5Q45epAJ=P(oot2xX~JEa9E)50dl)Esk`A#X)(3_>!l%Qnk)N>3h%G(ok$FxAZUG;L>V1HPqUkK(j-QI+NIO;7M9bU>Q{ z`z~$bSl`TZwZOTJ`Sh*c#}n8WN7kE)grKwNPPqb_UGRcApTi>Eg< z{5DsSlRpOO?3Y7FyA_o{kPvjcR<|et57QjXo^IWNJkS-8 z3YeHEQ_HaG%&XMyB;a8W($lp#I{lqKqA{25x!yeBjIfC5yP z@NPNhGTeTLt9-75-W7|_uMNvoW|5MT5wB?yNIhzE;bl}$?2t;Op(DzR#Jn)12^DC9 zb!(*`Pl;#a)`OQ%izzX~E<@lffI?Q}aZk^Nol_IY+DOxT@kOv+6C!#_mLmkT)fy;#!_3(8fcO<2{A73tL?eI zTFqV$31xOJH8qvL+*8#uSJ-q7J)qqQf1h!sY@lqp-_e9~OSG%?26iXwCua4puQHB@ z+S(qjLgY5Ctnf;Y+ORvphF-a6Z`I!o#=PqG-ML)uTnfG8!zgsd?Bu0*?%lYKf(twg zhRyG7OLV09BbC%Gz&t*JO={t3ZxviMT{{F(-qya$8KX6qHdp>4OF}MJLLt(TeNiI? z3YoY)WC~S7*`QTzU&I)W(5rHXM%#)oRKe%;j6mII(XVjugVTrBT1f}+udnzWOdGTM zK;b@CD`8{jFQAT!)OV<4IK{wNrnQJH9fh;jOCxZ+<)Y8ZD5`&?%aCdKX$OaRnB8K) zjaJ!8n?+H@w)E4%h8EaL4a)PUt+XV1BQQ?B`PZmvd{&uVdPubfgHM6d-b2zDTR?T+ zeZVEE#pUT2D)xa9qpfS9R=RhU{Pln@jjs1J+qvhHj_W^-9M!LGUq)lb#*5@+?8LTg z$u3fJ9Ax}6gRIL(oEvc}KR%ukh{vCd2c~zT(q#&ULJ(%RmOkE@QD&=MlAJ^{2L2K7 z5rLC^S{A0>Ay0U%$g!0fq^q1q1j+ zX1I@qYZ2#A&j-UQRMr{^Bp9HTh7}cmF*F)U|AZ~RMq=Wv*vQPYkE&cTbF7bbI1}D1 zbVazIM|hn5MUDICH}H*p!$L*0B)({jenQkSp^TkJoYO7^oo7OjRe{0V<|@PXD`RpjcaS_#R=RcdOU+n=mT(u5w@~HH3aaIQI z#jOP16MIl#w)U=CbC^7sgG{QHFx7q8T@UKi1H*Q%|PT!6#o z&pRxgp|R3!BA0EkMO!6lm$#(&v_%J=ABI(Bu_a=dwiLo*5Q0#8e@=C_C-!!D$AQB) zTMJSHmOkc{9lHHuZK1*@UQ3m;2{b*fH^T5wu)_60GYvy<)%c&O*iz?&yMV$2a#JS=D-2i zS~@BX^A3GyE8jHPaso0WuAf87UujG$mW1k=jxBEb5yRCL20|}yv}C}znD>SQ|L}jw z8*M!lg;;*4@yg;c#p|Cds>|N?l{MxE&RNEY=Nfo=!13jLF-{+ZgiL;)Rw2iB2GN6~ zoRkvc0#auJ#ANg;zm2$}{}H{DN;>yq%&2i-X$|#HJ@nD)7LyhH9>S~?%;p_15Vsv7 zw#e7ij%B*Y)(khl-jNwDS?ja&Kk!}`_*&2=np)39kvMM`q_~|U?L{A%#`bo9UQjvt zyT!09`Wy)J642e)@2uco+KA$lu!pP~8WsU78#zlW3ZOe?J)uENgEX=n$sdxlw8zs) z?V4KQDXyQH(tNjQ&E<1ch*#~xQ=xc&^P@k)bcaw7VbP_}R zS16S%$dR8fCr9Vxv|?}18+-t)$bgpXPh38tNsz)d7-L0$Cq7)iQCX}%5auGl8^P9- z-(;D-5-OW$^@M>3BgH)MxhL1zavk&@JNaO0Sa+cvUktOE2!Ryhbv`?KE1< z#}o>NGpuz}Oarc#lq`88Ycu@fCiut&8 zsZa+t$q=evC6B_aNa5rinL2ZgQW@Z6q{$0imiEHioIi5QrvzEDrXRJVjo)Bhn)a%1=xV{^e-tE@RrPG0 z6{flTzP~vJB4h(ae?QH>8icSbczW)vYD8bZ$^gDMp`0S}eQezOUgr%d2Sm)bjql@#`b}9KjEyoUb@P^vd(Ao|DF>F@oMd-b^Rvvk3bg z$gkT07cb|BbfvA2n)^nSXD3tU>(3i$ZwcdWbQsSJ=g~vI6JYGFSwF+$6PF@~OrOw{g$ai+fhs^J3pa^G zcQRhLAWq~p7$GGQJ#wL=-J3_un}JE@f$P_1e<~U}{}FvydR6#m{;Yal_rLOv`1Eux zJsZSZHp1sSU10jVDqWM;y1=_SiV|@T$V6|c%*~p+zNjX3_9ogl@Yu2J*;~FL{A}ja zfbAefK$9{bPhLY8f10w#QoBO!MC&j3oa8xempAUuOWn5XW(U=1rJs4?kMt+F-p{xU z$S)QR{gSiz+1*k~pY4yX!nkU!esGa@PJKR-gja~nAlw5yD9Wtm3d|O7MYy6gz zi$t4L7npXpjPEh2uFC5><=vgPRLqHZ86KQ{5ErL))_Vdo0(#m6RQ?CW{Vzz}FFI~N zQ&>WJmuI#4^%6yQO84Kw9>a_uwBZ#MjuE+D-unk<1 z3$M=AvAcC1?MuOv_V_Q}`POIOg_4he4?xAMSLs8%WlSN2p*ZaxCy~?9QF~EL1}#zB z(a+xtF9&kA{3*0SS7e>|kK^Z=wyhqtkUb9&7)1ZlY+WikbmfvFO_IxGB%oI2EzwGr^&a||ng9J|3s z!Tvv1?-NYfA^M=mU|2YaO&iZPu2vU^OyC#8+;!cur3?hK&QAwlIwDTu3nOY4Ku5}T zSa7k^QkHj5l32+^9r40Q5J0B0w(He~d$yd3$?`<|)ay z;VfD!T1M0hV`p`G5B=KjPf;FE$E`*w!Com84v~M_%G}Qa(``bSC)ES1LQq}7LBbV)%JK_2-2L};WG`qH~nh*hv#?6iC+b=lhR8`JTa?~8AG#6(p~UV76J z?1y$T=bSC|do`{Q^shlXFA-y}j(HXtZOl5rWz_2&Tkuz}hl_f+!_-2kq-;T%-`*5h zTyioKNi62GvIXtl>qBudDUdR6O`^X}M z>g@fP9n~4Km9Hy_II!x{+OxDw>LpU?uk3GzExdJ3R>1p00Oy|=x75Luw1KSKuLkHp z@aq_ky~Io4<1-&&xX-o}3@luQ>*DwHC>`^Nfd75k7tRfify z8)19%kLa`Dw2zhYw?4WLE6lR1h~^ftR=!#uOUzHNfqBn1=q#XSvWr-y3*UQ0SB}M|ARh@X_GHS~#VS?^&-@C4;MZu+o zeyqM1t}B>-GjMZj<@44Ls|Tf;ew>rZ?r#yLvzH;_u12ZE>cfxnlLWYmO&CVIjKofT zf2rebjHI_~|7c~7rt6J9TcvwedBmTiQlFs5YU<0l(~I!wz|%1M?B{3K8Z9=|M6c8& zq-Up*PfH9E;s`s4CY5vF$7|j_E;%-&_BOaI7>z2!A)Cf)4YEqFx=)>w>5dc95rc#< z!d{{X8%_j@dt0K#gG97obuvWJnmGxrIaIAfAGF$4lHXXX)*AVRcPrB1WKX-AbQX=#O$C&5H_@4Yi7+OwI8sFLX^Vi`SMtMKs)p*-zu+8!9b zbf3;lwC>_JtFlC{A8Zri3g`8c!oTP z)g3cm&dKey@qFn6KN$;p1zUglt#T5BF^t#$nb_KcR4C(Gi9oDXB@9oGoGQnhUQ*GT zlQE8Qa1p;IqM?@h0+YV2qfE(B)*!xd?H2rd^K!JSvlbi~l55u!COw`c2I5+4p>K9W za0oQlT#9MdFl@-oAKO~U-kG=maA>KWt;ra)YMr-dx3lnFS-b9U#Z3vyFqXhyoll7m zoa3jTyscf&sBF78^Y$}7#zf7eh{#h5%Db- zpxWlq;c9L^8E$cspv<1LTOq_$plsdsEThV+us zVi{VkmdO(>6e}qa3+^8sqjNusE_@BP+n7!M)!#3IN*3r8D^F3*-Eta_cAYqD293q? zK;2=vI=&K6I`|u(GBQsq{(GZlzP#moRzW;Y`(pE!aYmYKH;CNN_yiy8N% zn;~RXS-d&n(JoEER+`l6giQC(^IS3=Zz`JG zRv~+m(QuUB1mhy_az>uX>Z7OD{vEHH;sg&fUM>TJ&Me`29fW&5WL4%I>E8_pkyWph z&+gUQNGzjbcqLZE#pg-6UAZ4`t@uVODBWkF2+Y=#NMJ;N`QW|Gs6R1f=_x=JByw+g z45-pJX&-dITA{-aia4#fpE8su%tAq4`;J(vD2w(#lm#+mS^Rl?2}wZ~fnn0&u=E?Y zSemAGz3SsH-^j$Aze+)Rlg9}>cRd>op*6(9*K4M2pZJ@$4~OJtqy<>h^%D2{%A4fr zx6X?-aDH=!p4(p~$(1rr+{h^`Bs+07VuuVD6YIY|CHfd^scF&J36^`U@s7ChS<^a7 z5k>59XUoa$2kPKy5hEX1RQ~zI=P?FS=x(xhf{bN6NZx+FLx9p+Z2e5^Z<`QV^5^$f zOU+0wlNwjKf&>%ign*KUXk<|xpTK>=8K~XpuL>MzRggiRp>rXnt!q1qHjhGAC>C8{ zp58+tc*cpx$i8U0f48m-Z`Gq|6kClF`azBQ@`k};G-^LZd<448WI@crkfx9`os35M zeq>4)NXgE2n{L#3Z=O6`yCWj~++^GZ=}}bGfcROHR6To^xgP#Tqxo8cBQ^bQW1=*PgqiNX%h0bs{l(MtVp0lA#yBUVqy*s!B>>}BN^BxQH2352dr{px zPdL37+0MJRl+UuVcf~0l;fb-?r4H6!_YxoyHB)%|afe#R$j_ZTHJPh9N`ZeeP&Jvb z+_~RaWvM}gsjs%gj6>k4$*;E1i~G!-^a|dpA3lw*2O3mA@w?U2)Q$=DY3d|5c+Frz z;87+1)En+^$&X5wOdLC~pqCYHyb+)fF^RP6S^6MdG$UrCT-tqJU0h_{;%^z&%Od%H zzaP6_J$u6pOhY%xzVjp(JK{#SRWG0m{F&Z(&`cbg&6_D$i%XpR1H8`TjzQ5k?;B{u zos_>b8{4|R(FN#Z4I3V_8I!a_oXh4m2+MlrVbmt{PqeQ^zGWyOlD*uU+harzkP1s1 z2Ru(IIAiu^4;)Pk>sdM-bV-bZ3uCg^(soN%H9(~y zS6WK;f0N1RE_Mjk05x~pcf=D%6wJ!hR$H;)ec}R*3Z*@Wq1ZNske-wy?t3!l(tRGz zuZCPb|HYpt{tth?ea;%clu~0Ix5g9^pfUJkyQ_0&Kp<23@h0!b7+yAOXN5N-EgIxr zJQ;WOy>**Kx$C+^(P*KvzoGgaVK%2*1z;Q*L!R^I#fABGQEq#^4!`7oI9eevy7eCs z!Zd3gfYL_^UIK{K+5~v9T^6xrYdhxEGky)y>G&Nm(>o9##oG_9D*>`nc^p|Ajz_*y zrE!Vcml9?YXB|8`rcmNZ9kL5cB!IM9?4xP4Kv_7BLQPKZfi0$hgP#QCwbA)R$rQLR z^DWWb>h!~H^TVr$n`tNVw}G$^SmYC{g{pKuDSH4ev#ny!L2cGmKw{w^(R+^uborL# zsLiV~JQN~Rj$x87x1P}N$takSfZe^T%^_&%C&I{*^k%tb|E|Lao=TasA6}~{yGLZg zbQFgA7a#k%>*AL^ZtJpxu<2d@h%DpMLD!$iA1+l1SVYfa4Q##+9)7dYR2;E**dgoC zT%R{n0XD6#^4!qq5NUm@{m$V|7%86UQ z^NS9-m@a3bc3K7(G6y6gbEzY$D4<`j0Yjb(lQBYOt)Y~6Jy;}Dvk4TMD7yC zYLS6bsJYyMvzb$C1?2Rn?RH_BW|4Oj_VsBc@(8^!;2492F`NxndAs_}R12&Z<7}Pt za_EEJjAMx_Mt7sdXR)G;+o4j|6q29fihJPolMc6AW|dxK|H(zSb$`q5<{;7&f?`^Q56VnA@jikT$2$WZQ+61 z50ulQoi#DirT6eIGvd;N-Yad(ioL_a$gkf?yqH0$P5a<3c&w4g3d!NKXfMIAsezNP z$;4d{9;Io%V&sDPIS5U`S#dD8m^LTemAtyMiDcxn#UZIvs}RmTgjsNnG~z3@lfI&3 zDYmPQxfi+_xHs{6{U6a^4R`bg0!|aIeo5g{V%0pGDM0s253f%UNt&fE2XdDQEB>4% z^SEe{=3?Q5@mRhSF=MuvtTpSDeusM0whheZHU(@QqkRWFLAvC7OWVGMwt8NW7!Vt>g z>b||!?d(j_^|-_+2Kyd>E?;1$ub{cyNOG*dmw}VRQ)Ah77ArH$TtEUPH$TNE7cY=96C*ORfG!umqH5H5P zYwx-MZGKDFSoNAuj1FbRG8s{XT7;S2+9uSkk z^A-DX5Y+Dt;NT&qN>-G@MuNYEHcmPuI`SC#jZJHyy2d%^S#kLHXQXwKm&^}>LrB3N zwf!sbBm8P-mon{X4w|<;$}$bURK-1?(R1QJ$!CxZaXFlnFI|hou~xNy98FYB5&k0? zbj(ci=8nP1_Ep5P-j?p?=B+rQephPHFB#;;-$Ti5nKDZxm*z+r#%GGjPap&wGmL)7={wFDJ04jaA`Pp z5nWZabidj%TJUO}tCnytX)^d7)dVoA)?I)-j8p5brh+{LF2P!7XT2YUikm*E)0O9} z7)r$O=H@{^GC{&|VRt3^us;u)CfxMe)#a3L?yq^@ zc|$exd$k0t5Jbs>oaa^CvDiM!glZq}(j+}O{)3~Q zyO064@@Zg-_Q12Q?Bu+oa?%5{L*e5pB80OJaE9&4+@1dS+N<^J5+gAqX`QnrMHP#- zWHCR6DQ;R}uEzZ*%e6rXqT=lksh!#{LQf(#Xl(P?di~nmY|f(#ai83Po*8n9ZDnS; zP;|5>l1EsGz1ac4*S?rIjpZCE6jX5USz8{=xYy2W*1iK@8M=ki8c5XPFf8u?EFLK+ zxg}2>Q+SDdJ>O+|p##!3e0~DZK@!xm`l%e^@4(KWLTdBG^#mHzT+c#@7a@YGdCg_= z(mO%rqCzfo##IZyLPr>$)%sMeZMtt{!2!8B`BfoQp737`FyKfwjWr=6bKATsE+qb? z=Y$Mh4asG=MoZI=JQgO>Oie*CG6<|A<~dtCrLCc5#d;ZwH@p2{S zm6tXxA^qm<72Hdon$LZ>d&C{&vU{G-pxZsM^dHDvSw_~`hyDaeiFV&({k!dx)$95v;&WoM7u_2)8uwzz&_S>xyH#J4 z=s$Wa7uk*OMR5k=3~TtMhrh>zBdLOKSK*FNckh6%dn4?&*1AL?7Jo|@M8^p}SGk;B z?}@DKhi~|dE9{RfS2MCdtc!(fw^^p;c^WJ{LsUrFm{u0*HUEAbq$K6YLqWms8wplp z39RcA*nnW$%onSFqlSXI9NF-6*R2S9j$D%2<7Y$HyE8=Pb@UTZeDB zGQXBuYr(zdkbf|%>0&E>6|Z7hx(Jod6lnKCq|>m$Ze3O9 zBQ06VvkE@pU+pFhPv#_X>cd3a4~-$a4iagH@Pa;@PT}9R{d7sOhKCK9e(8<12%j^V zkW;N8f2E)aw9EQML>5v>klFb%YLXtIVoFHp>@`rfe?D@t?ynez?Wg@%_#lq(_&JL+ zR4rW!;(XiNAZc9rO*~j((ujWUz#D)v^x37S8WdjSq=ziujp3S`Dd3Nvg(?SVknL8+ z)9;XH#o?QYz z_IW=v<98Y~CH~a~oBh;CqC}kDDbO{kke!rB3Av00+wyzQ zhTG1VXr2jbfI@v|y{E8;^)5XeUToH|cJ)~bSEdSLB za%C2lc0=>T<(;_8>n?pTwyAUZ^XRT{hLj-cE`~BKiO0cE8YyA<_G!x2=RA4 z|IN8f$~bv@YYOSy>Kt4aEhd&~?Hc_hGssfs-gzUKK7~9u1cIv#dFMrjp~;Kj4Y`xr zydW|N7qD8$_WKPwtTAgFPAwi)oeY%RVldiRVEEJf3L zQAjxwn#NOS!rS`r^zJY@Pw&9AT~osRwq+}Ph7lQjF* z>)9p5Zr9$lxrkuK=d<~7Vtz5%`huV3xSh}7F9t}{}`k0X?#SF(%3=R!`icgU|1j+z*`LvkOwE=ocudk8JG|Lw*>#F1&WB0SACaHu| za7bx}9O@fw5jZHB2w7{&Jju5Y#|gUR!wxe(s1N+KJ=%Zx;Vl@Z|MQ@ObKXT_I|s!d zz0UXUpsHLfN9%SWIHkH&Z-VqKeZimtyNBP4WN$0i81^GRgGu2`;#CcF9#a)MP$w#f*5bLJi`mVbPr^#zn@eG4Na1tox=G1BRc*rZ-yI<+8spPghd5F zulocqEhFNzHSzEk$FJm$UXYcb{iG`$5P!IQT6c4F1lP=olP~Dy{HI8f;|KqU7|#qy z4_Mg02{7kr`7CG}H|EqB*+~e_3i&GzIF*js;=gCJWM2=_FMv+MEYTv)oI)w6+mnJD zW(K`nu%Hm%7v!3v<@J!Vw!_Z;%tAMoC_|&7qSTaZJPeeTGO&FUAtg?1c$XxSGAsF6 zX^N~^Qg%r2K9ld}kMASCr{8+CKsM5|GDqAwwWDalU~PX0I@3UI}3=4W>w zl{#4F^3HeVZ|Io!K9`j1^)toc`xu+?gFxy$Kud&8`aWh(HsMPbEb|vk zL!89Plw&W06e_5&*YbnHLBeY{1i@+ndw&V*2?9{Q>ziC*YddPN`7nLn!LssqA^(wR z7T@-bDMbi(>@!fBrUNzo8z89|o26*ZkR$E$(8wGmD!2vOFjyR1ffmGUzv|5qK4=P7 zO0YK%+1Dg5QA~$D-1D(-&g=zFe(H?0lrcy|ima8Xc2%0r@9SUf;b*J$fdR;X(Kx7YYL+bDh(_=(&ZyNv{S3*cw69y ze=T%#fH{ctO2gIJ19*6pqzr}>x-31{QD#x{Uz;t4Jc*N3;58X5=k2GrHO9y#SVJ{q z>!5w=w1NQLFu@=X_Lv|IxMp0Ad3=m}c4ksGk-oRj+YD*;y4QUM(R_TGJ482>_Z~|z zCK>*=ok!U!zTqw*QhkRlcwRdL>jJ*bwqII5M@cFltu&b8&JhmE={G zS0F1T^W)!qYPVE|sHl*~{MJjO+C>x@l1a(EuZj*7C93aLyi>>{c}%Lb1Y;8sxu2-- zvh?wL=O4Zdua(iD*}ZLJ>wBbJe%fZ{kDcpz{B8kX=s)`C&S|?2G*+jCKva#5zTIDQ z4idTVPD2vuLZSjGiiQ*lE__pXk1w1Se2{>Wq?CD_F3|er*V_K;u2{eo?Ez^HP0FeYUvPNPbLb_{SxE=Qmp+7j`bz)HCHzcj5PR&bUA-(n6S9oq=@qbu~hSVGi@= z?|}7NT|&g`J~r^np6c5fUb8!lb{Mf*v2wdkz<%ooajFLX)t0K1a98)NvRRRn%bVQ5#ixN&WPzs}0g3zsQ&}K6WfX)^k9(Gy z$$J$_O;q~v;af9SIphdY(#tf#dtu5&@V~x(UfD)>&%R9@-ZlS4@#W3^5=B-?Ma?z{ zWU~F7<-_0Bt&)t}Br0aQ2!f65&fR096-hwuyvVJ)fo+liUX@hRxO*nA8IR_k!&rO@ zd-?BCZ>$SQZ;>C_4>i;Ew%6zmk3apxrDIYi>@wv-Dksumz?CgZMv^)$q{~{i11u zZ^FUwHTvNDj8Bwfr=HV4CB&Ix)SuAC4XO)185IQQfl?@GNN8zeR^NE*Dvx?BgOc6ta5WIi)u_!g4)s!9Pn-#E||U2O5v#z5R`d}Lpb4_2R> z5S7_<@wb;&>bWc>2pe@j2drc`yVHrVUyOyGJ-zKc==*$0z#FG%J-HKHuO2t`?E=n} zI!vXn^a`vVmAur+%_=^mvNdqnbP_kzAIfPs@GD3syh2G|{BIDKyuA0K5LwrpeKEu( zFB)^)^uj6L?ZPGC+s=+(L5FmBRUR#rmIkNHTUqUq6ZzftsH?P`+Qfnvn;3vA%C)efD7*JZPwK}zD| z+56kCr0m_uP3TLjP~{2KJBz%(7hj0Qxbb*>CI5|W0_CxYVlBDFpGDnGBYkk%b**M8 zTwZrd#)$MyI&ce+_EKH$+2MJHKEIJ-%0}l1q|+CN{J>K(*m>7_7$Gi11nBrV3Nzwz!^LU|0C|TJ%64Y5 zRGfFeUUE$somv5A$_ml-Ti5xxJuQbd56v6-!@B*+S^+LDDrId}$<^VuZX8m49AK7);mPI5 z_2Bep9~!Mu(b$QpXJFK^ocEQhXhu>%)f}Iq@IX7R= zqx@Fqyt*kJI{(nG)jOKkdc3P%GJ$<>%br_%8ig|W=GhM8;kMXGf-FxyC#AobHsio<00bqL2JBN;0Rv;o&G@p(qJ(zCa+<%38*kpmHEq-3u zY+G^3^NNtFkzQ|-_BEIn%C-0O*(zJ8x3<;IF{_MQA9)c*z_LXLqF*yXU+7#GurTrK7u?Y@!=l5lmxDhpF7B%Ki+)dfH+f7BxP3NfV0(KYD0`f98F;jY zhpee3@HX?alKH=&_SBK)%-vNSUJp?mrjWWDoSn@Cc#Iq$8K%0_o)z&ZT~CvGe!>}T zEUqKI?2<&y&uWqhjmVc>ePm-HrPNf72$%@+;9xJ=8Qsk90edG-!FlKLVXJ0 zrh8e{W#0kPMrlCX472>!7Os|G2nX{_Utj3jTRa%VCu`K=wH!2z%WFs<)-g)hQuNX3 z%eBC)7S{ca@PcNC@ldk!+)osH+xe;dv0K;@pYZf^B)^gSShC_1XZF?Yd&!o~5oQtG z-d=+u_H+M_tGD2aBka1g8S6Yi>7RlCmFdtZl*x3BfKQyJx6?Pt%^m|a3Pvz(`DwCf)zvnNH3;;I!} zq*Ci4wNDsixpw&haM+JmYFSLAZkF8`{g21^ESiwlU#>e}w8A)c;}a;e&KqfXiTH`l zJsN4wHq;K^^*sh^rtiB(5;U++NR2BYr;MAy+v&2JLPDem_Vma|_tJgijTzJp{OIc| z52iN36ObCZC1}%`gN3O@M$Pu@$|dEyeglu{gjE3+F;AWRf^h-pe*nH(rw`-{tI|`` zs*BbThW)aYFZ`2Ot>2bNsIt_6)4((0#!kx)cq`y6IqzD&R({{WotCul)xK z(r;gNv%Upkgf{tpS{Zc5=bNhID{HbI&)ZKgMzv*hj^vYBi>~HT<+&lgANlk=_5F|T z3(3N%?%EMdARjcL1v7X$i->npu99y42qbV#van;`pRyzEBGT4@Gvf*k7i%bU1=KuQ?iHM_cm zj_q5{L}k+LQ+(5NnW0r zbVP68i^ZocH@Pyk32;jSI}PLvI~fI!i5hxM82QVI*CwO zlO9>^`8#dZI$xbzbux;nJ0$5{6Q5se-;a=j|BaK#=jD6ZsVj+so8j}*7;4gQvV5y}=A|JQ@2&E}qKroN zg4!oqTbdD6f9sr|3VhDo$`*N}OLE4!geWK~-0#~Wx9F;&;(XJfyUL896LdIzu*>KW0@fp45& z=_Xl$_57@Sc8Bc)ifaCCdzSKCc~C<2kH5GFs&g~K2bPI^(4a_S4LtL%bE@BN)9te3 zb^ea)WfqTpkef$Uel~co$R573@YK{dmh&vDfv*b@3{L^8k^Jc2hiSf6UR%Xiy3(fY z)%g#vn*SbrDC}d`W}a_JvE7g3X4kPQKVms9w|sT=vLFu`o*bXiG$#6B5O|s6rC~Kw zzOY7S5bfDqRknZANINt3WE+S6Ivl`;wda}Q7gl6NGI!rwc&Z=d@PJ0U_S&%fYB%Z@ z$*;_xjQ>ALl$2&Gp^VQPV|8H>Y@~=4<_-m)-Pqe=t96a9ni_k*jI}fyjn~g#e;d{E zs?jHL91G`YiH(>wvr@u@_pj+*JqK3@{mQkZEtukY45%QN`qZ7cHJr)ihO59Ks(8L; zaec;LJ6YWA)EQIY6=i^Dj`d*7w3zRY)@IW3Sy-4#Co)~-kV9{ZlPQk_d7H5P$>%zW z{qZb_(>@OCWPPF1z{!5X&q|3>$vmea;S*wm%`c9P1V4*oz0QhMo_XE5iu5WkD~=pJxTIEEe*o@$|Eepq~HR|dD&J$uz5#bNrV+e!$ZroA{ z1XCvIa{0oB9-}c^#KW{GrqPUu}oLd%m-OXVY9o35_0AnIz*^;aPg&$Ux~ zfp@Z1K7FqL0f2jw_X}hlNv7L8dOk&F89=Hx5-7n50YviYLfm&5Vi?!mZm!=CENeCX z)V;C~c%82VMKoq?|CC%__6l9>2-1L0EHBRTFZbJ3$qYC8^}jfNqqU9iwH?>I+4>5; z_)hm>FT8NYjJCk5$8svh!|GeaS1Z<^*2e#J=^F*!b(ELxuO_Ew)f+-T=k%z+^e`ZF z#$Y4OG`pQO0J<{j-z7ByVhT3hzgGje(jnT1hZAfcML(9_bdP$wxo3a<4*)c=k>RAA zHX)ArKDEP}RyLMHCHN0t4UxJK^ix(cG6q#KZd8Dd2rDV)T>n}|XeksO%H+fdVETJ^ zPJlNr?@`4CM)>nJpvMk{84sMAp4FchvvP(&Z)(avTPDxzft;f794+a^5>7OM7qfz6 zmr5gWS-GKQ6hB=l@b^Cevuc!3y3q7;;4rpEJWjjgtJGg6nalYy6o)|8wAH$9Zpws}}zc_VKy@0IXQ-)pZtvF#y3(2_U{Qgf0TVSlat; zj&W^aQG)zq-~6`vh2$R0MRY&(b4UlWYxHhGyRFaZQpIo2my-LS){IIP1H#JmU`4~< z-O3PC#hJ^1(NdEb zJh(g+fk66Sa^;08&DAHRrxwnp$9DmN&o4A^s}uEGoLfjV@JYrGUz|qnm2uF*R3UQ6 zP;8;$YNfA<^EP!Eeyw1A|5j|U!>-^v^v>Tp-8n}sr-J`?jo<%_t4=@-OKVE##hS-A z^__+|BH$_!wTv`H$xok0^$XOqa$RMlBL@x8;@?F_3l83Vpm=4a_=?RBUo{Bj_^uJg zztdf%&4xEyg(D!WThJMj^$sQ_xlNBNv3+U%p2&5!=_JY|qw#jdCUZelXSXw3w>_?^ zykzHI-sm#JlWiNDv+`QoU7JdBr>Rst|JFQS1|HhFPO83vQxHXOswFX9Ur8L^D04YK zPpZ0i<DK|>2D7J^$sXT2TUMr^hvq)2(C=4AtEP4-Z z=(a2ytZsm$g0g@cExxzS$1CKVzs+)d>vzyTt%aR zcKs6LBkLa+?EC9vw;D1CO;6P(Z`VJF(Aw>WP5no>gtd37Z0|}@43ZLGokc5i%Dz2i zwWHQ2j?F{=Os<{3$$ayr)^Y-nMCPUSvV7YQbfJXp+k8J$%0>@KYCgt?c|+98=KfAU zuRGJ0sD5j=^LY+o;-c6O?0&7d%ac7SRYHBt=a?t@1jUCcl_s40rHDCf+-71W=S)n{ zb@;$a>I;dBl8)8WLkm$(q7(Nkvv~P|>9jGC^e!QjBn$d0(?Nk87BPftk)a&DgHtgK zZyKx2@(6NdX^oh5)v;|8Rmv*HIX-TgS<4VZNF4hVRpNe%7>UV{#4~qR7~eFX9AGZ!N23tw<&h)^F2sdF8doiz?qA z{B0VL8d&?@wO4JYPvIg{Wjf6FG_pNXv|{nKyGA97+|f+)eHQag{p%fGkEnyg)K9d6 z10M`xsg#sa32aSsNA7c;y$^dZ57VF1K-}qrTFZ3%73=oi&8Z7?tEkxN-Np`=3Hl^K zTT=xj)D5sqr2Hf;Ch=z~JX(9-82dE*NrS~IG&hR8ol&_4L&q4smyQm|d|9cL)lw!( zjYQkz59~EUefOi3WX~}h?c?XPq$#miBS*@*?ai7KPUnFQk(5kS6UTAY(U=(8v2DFD z6puV7q%k`Bv3YYWRs;d->wjevDmd=7Q5~dM7bk?sCpCzn6YUR+UfsDf!`I{6MAnox zc6Da&ivkaoz;*O$qwUx>tmD)38eIRpUsZmv>3edNcXULGWh^k9!b9P;?2!lSj(6}X zrKuMR79~4g>$d(Jd@`W5d}qV?^jW_JDqybCr!(?NfU--ya<4nU zI4>KQm$Er;bjJHI`zDqV*C$)KDB>nI8Z2DkAH%l z?OIoXE-WCnT0W6S9Oz{2k2Q>7x9roqaG~m+Gj@@rZZ*pDyYZ{280ilS3;7A1nH)VL z6IK?DSlKs24as*|du%UbM`g?bAwYLpnzW%j-z(jXS z`-flTQ9-ygNci$E&7HHY(`8BPDhe35bm)wDr&Jmn`Bfoz^KXh_SfhK|&w)9q^3rMy z=m?Lg;_{COSV?E|{H>Q{aae1*0Z>sFV03Z`HW0ZY<@m8JGVXD~Yr|j|GMIE3N-S|S zERAT&&joyKVRzJ^3B0HY-~?kbh>qZ@fx!xx@mhrVw4|?mNUmkl!8pTw&pr!;KEWk( ze2YcIKQYI<2TX$ns7kH(XC}PjR@Xj`_X?+@UlPL-I+ZtYDlvEgF>Rq90|Ot) zLzX?VkVrk|PVeTaF|OL0stJn$@uq@Rn2hulTEM*9%d=DYU@Z8jx-1I{SY>CH{tsZ1 z>W?HBD4K;<&apY-(oB<*<39l0=Mxz#1ulrZ5LGT!3LYcgUAX+L|Lv)gV{Ummtv!kf zq_lJp$?+a|C*(Qn9uym?#lM2}=bh?rR&fwH9YtZ*pb%?NfeIm!D9!QS{1ZqBG_zYY22J!(Qqg?~_Op@SZ57ACv7=~#qja?p8y+cP zDI-q_C91PnlR!@ z8P^o2Dtg1#a8Ze6;g87HT5)u^$gy&eCzC5WGbtl2&|B!3Tpgh=#JS=4859fRLf0Ov z4AG(SlaM}>GyXgKyNnC47}=+WsQ@|}u}5YtWVsKf6DiFX{y7Wq+#)|=s!M=;U+<7D zId14IL8%C;LczDdSN3&4M;@hjZ1$fPs+!xzf;bc^MIt-UqgQFfgfLPg(s+0jp0GPt z%&#lP78YxbZ4*}0N(6{&AT2oJgnM*@$|Cv^pFQp&gb3;opW#qb3|3(DP!TR7USvvn z`H-{8e&KK!Dd7ce|F!ywsV_Rg^{*f;Zc~rm%Z7iDV6_g3pBM@VU!KiqWN0eZ9|vOY zwl(^qt4pznmo0v6|A8M;2ZdicQ0R&) zLbw9AP~bGJzx`6V%+|3B6i^xXtTU7X28TivfUc`93TSOcl%f;Rh8>x6`#dqLci|X& zDB|KFD5T}oos!YYre>vT$3@QmCTff7F`KNq`yuU)iqW_gD+psI8L__G zuB$dpZ3Uu;snr)(vW39D&QA*`hvFFZn4*il7+I8h&OcPuE%!U}|0<|>u2Q2Cr*|nr z%x7UxShtuE7GIZNuZU3Pc8=?R37R#r*goFT;e5vvZUGYo8&^Th9wV6sU0;R%+b5#( z^uKl4JT+{*t^D)SAKz8@?D%h%)}?62o!{vHD6#(|&g$82Z=oEDZWWr}pcR@`b3X_c zF~e_%cv8VU;P`rTJ2y8wGsi}DR<LxcIp| zii%`>+%pk<;Ri1+TzMX33kT&^6;@?Oz(dm9v|O{QY=sURgFt<2z5BUS z0{qM7vgR8czVD^%z%m7zFf2xGZBTzC>M&K|e4_k8f>lhIbU!Y<)kJ0h`HKWuC?8!x znPxrP*?y0ps#DRY-*#YGttVK8l#`%ACe+rscgHsU(@g{xO+K??x)}gt9pc6mM?!gB zA!M5HA!{WU6sqtYITzs z@$RN-c(zXfW_3q8i3+&5CjXmSu}LvaN{tkXFns+?sV@uoI{G>=TQE%rKx1k5Mx{j`Pw zVc8Oxv@+5-ySNIJI2GkR4pAzsJNeS~{u?GnoM+E9-z7U~<(XF%6(tabP3gx$H2p)D zixP8VW%^wIcAU>&?27D}VmknpFTDkng>r~Q>pp)v{@4;}RIlxA2eoFE5e~S-Agx7* zV6}H91LisDl)pQuoIj^CWaT{R7`-l583rc7=e##p6th=9?)F0G7wPod9oOU(@gt0>T#C^is z5D~t+O2Y*BhZ-|>{_!3`L!MGTT;$h^Tu@@D0@aDsZ>OAp!k}0{nQ)<+Zp}tr)Ws!M zNm*IX?SN21XMo^>gu6K&;Z@{Vh{j{cV^Hh!$9r3FlLwQ*zaX`8C|Aj>_%#M2*L}e& z&22pTe2?rF>w~if4SG!xO*9Z+8W8?TdH}>ICc^1+s*E2+D=Ps7D(T8**GLllVw2)VgL^}T zZ6>pCYwslyFcw8XaJGR@;c7%qQO zm;cJj0!_a9J!>>A`T-Hr!W;Xp#ATUg$NDbk!h$c#Fp7AA3o?=n zS3Gh}yR|%T=qrt_hAWB;=sEl)6&WA_h|as;S9od01IuEfum8SVpa6haFqqa-5q+75 z--z(lOpNHyiGaX3^7T`A!+&KEDT_E$3?mDz@i3Da6(dcm;J?3f9aTZ^gPj9JatbPb zEp!0G&ek)2+als3-$2ylZMW{oxkSDSi&@bI4NA}u1v0pzgJX*eTy&_N>6E1drIzLY zuzjqqxoSzwrwo!a*{@`=2S@#dsq5f1N$RV$dE^`K;bI(rKd#Ju0hvK?dd!>y`;2oS zkl(Z@-vj=F`yzEFgwpZw$seEp0Ppn806?**-ld0^KMLRh2q9)T1_WQ7PK{vJ1utrK zUGaesmqdk4BwrLhz@e#>Iv&KJj*zjXfC;^ENXz+n&pr4os+YgK2G&Ehs^r1w$Pt7^ z(o#O873rVGs_-6<^F-d(6p;{dG#Xx56gBYVDGXWm@u9$!3A{t1^H-yXIi|sC0CokW zZ*JQvAQ^7^4JvqIg~4Za6iMkirILO)ZvHXiw{5G1HFBvo5UWK%U7p zFfsJYtTPa3`cGPgFB1eU$NmGr7kDq)LX)%v8cW-|dU6t=kDWx`-5&s|q8X*K$hNJh z*k#7*8M%4HaFSj(@9}ZcxbzT%mny*^3BJ9wv)q7|xjN;6q_?h#H+Y=L?|m32z1&E<>&Tgv5{EaMSY_-uIb*-L=IhTLi(GY{}0&V|L73b8?;Ooec2N( z1lv&6qr_qSPn5mqeya{LUkTHRoN;VSit5!-6hOGF$Q&R5;T@$oy6$C_kL^;WA!_4G zk7zz!K9orOpQMBW!1X?Diy~ZYysc#Y4u;H}VQToU@=R};v0d+A;mD)sT*QBxd|{|3u)U!AeAg zT?B3&2I)$yLWHxA>>|yPB}&^HAQ^b74LC~mM?5b{90!soRPYXb{i@ohb~~?y0*nw( zQcId?eNI`f(<^}q4-P@36X8F-nSY*^#?C-GzP&0#fg<`aC{k_v08+NEG<(DKl%u7_*LTFz3=-NLmEe@f4h4W!Hbf$n^p}=hwvK)!8C`qgE4nG;`jGsf%2Lwb; z=4t1v7DpwD$v6w!YBtYR5{sY82w9kwKlwj^6Zgf>{G1Ugw+`QkcuB>P5Y>!8U+0=c zU>fqkEA`#?XkRX=*1Y?STPSOLk&!Nzk|}l|5JYmW(AdJdF)ir`A`j%(kL2qOiZwqx zgFAw(dP55#xb+fq%{33c!*{`Z7Zh#(asd;BwNg32#g3{FI z3X>6+rofdxHCQC@qSHu5&1tFcIzUW@Wu#u%2S07ntTPjj2w0hyYH@X3szAi2)pB_i zI1?ZP3RVXP=7jo+`#;2xGYEI0i^~cfn<%EeOO|NwTYRxq#6SjUjYzi_mxj{4_ruke zNPIcQtAn6KceIQasNpO^P~`R0XcAqS6_JBpq@^81gRJ9DE#^XzI8Kc>op1jYIBJYY z;3-a|1Axiq;?csb$ib>tNmMU?1mDd|cr_AJtCi>3A#LFY#<_^@DkGN62{AH?_KZ2+ zkyD%YC|ztg2^`-(2Q*W;?tcF+#DYoREN}dFnT4CU7G$D`x^CncWJdktUvo*L%Rib5 z#G;oJ28DwOE;YQX3y+>VbvwYOCH?k!LzBtv-%w$;2|Qrh0p*D|H{GWKjPv6M$9n1$ zwZ}7)Rgz}~`(u;+{q1>*)1c)aK^}t*zAZB~OK|_?|9O%APo}t52g()6IK2QPQ-w4# z3&as-rwK{tR&t&7xNYTYIDflqanm$TZFlTALQ=r=lZ8X0OI<S@}HokU`8iVXJ4>BC^`jUZz0}ms6d_8iH`CtQfb=7E95DbM(v#w+{h9MS) z9I6gvh{V=`Ht!ePyS()73)-E8GVC0OMR?WQk5+R6REv6%Clz6en#Yi(%!L+Kj!BK} z#J0f2^B5r;V)>@eqMFdj>@Wp>he`Sj#BF3(Ic#A}zpSg@F`V^l1J@hH*QqsQad9!2 zh(t7!#lzdXHa4k}f=(%`b$dEUGrVk*KM1g!hr6&o-CTC>>RV;#D;U&wo=m8(s}upN zq=Nx5OA81VW>p`fymc44k}He%^8iRyO#PTQiHnto+nv&E5vy>lMRDHH_o7ELg&Im!?=U#Ib_5!qXxy zeyUWukvT`Yi?@>1fIYt3zKxr%CXGKs_NV3|YY5+sdgXSu*9B~NYP>`E^kzzvhwvOG zm62al?ML7&0E!|ea}Ld=mC-favU8I9G9E=pXFT{Rl^v_O+e|ma5#_w9=QQyAZLt45 zjqjUv5Z4pI`Nryp9|tF8F{atQ&Y7)R?0mel`1vY z1FA*F;HXt)5xS|Nc}`6`9z{>FtQ6Oy^l%mq{?qY8gE1IB;Y}fM%pcdU>TjP?*iJu= z8DQ;2l;83+<(eW`Yod{P(j~RBWg=8T+aO^!!yMs#?cj@FXM$ggNsX$3m%-fimohLm9v%^i9)iC0+1^di?q`8ppQBp>?VSi`sm?`G~oH!f0*meO%OJV6JVfm`O8> zo-~)9nQ6(Kic4SD)%M7^<4T6%?*8;Xo7#OkliBw}E%9%9`N9a5hJ(GK_u{FNi1s++ zNAXY6aj2rgifmPwMCu%>WpU$222LrPb!MGibv8BBCRxIbp%S4h)N=@2j=h7z$e+T(Vip`o|3>DWKc$7d0LcNs>jzH3U14^i}! zn??SEoX2db)kxnEHDCA9iWyK1QgVThS1VJ+b#$4p&#`z-MHW#|5;7$NGaBG1DwSNG zT?QwrnTeR(5OI`Fi~&&oQmeYUn%sv+~80g~cCY zvR;aisrH%qPv{-JDsO6_8-7OM7asi+qNykgq0oVB$q+t=>NPG4`>oFMpz_V!#Ga8s z$33$n-?Q56Pdgn!LLwIN6TG@4|_LV6UQ+Ttc{ibWC40Z0sex}9*?p!lb82@O#Q#ESVzrks=TeR5+ zlDeu?IS3f=3hEN1>be2bfEN3oJm9Xbh?da>pH4}51kRFXyeKQ~#C5Y{U6&$5&1NQd z#N5nfX7b8KR8$rFf)K}RI}cGNz8-A^X%%yiBQNQJXV<>{{X@dT z$D=IAZSvacLz))1hhx3H-uLZ?AkAL&T_LZ#8vQK9g+i-$nsfs6W(!BTem%UP{oC-a z8)k8{R;h{HgWv0fO6f77tI7;to-oP`-ur$)QXb`3i$}yI$43J@YCpcBxi}qezY8y5 z>M+~+orcZUJHPkcifyBu!oAz@EBAp^nuB*TzZ8ql;y0`iZ)&dBskSo%9hh)}X5Qlf*GH4y>UFwAp+ZV5L_1s!bEBwj&<``cH12#LxJMGm0 z1t=#GVFz+H_9^kpRLF|#^yQbq#)ksTo!*L_zpp`GkIY?QWM<6a-LAM6akP!Q4wWS| zDNPjRIedsGMB>N^YHcgdguioSb*EWjTY|s+<3_$YCve8q492BaC7vq+dxNxkA~+{w zRD{y2=H74U)h^d6%E_cQY!O>TuhQ3-xMIMb}JJ6s9nItyI$7r)&tFo*6&jrPKOqo%Jc->!7#*TPB}>U_n37VKcmuWC!>?nxC zIDGAfP*Y{?wQZ^D@_RZgGE3H{=)KF{Sp3TML#*LTa9G74+AK{I)!VNOb(t z%F|fp8COQ|AKjX1W4=A_AaIO~3ilhJVXQWYNn0E1twuSPr}(R^-Fn9NiiQr~jBn-q zE6pT4=Xk@5S{yCmYPmyMVJX+BkiG*==RMz_a=EQtF`iC?5;C*&l?c=PqzI%&Z4h#0L^*r=UKR2`1 z4*8FF)v#=wJ#+e0Y|^n&?rdJG;MP5cRV@xCYXomJ)=G7?VMmLYemAk}HRZeC2TAQ} zoKqa?!{^=DD(2i{krt7*6`)s3Zr%x6E)2GE9j$KG&V`1R<|9|{nB}1@esx>o(sI?S z-VJ~Bjg{TO#(Fh6lS(};g_p#>IN{>bDFIJwC2|JkdE&cS2K{$VKWi4MuE8_uMBf*E zno2+TX6><0jec04*74SL=x0u&c;yy0UE}Gy($b5*`n0iykGkXU4GqLbf4trQw37I~ z{g(t2oj#f^aQHwy=7;G7NEPB9%2l30no{RsxNT-O6@Qt@SA}Vf_~SAz@XwVAoAHCF zXnQxt;QifRtbMva(s=eaZkZ|FoC0&>jC>v#!76C8tQaal!;-j_KBVxv&=r?sSo9q> zGeSXY155o(!1GbZ5T<8|vU8&hCecnoc32PDJmCLV>e%BbEN1VXaH1%Kh7*?=ma_ij zKAFVi{98_xzFZ9GlE#Z=nor@7F%e5kFm^!kr`KRg5*}wC+N&qJIs$3KEl5)V*;l+UQ6?cLu|X|YbP`q>9cBxT+y%kIP0|*GOyKSul%WEhT|JP z&f*Cox;YlNZ&6G!)C?(MY)w}Z;E!u(=R{Y8CNK4u_y)2Nt!q6mBDg;=7|3=)^4C{Ds`iOPtKElwL_@ zPndU630#YLyX~%iqn%pY-#9<*^^fvW?^ZuJPdhHRa6puf%YiT;a;?bFChr(l>;a+&7N)#s{a7a zztyTz=QzRr-XpiC`0w@L2)~GTN;wTFN%&bELLc^F9?)`FM1?C^ZOpzbfHl5P<%K8L ztL;Ge=oa}+vWwk;+j_}i^lTN5+<9nNee2RlNu!KObqz$=0eFs}oRXX*8Mb}%SiaFHp*+R<-RN`NT^jk48O zd{W|lX$I=wi{wc>)XBqIr=nnT=w4urK>Q^5R0`d{ zKeQr`QIVfM%{6mO(Pq4_^(RVR{w7Lk;Pvr%-}qD8wQ>)B;CjJZy&E{f4bqpoo+)yv z*68Gy$lY9X=ebZho>9E{1X4{?&IaXVN@nMRA>T2UW~Qgpa7ftN%Q7@t4`fy$oiU{E z$f5B+u&|5UGs`!E-W6;;`UtPdFcLhigLN<{e$?W(vhh&DS{Pxt!^@tJh9?LO5?n@M zLW(m`<;|l*ykQ^fp~3dfZ)bP8UmvgE*uHbx^!^k$@Vfea$H!UjXy5&GuZn8Fsye1oSd;o1oFtT$3zMjUy{Z5l-$7#Wd zGx&hxWbs>)0Mp?KjfJ1$gU`GL2S_RI-9F5=9hSq>^jit%tws2hpait(yJe!m$W@1f zW0Fyi?Poz|u(TZAX3^w1Ghc%=`xj;Lqfj{a`HUNE>M4Q!=`1FlkyEJJ-1eiLm1I5~ zOFR8@lI7q{p-iw{jv_p5Z#w0lV=jZobkZ#rq4Kd|t^Jz~ z`Nt0E3b9Uh15&^PkJ3xK~+^Df=WY z3Bn2EV^nTzsrB_)D>o7eq=HC)n1dyO7;U(Ub+^}c&q@q|)W6su5oDA4hQodD8 z1w=Cv2?ITn>v|k`L%(Trqa}&dSnKGef^lpVH}v;|XvPSKp`m35FQ*GhM5D&j`?k@+ zAqO?+1XpIT*(B|F3aYZK{A73(6MVq%VRDou_r7QEg1_ZzbH;pEXen&>>&T4Xyf9!$ zTa~F~-Mv?Am84a>hegzRJ*g*y2gSE(M885kIXBgoQ|--7OZ5{lhg09wt%OSWTUD6? zFTFz??G$vMjnOJWm8JQM=t&Jy@i`m}IpeKk-o}|Z^w;OpXVRW7C<(r0o_`SLd+C_= zN2bZ_&*QL3SO8;&{3hzL@X91tj5m09^f9z&-=W_0Y7bL?)qvy)CA1>VssdqW)o{dV zX9{X+(%KRhXhb{~|9N+*F(uW?vI?I^P0P}*`ALd-dY&6%wIAbE4kOm~_^LO!U*Y3+ z)r5K}Xu@75C+ne|&lr#ii~x-ua)sMz=i8vI>jj+jz*fH*QbZw5xvK2HQHS!<_ru1< z$kNM@&!%b^T9A< zioNa*&nL6Qin`N$<39KIMZ4vOFt=-8<0@A@NS9svA;)C8pd)IWfK=t8VHu-sCb5-u z@|@OBcVAVoT;AeQ90|YfGUX6^=Hz$+Mm#Gql_fkAQhm{Q!fD)d-aCHwO*v42%E5_K z=-YWWTZgZMSEBm$>oQR$JQukiVIGt|DZdl4ld6ep#Wb1BETV-r=3p=V_pK&XSaeid zEg4=9=434#5y4RNiDPhP)h*p4V!Tiee4_m(O>Rnf&n&y$XqPoWOVDOdc-w7sA;R){6;p!Y>i6EGKWzp zxp>yG1G1{R@F(MJo`C}G56GkWWXW;2DJgc-#fovJJfRT6(|v%mIa7R=$zuH<8c=Sc)s zLmx;?I4DZ^z97(mf-#7hw(U3*R{Xj=eD+w31~W$Z*DCfo=iNG-7dUZ$v2rY3y}`Y8l< zdZmU49%irg-R$Y~Tls0e)o`cOc3Qbjmjr3lxyR(>l`)#@VWC--L23(OQw~$^Lldcj zz>C>(%WMT^H5z1_)OFHG#~j^{31=!_E(h<=jJhV_)yp49zpIITfWu?t5TXY5&ET!l zF$5`uq*ia{L_TIup@zI8J%|_bup6Pf_hS$?nFE#ig!AloqOy{Uqk;V6%5enO)U$VF zH*dVVm=W{F#Z&WE2M3@!BNE~L0~y$_qUz4=#a~N(k zemv%Ndi*bFk~6ATcyf+=W>f2&7mi%agD=6ny+_s;x&96f7RYA7TX> zjza`6scx0DkS{oGoIREdJAAgW^HxcSN6W^Y?3iMi7lta>OX)#FH{j~&C`;2hhsVHEo)#A*Oke&IBS{z{wRcd6TI5gc z`%Hatc|2xl<|@Rbix8Jj4`%y`%bCkqt{^Zz{DVNZV+;~rrl5dai3qLDD!m-f$_L>d z*A&Yy-)R%kYXb>f(1Ne3fUKTXLjaMgol+V{(sTltEH!2G-HG2E|4X5r->Lhvt#E2; zGwMQF=?x7Y3c;^yaMCWaEFRaRod8+LQnjNw5dN=&@i9$-(Bz+G3^|Wnc^^5T)8C$VJ&V+^!DYww>$h$8(fz{#!lvU_=6xtw;p6-c z*uaRdo>;i6jPnI^vKI&$EaQ054sqjsvda7*X%Fep53#}o);wZnG1D6Frzcn9dOELd z>{Cv!8wR=V5pmg=Nqt&#LdzxViAs#9t1pbBv^(v3@uzR@YDhc(S~x_XI8#7+L2R)< zF}smifNQYvMWTIf(Z^my|G@Q#JlhLwTg4K2z(kQ5(4kNPf2{ZynkUx4x>;^ICzEeh ztwyvmeU*u(Qpxe2_PJD$6?d61(9pM8u0&ZiZVR_k`ey$$AMGE6TV28H9nP-Ws4+}( zc}o4H#Omux%z~Bnl%)wsy6bgGihtPF^;M@@k27vdeokleXYBt|wG3KXs=jgJaB48D zWKEq+yNgZxIVEf!aDmH@q<>`L6-i=#-^=7^CNyO#IS-$IU%53|S}>{_jvn4v{+!{9 zJlb_b4aS7IZw$XauyQg9hGUD%FJH*u2RBOQv8B*JG@Rn<+iD679L+()6WW^DOdl*A zJf@wjSN+}^IZjz>5*3Yj-7{V?Rr=J6irZK~6$hHUyo!*&0j-mD5mnzmGjfyYex`rN zPcc|D3@$krL|q$bO{)uO>C`4~Z_haD3K9#|%ccC`au}>w;x22QP;vuk?@(C}Ztoy& zKQ-+3x7Ku>4zsj4;raq*vzOgyW(mpmnE3eg&MApWHl2N<$f;NlWUd0FVUgetS2L31 zWw_xvm`r;EHt`lv>ZW?W*fn5`So}5QV8V!Th7OI!B7a|l59Q*_>Y9x+`R^Bh*Z56n z^|`Ao=Hxc@3AL4v!{Mp z+D#Pxm%l(=cVNUUW!e`$(< zUhi;+4j=0^UNUIdbMVYP_Dl70`U!ZztDX+zU(a^tIb(ho{f) zb1{H|pg0gS0+^4wGo=tB^QXGdN5`}FPFJHi_O+jZ4iAt_s307?3w213$nxMi5hc4T z_IOwx_!dXpAsATD)X|+<+3%)~>`YkNA8hW88yzi%rMh=s<5=oB8>FK4?NdY$&gL1d zcmz&30YKCO{#;qfIfMI%b6%LPrK&I74k*3 z8-TLoL0tS*n(A92zFE1Tp}p*Sflcch5%Y`u-V|#!Q8RxOV)}bE2IPJR{E|tF@n~6^e`|rFeErC_rYb0Q zc=C$rzDT6u(fQx$kQ$6w*Pj+p(7@tzcqU)kCiFTvBjljGy^Oe zEQ*rm>C$dos{N9baBm)XdLfwuUZ9{BATEiDRfjxGii@czcagS06=LKO@rok9Jsc`p zpah9AL`L93<=*WTm!v4dE`7a!naq8`l1Axm{g+XA*$89~E(QeC5CAM-T%!4%fn+CN z$n0NQ=yt#~sZgme2)JIs$apwyGM9y=xRD+0g=`4lchhlP=!5L!zDreYJCd}iun-9> zg99_Gr77o>E8L8JYCzl0$bbX+y`GPN}D?TdPsZ&lE z;z>essvahxpg5%_oyfrQN2C}~dUx5HAV>z?2-T@bYOO460ivTj3WGdTI<60Va&PviwctIs+0dIy+j<&`qvH9DS%qLwiikadiHy`4 z?~V5=_vQS!c&H%)>G!X@2k;U=t(%q+S8=!4FCj!7BqHL`+nJLV6nV6HJD2%W7+i`U zgu$YQyQcaYFHWA!S8d?vh85Mlb+ihJ`W=mpe36NOhl;jIM9`j?w5+!7i1GIgezVO9 z8%797sSL2MqSS>vW}V4&DA@$Ty9&agyMQLw$+~~#%uI?cnK`6eO7yE7l9W@%=GYuJMBI0`2xC*IZj6cv zS?TWN7-Bh<)12CDcJl0gp5NwA6PpU>xdA9UZEOQ}c!HPn?I z3I-5d$aZ*;fQ1eN6rbTzu|cXn?ycTA3NY`LeghYmrNyMd5oH2JrO(uBz;q);bCT+a?}zw8@j(_YhEEeJ&m9)=*$^h z`WDu1I;StHL=PeOf4ucD-=jBDYbIyzSb|W@x5+%o1TNZi!ZV_X^;6ykx*3_pI#9)& zR8$(t>IU?ngBzF~KGsui8I?ih2WGuW-mgE4kR|GG-VqkZ8@TjF?dfMw>S*VvpG3}O zl+siw{Y)r8*#ra6Z;<)s?663uHNtA|E1ktZt5(o?*yXB_22Fp%NhctNn)v5cdOg1^ zzK{MBMR@w=)vISEuAV?S=NxbOFrjsdS!!~J*WzfE zm~^!ax_w>iyMyBG2hoMU7VEzJhjHju{=whw>Rk&6{cxnV_(0Z|?Aow51rA?@yMRml zr&)WsqqlD0YUX-%;n^)}=&U!d)v{;pR;0T;|B;<`=~{brg=xY5_u6mvHJ3l$SMbji z2cL+mI`fZ!L%)~alKg3PtMv)2^c#49`mL@5)jX#9%j&}`hu2C{TS`jZqkgtw8?Mja z_PJnMHn$H|&tJuygv7D)8Ao5`PR=eKJ8+Qm0ZaSyBFisp=6k!>SO?vN{XrAIn&iL{R%~5V{^3p&DP{r_FIV^69Hbl>Izx>K_TOzThlz>)|4yiS~ zPR0$Sw1V!XK_`bWZS8B_DD&=vio2@{^=uq^KGK61?U4t5&?$Uc;kGBl?1Gjft zLC(0l;DbO`Lz&JE6ScHWib+G9Pj*Eb*&rKppL9T`CqiExtj+rrpK*DK6X|=nwx-Bf zN=oZQIPsG_*Hh)fgwt%bYQ{MR$1g8?Jg6fx1Kj6hphl5hms+ZiiR9&GpInMmXSXWE z$Rgwm9k%4IfIU5d`W2e;^@0D;ikN^rtJODp4V?g?kD16&QyrpLYA~bs>Zy>LK*iyQ zpowkR-2Q@BU$f-Yr*-0oI*!T#z7(;!fepoHh*jV;KM$DfNf0KDNe@1)X&hJUa)z7Y zG;CHIW8#+aL|RcUcoTqN7J}|{FT(3m71~=H;NC`s8HEQ;+>A|QCaaS;*(>D12R)G979 z%#2)55GAW8Z>ni7a#rIN2I|vEHU`+Bs!*Qx>)dcr$^Ba@xswD0OQdna(!d;^9lKW_ z0nL>1&d&5I=$X)#F7{YVd9a*)7*Kx5;uH0VDp!k?VAVT@`oW@t@9@t_LOkN3s2VLb zV2<7*=|;BknoE9cP~0ZDY0%yqJP%Csyr>{+35a^;LBPBWsZX+wvRUDiCNYr{8XDkI zK%u9aRKEHLX%KvUP+KYd?dCbOGJUDl&+^D}aqD$&T_^;G*2{q?X^M_US#M4_Mq!{B z)#$hrjyoWqefm`%X^Nn)%D+1|wXD+VL%oyYf&9b=sD%z4Idc~ZizUmJX(LvIPt5i( zm$@VRYqN|?Ww&GnzRKQ^t{PBnH+#_Z+>n1LPve0nzR7SQOQb%c2t#LaoAAnRP@{hv(9rh0|;@(5ynQkVpIr(Q? zAF9*Ud{kBkxtw}#L6iJACUE3gkKdj?N=a%F$i)nq+jdY}VN5pSEhNhGJJxCfF z8|YWw#to{(WzT(BEh58xwbs!^Q=+M(_xT~whS?NK+Bnp}NfBxEuT>*3J8`1c>f*#X z)(YzIK?T%c3n@&u+xS6Hf=>TIu#MoDPF~`0&#|{Y3S(ph@|s$UNl#O-Z?3g&afniX zchL~4w})eL7<{xbZnUda<7J)LUwsEfKi+D*`=` zazHdF3&QkZ#yl(jlNqwXlR-Z#k|WMM((791`04ujzk(_~-c-Oai{01i6y-PL7QHf7 zwINYRxjVBqR;$h;Xl0*n`mk+xsTQE=h#$br@1jAAdlTQet3<41U4RcDv{lIa0w>XUhg*l>>doEHIoV~uP$51J1C{ophn!>Lce&nOw*kg zR?lncGJhj8{uJhI_%%?ITlQ99uJ4-B&1%J0X%jMQgB#TY!I+RW2tvX<7P+Od9E(-j ze~yFY{oN<~wD{pcsv(2*Pn$Qxa80e-7;(j*O4hHgs^)*YgHn(%p^^Fw%(k~7Ma8$t zXxW`Ne`aaxKcVW;Rzl3uy*R$uL4s9QV;9&fl>v_y|2t0Rf3iWn3k&_K)k@O+s$Bq5 zYDfpbo?J6oGYOO1#AQE4qN{TQo$OPs&TY#5cN&d`tBY(gK-#z>Rl*E>qV1M?I98hY zT)D*r>El}LT3ofi)HuD=I6EEqCH<&{1?93nRZ}+BXu`Y@@$^$}Qw8)WCb+EERY4k_ zdF!B}Pf2ZGcMrgQdu7y!oD8|!D0+@13~>Su*=CX`_&bIBl4J{Oo@MK#hv=niQ-IoR zjp(O2UyeoCRgqD0Ct$>7bA;lw0aDfbh~N@gQZO;C8FJQ2{AId-GD1PO|J$u`B+S8# ztDm3~zGlrG{PN;4a*~q*AM-)#8?UOlf6I|GW<0dWPk>Ko%N>-#2*y@eB7ehniW@f6 zeO7Ol!cl~!vRCTyQTlD#aiUVgC;F~xXQZSIbyJt!5UE~yxx~R(V^+08_LjL*Xgl!1 zC$CMJp(xGjiSS5KFq%EA@kR`+l^QBEIcb!m$I4C0s1h`|GCQe!?8^x14gH$|hh0vD zqXx3~=r`x+6eh3-hnfovv$|BHy0mc(p?dCm=5nsGY0v!jOuxM78LC{Xa|#?`sdIsK zG2$VsBPL*f-+nVP#)t~lB{HHB)qE`VcGAS`kG>Q+%s5v;N5=~5te2Odk&~maBqQ3IzNrd6>=r4>@(zwnDI>y@(;ph2x z^(@*291^%d^ooW$Ez|i+Vy0fpGd~}jU|Y93Rpb%S-gE%eBbChyPxR}SdTA~Bnj1ar zHM%ua2aIx3eM+4AqCNpsJlw+QOXMecUt&cXt`sv$H>tZM2BUh!5DL?qHPCViv)QaW zFc-#O_dnd0_BvuV2!=uuMYi>X=DNHz52L5g^Cp+(PWk?ksH#>?FC}uyUFkj8ESa9~j z0Az9qjloPi65_T&uBznuT&UJr^f)n9u__q^^@E(c%^`& z&6mjf&(D**(y0T+SvO%vJfOy`uK*W-Fkz71MvmN@hh^7NI#@P z!8NH?0!^a#j)9r)^`Ym0(jS1`TONy-WUjwpoLZq4#oV}7b8mf|B`n-2pn zR*b3-I?eGOs#nj|3&K>N>a0pbK6`&Zo@wG(s*K7V4(NB#gMx@6XM4B%f(9(&^l8GK zbS66GI4|plIY73uZXPxB1XY|!0X65NKc4cz4U~0j9&hA;;l*`!CI<~I!187$?kV5) zNlly9b6s7xDLMys0r6FZw&kfF^9QPZPBNc+BB$*G0D*O5U6oe@1!lVdudnd8UT6jM zev;X`cctqd)1Dhf-{SgGJaz%PdE@_8=Ighx_?@eNAYb7AuJcfCvt%YY|Nl4Hj~g-6 z1h95vbb#@1R7_;cTmG>N6~8Ewe=Nlz1OC;Zh9;Xp54}e@w+&0l=Mt-zo5;H7flu`$ z3YOB}wCr_YHuBRJ=o=|4r%VfpXhq|e()qsALu!{D6W_Gb_WJxI5`47P#eg_l>1>s1+$;yB307crl=cNgH4q&l1j+k8y)J8rIw zgMHcs^kD=Avr)qSWfhm}Dp}W?p0(!xTh<&95LDyaWN#VBeDvfX)&Kc5*1?)Xo-$kh z3Rg`I{yuMmJa@Pd_!Zp$6#VK{OvT4nSGJkQwC-omAGyq^P`*F=o3`BnvE~_lRf>H< z#82YKf!RO5&2QYla>bEqX!G=QhPeQ!ojBSNn)l(B?#S8honLNyj!?~oT}NL;K-laR z{!o-hM5*!c+)FLZ)8NwTUw6*_Jc~lvl~XSSvu}0X>V$na629O!7hd{)qyK|S(fw~3 z<#TC!NooV5=)NN*kJaXwx8z(OguI~pt~>8hUh0X)2vD#uzTk1*+f8RZWJl3J0q%m& z-r5Q&)A;F(u(*|^d5K(_ZnbBJ#n?n78x81I8GXDbx&(|Tc3!aB9_tC1oa4sQ`Sdbo zP%Z9KSTAiRGYQ*qll89l7(AC^b9aWn+$DBkWXj%lb*#R95<=IbgS988PR(>xVwd<0 z&MclQXj<1c4t559;J=@qsK&RAmUd$ zoL2N04p%tbWf2+SJ|LQ8GOyDY8;C(8@0Q)CAUxaXe#dyi*#zRfF1}J*ULdoHV-<^@ zCG}QPGT{mJ=bdm9#CsbeKZ|9zxXhG4>`sD2>r?so*~wFZmo9g`kB+WitP*)eiEUp6 z*@_|E_#el)MuXs)x@3v&?0r4Cg}$`Gm9)Z`E|_D;SYrPX-cP0rEz72p!^h>i@1$ zasbyKopCiw{GpO12n!hbe)|?dok4PjO>S;7OK@-EJ~xlM?qFkE*XJTdm0qoWjN+L^ z_&36FNIiq86~|iyhwDUXii5{e%rlnPhsun9t|-GG8T8;_;)7Q81<^fgzDTkfybS3h zY})tToZ;CE6Ef|EMYYYO_gAY#Dz*9LF*L-oQ+Er z!aITPbKBv*)=%ld^}+Qk6hT!JIDueA?T4xU0MC&dTegS0bPA@N--$|t=Z;SSH<<*l z8*oC|TCeypKZ(a73mujQ#m*n`{FhL({C#%)4k;eJf9wkZ^ZQ|wsM^u4Hrk7Ef8DlS zz;DC7o4vGIq6qhXe?cen5yww{c;0R+U;Q2FFc>W{^JEd;rD#u+H==k^(fHsI;d7sl zv)D2-L>E49Ni1|OgCyAYmW|gY;~L5r+gdp^T5qG!ev(yp5QNr)-T6dVU0|Y5VVC!C z9h#G7|JhqO_pajdbjqsMX}=BuQ&s?|cX| z-+D#K5;u@NIfwNb1J*fSTFbU>=d^~az{fI@Ty~8sgUr8gR zp)|>p;V>&*#hlT)Z7!A{x4E<(J6KiTC%O>Sp|$mc&5!PJo^~!`dR*X3b``Zz(K8dH z++Yc?`}?=YK+um97L>hngvkyu`9=~)m+e998jqi1AIzC(uu*}}^ndo{Hl{j@9k$j1fk9F0#NkC`Ds-zf1|9MITkM0_7y^*uf`{47+ zfI}<`a<8b9)9QJBqiZ6V&U{zDKj~(s^#WTobxChRNjy;5OMd=UB)4q3BEXeFrWQDM zz4`LOW>F<~k=MAvu5)ZmV6M`FQ!pQrorJtCZpC6|1aiA29}U~fmlw$L@&kvR2ON8wNt`_Kj4GJ=e8j4L+J~_Z^g|bJjZ>M zBw0Z%>;iU3V+6@1M=9`oa(y8#A-YJ+rS(ip+NjoT{|~0`Z_mwhZ%Yzl1dh>b+e~!S z+3Cah(-O5vQ1Iu`H+o%GQEC)4Nl@30D~s z>mEBr8h`q-8UC*8!IibjwKZNNXXKhlF!p{c`Ca6~WV2urrz7%j(WXCA{I>;5X#QKV z+AiSaU-~^or>wJ6)mhCv<3g;U#uzG0=|G2*99JV9&frcwmBk6)JBMa{(k{Oi zN{(00)_UX)U5+&uu?W-Ls$BY=wxzO}u%r32Mp!Ql5`O$nHxYK7TEZj65?7L6#Pt(WC(V(G z0#YI%M5IKzfDolcYUlw%NeCf@Walp4@4ess=l*e@`-A6^Jh=B}t-013V~#QBmi&^8 zAal6^1{_`1u zFkg4@e?METJNDme)*}#M?ud>5y~Y9jT>An~Uc39hKiB`e{{OyWUEaSN{`a%S+NF{K z#HF?I{2zU-J>>uB0c$r)z9RN)S*NisZ^ODhi1mBcZP>F;(ttpMnQZ!>XRi%+?Q7lo z4I4LYmfEs)+jek4*>1%8bsILU-?(AZri~yF>q5c*BR1~YwD<6-3!C>{cb5A7;r`Q4 z-ehb!a>Ofl-iMOXPi5ug z&lO+l>KhuHn!mNQc6N35^wNIy^^cB?PfSiRre|i^OPpoy3Xd-kuFY#5V#EK2?EiIM zAPDQ$Z``V>u z*ty>^q>~F%@_C#Oi+=|)C;~33HyQJI;a*&v*#kNkzMo@hcC_5QwfX+-_pw-%ZjY!n zw#M>2M35k`lZ$`$Z=Xjc&061WSwV{NP|M6r+=U*wK5RZ*2)jP^YATDoU&M3WKNZ@1 zZ(16)=qIA22eBQs{IU51MFbkRqR0HeO=_Um3}u+NTGblecWw^l@a%SEO!Y_UR&5%w z63OWrOy2pv0+TXNdN0B+WqwpOM99f6^LVPN6xHf`%Qy1_go`jX{O6XXe8YiCdH{3a z_VdnNW`-z&vsnFd`7JV(0$1;fcc2TjYA_k12~rvz+VVxMy9UBtVB?*AffOpZ^;yM_goGLi!m9L8YB$Q?mm9oxV@~FA z^VJmbn=T=tSr9yxJwv23C5R228lmE&jMgF^K6Hlw>-X@-BOWiy-{dSNxTKQoO%^mz#jP{jJ>=JXn z&cLA(1U0C(a<=__LDlf&dn-~nI*>5;IZr6JA`>&#|b_o>Tl_VeUs1p^E@-A^tHj<1-n&<&?Q0i24>W}_NKNcH=XTS z6hK&7%GM3B4;L&hJ?4adDdDLb^o>IM=qw3hAN5Cf?$EG9%@B&jv^L&FT96=6%*Ee` z$Frv-h{E=GN4huDo3pJEM5O$P)(nU?fy2#>?;Nn*pr_hR=q>F<=X)E|fBwdC>q!;D{_f6})3oo`Q?|0PKL=_TB?tM&Mu3aMUpZ>BZUbk$lr&w6M@{;r}qF2tZB{$^;& z0~_~thb+|yVsO`s8oww`stMHadQ%e7A>Gk&RaNb|QUWLSMkZP&;x$&bN9Tq9KXH%L zyXtzb#(r8Hui2%^)*f>z9VhI$jg;nxw2j@m))8>Q)0LR7h_vwgT=Z?cZ14AMhx4yA zyf;L3PKYcoQhGZynjh}ED^ABG_}#@N*-+d}Udo$|ob2RHypPSE|BxNzf8^5c>iwsyoIg62lBQZ` zo4h|egBIeCgAddGatSZ^^tpeJb55(w6O$L3b2?M5JNGlpYn1W)WFdNYX~bKN=$DQG zSCNF?z?Vj8Vxio*&#M|5gKqxEa-CTvu~S4F=ZE^i!VpH7p5dX#!LPoLwqZ0!zwBu( z=?`~GKXOn-H@va-lOTQ)BlFsfV?qrkCoo0&g&x*%)T)%0{Wu8%CbUA6N`%h;f{(c!p8m%@b(xyN}O*?Nx2 z9Xi7Yw~Y1MXobh)2hmtn2_mwx;ZU=F(&FhN*@&-`JYHT;lT(4rz2Q~>y1m6}?z)?} zSH6VZi{`I6x=Fnc7YUfeDajxh-l4IIsvuO5ep3{1B?xJ3-Z+O; zX;vM>89Nm}6w3{jC2@W8Pd%Vu;flf=R@?>ux@`wNhUek5By4+0LF@{W01k;!&9avq z9Ydb7e%xVtbL}}HJW=&MeP<=oq!^FwVV-w;B0(_oBfawhPQVnB;kM2e@}yK;HxDWH z6GT_n7LFw~tjvHzNMibV+z?dHXdW0!5nG9{nZ~O3A2NI60s{0S{7|eN41NrmIG##q ztH^TSA|?VhIGq|5^N))-lSUa5=@anh6*|@0-iatA6#pnIO3Z^6L@YI1-m5h=Pds@7wOf75kvLy zX_wt4n;Gv=+0n2MShWX{rwQ@+r3zY3?jsV&$xvg?s`ub!k=cZ zy<2+x6zVssp^sk;m=sX>NJ0i}3wJf*bj>*>3W+bx5Ol^DRP|*T50LQ^1cOeIAd2E$ zyqp#nL6o50x!zOdBD>_%~uNxVp#cWR)wqy{U#c08}pre0*&gGpTk@Bisyq!qP z*xpK^Rp53v!1Qn6Q1`Q$`8^co1f|evHFp52EL~Wop!l2Il?T4A(%S}Ch0+bH3`(_D z5+Qa8V70Yfldzg{I#bum%!m=|p`z{qUlqbN!E*`A#sQG^GLk`}i98Ps4E7moIZf;s zqJq82>?V8J7dZET{Z8p=T@bf?MKaiD;iRI@7MN|4_WHzPqbLI#kKDQ`YZ z>7Du+;Z)tKA^sH$q41~<^`iqlOfgied30s>?n_A^FQ_RiXrOQ-a`9sAaCMimnBO4jz*+D?yyft$^Oy6?+2$Lv>`dw1K_-{XY|w zK6yy%d#GPCgD&DEr$HnpThzY)ARYa^=zE7Ry6Xo-pmzVv@?RYYxZitfI*B`VwlscV z0R6q^;sAK;x))+S9*QAS*Hs4dd1^1O%+)JM9azHBt|{1QDL>)ndTIXh5K(XP)kpI! zpvKc4!c0@87o*!Bl049-)}M_X{FL~xRnO>R%Z{V~d8?>RssB)fBhrIDo3CHh=}?Vs zY~9^fVt(~xqq&!FMQ!ViBj>A+H+JPYWfhFKU8uu0nAY;cQ!#C)?M6;sPc79D=C_@E zLku{x?Ze3ib7}POx$YO+Y(rXeewR7I(#BrZ4)NfZ`WMWqwTxC9N)Vs44)|`gtx@W1 zSyhZ|cpB0F{O^NVbi#6qVBBRg?iODT9fRvELhUDGhtKzs`w>9Qt>o1i#E5&8&uU9~xzVe*BdopwNPuaOFOWi!_pj|$Vjzb?}-m>bCL~EbQ zPwh6(*>}{s_Aif+YYkI2af#;@vbt>aj$E%xxO!aQ=49UL@EN^JBFV4z^ro#lSSWoEO>YT z8E$R&-Cr)h)i?WP;?ZjTHxX(2ZzBuo$*0_+XP%4h@Ow^V=)W^Sx%hf-JLmO!R4mhb zUhbCNdP0BF<^vUx<=06?@3!t4t3gUh5c17R=zZ41Z6^~9%d%2pRvvHmICoEHJa}CF z9N;?pY^Um!YewKQBtHyRy0qOQ_wL(d6vje_p+P$pNes_FkTPYbkMHU;?~V8AcF}b6 z>wq=*RCpiy;B0^D>A){u`B7S?=gF!o{;$8~>ELFQO3NDg)bB<;pTs}ZCqH)?*-t@{ z4V?s|z0d3n_I&|iFvzOTy6I?nX12BH_Y=Iy4{`m?JwB*iMT(=}cWuiGe3%f|G`{?) zh8%u9K933oOPlL_Y%dhY=vud5-8Wog(TXOu1j25=l#|e$)utaAs-44>o~Hc*WYVw% z@lAU0X>c6&{TxJSQ7-s&rBFGGg`xtSSr#VmJhB}e#nCn1>qybls~x9R2$5(!F}HbR zbv2}gMa|G}d~x}oM0IKPn_{JOGeAy4$A>j=rV1DCVcx9lGGdFRNPIU+(N1rfP^UH_ znJY~03Oip(QKPr70MO{1em@^AA~esU1rtAyW4qqNlq~-Q*SLElqGr(qKpY{#$-_MI zf#^&8J9he9sL+icLm^HD-yJ_VyMm1o?V4i8Xv4Ggjv>SI;-Psf(|&LQu^h2lQq{tW zV;}%oECc_%Xzp(N;fW0`v!+XhXEC!Y%RnJQE=9XbC_@lc$US!6ExP_cWB(NCl|Phr zDyl5FB)>dx4n>`EC;xmPL4e!<8~z^i__(=1HC+k|;LdO++tsBcRU;`tj3tRq5p>>4 z5#BDZC{add0~yI~cMkJ3MSU0O2o4qE%tD1n=c;#BpT+hhaVKYt=@h>%@aIyKpH~pH z1zJp@H@g!A4Mqy#s%Z;V8!5sVstZM3;uh|D+ng@|l7z{$82BiH>P3*)e)O5e7f*IOE%kX-g#wrQ1LV>Zv}?l?X2-WR!E1KWYNYOF6}ct@qJ$s z^;9`$H0fS@;TkUq;+B1tOQ`I{N{X$^-zX}>%1ds*I3Ef(8?0DXA}~Z#aqgZpj&7tE z$em6|5Ufcvm04L>NM*#Zh2zBq^gxf{Hj#)@=zlg+pHc||^6f)AnK@(M8Z=Z5kt(42 zSueSYpn%`p7SKin22n5z=riDM%cIMHJ+VNr3&pOj{cFMsY*W(gq`mTnSl>`}Rq$9s zZySmG*yH`=3|%;w3m7M8S7;bu+3f1`Dq_ze3F1oE6%ou6<2P#lh8Sn70%k>7zUxqz zw*;g;*n863K9o*l7OmdQR&HPsX9pRqWeMUOx4`xMbPY^7a-;CQvF&}3^f;tAhOVyp z2^Ra$i|H(gWVP3?1(kL=E4C6Wj`w?~yU(@@{T5*$5Yx+^rxJsQOyAFn2E?dae-w2F zPYBmeK=$2<#5aIzLEe%ir<*^(207?yseewG=^3TXo(UpToZ#0&r+dREy}e|)JPE=f z!O6tid4|d5z86zQz`pFNcn^sE8&g-O9ApyMzz@%YX9SU2Gt>(3^ilg(49H@HtD^S3 zS8ty-oAtBTTUiD1;vWG_Y8}ze^-5D4ttNM)*fNuNvE5UY0$XWLCea2{?#&!ba(!(>>mkYzuxE1o6l~N zKcQ;7@k*bNup>j}jf=;zM$4Me7xgx2)iXbDuu5)Z32XHeLTQ;cN0UFjTS`B^YR2Hp znftBa9vu39>d}$!oy73?;*Sv|8jm-(hsBP<$q&%)Wi!lFUJ^wpWX z-u2s+%ZC-d#L}*o*51zF_Eytj)TiZi)@;kWUop}fO!Op(a2)q7y1%Q9v%~*NSLwqv zgAbMUBh#YCk^3`DvK{?Qh?>U7@GBO8ku$66Ze0lcp^yi^ z&-m%b^wVynb?3F-n8;rJM8J+O%C$L{ngEmOlu?gZB-ZQT=RJq=xrCq zic?ng-*S(cbtNpyQwlT;w_3+mzSwa)I{Ht+zuz+RL=~h~FPTaTQNUfL(qg?c;i_+u z!_U|N^(BZGhs3d10DQg`$Rw^fK+aW_1e65xM)~l<_zE5h6YVjedeeY2a>bB903@|h z+!W~7a!%2ZDp*zwWMZ=(K!_%!t{B3&-n~79JH$?>VC%_8Xol$no(vevpb(v2HT73x zx#FAQgpa5gz!a$7@&B^fu|nk(95PrCOn@%%389&QYeentT~j?G6hX9WaiXj`uac`g z86yx;#5c?_KfTs?hGpL!YuOu!++@q?>Wpdhgf8(>a4l+Tlv z`!X!;wZEbmG!aVQ?7{FNv;ub0Z!l7A9+_ zwX`V_Merpc+I{^l{3L&=@g|c};7`ZC30i>4FM_D%F;Rjw(+%63^G}pmc1>S{waC=S z3N98)31$R@V11Xh=(XXLU#;d?TO9Eooj5gAymUlK>rQ&k38%GVoY=opC! zm?@td+@w2jnLjARxC**WLTlq!BZG@6YI*-fC^ChQ*?i@ep zmG7#jqWMpi7Ff3p0^V|NkOw!(_$P!Vw5P18-!-Stx76sIVrZ!Pam#_(;c^h=rTGDq zl}N4p0nTr3%>bMY*Z3@`{Qi47(YMk_J1!1vXWI4Sjuv!oMM+e3FxS;grTJ1Kh$)KE z)m!S(-)1YslgQjDPdR@m0Bkv@Y+F@(2S~?TC-+zdEKWj;LMd_W$HxwdHSq4#WWr{{0~i5>&PmUPoFLkA(Pio^B+cn91_D1I zbQlhI=Lgk{#05i6*#U8M)&icw;N(^j%U=uWLhjiYsFZ~jfsBL8fCxs7GOZU#izvf2 z4zrw^-Reyu33eY?Kr^h5`+EzQfGk~eIoIkE>hcy8G}F&!e_1eZ9zcPap{1wlf64CB z_S{(y#bJ{1P^AjQoI3cAPJ?(Dq<~_G!L)D9vVFrNa18e@-@=CmXBzL4AOb)%H~yWv zgdX!eIuP&x1bn0_YHxWxpy>sO%C|ax5uIHP<-dtVEB|nK$|Q}7Lm7bTd<+299RhOt zh-{bGvKYiZ-E-M;M{eSU=EPosMkwmpTQN8!#Hm4_Bw_t1_Y(lHJ_%yx`+70@GJo>~ zUu`Z9F#PuI=Bghhi0j#R2#oen)0ah7-%f3%J1N(@mlq{&9`+{rO^DDEL~KNuIQH`- zuezSMEYW!~dxEK-fq4?|HJ0?=tr>oKP8u^IALnowF>#5QvYCnl;oV;y`jw&r-nOm}A zBmauMjI$5R7AK`l?X|>xe-IE6eYA>x#ylea_>C}~A&~9|h8Lb4@M_IUqpsJGf|%H3 zJrx=kbzSbxGBEStvRy=1kO5(Hcbo_=M;(H=Gfv)=7W}{9w60LtvrZBOkFp!}uw|iH zwHvrc14cu^QmbY2rjA?b0BpY=pw}`1 zynl!gl-r!rm9Kwf`}C(J+Hk|U<^&rSt!!g=Z6SMTz&wQchT(ZYB84wjvP=E7_zbW(SmTUsxm{m zG9RX73w{PLJM6eR-T(1qB?#sFm6}Ol!r=Tm_GiUoJ= z$o^L92`HR$Qk#~}Sf=_KyBzOd>EXd-*QQ+#mAT?hXo4cksj#yDXlXfx`Ued0Z}I7! zF2_BG(ZcTGQ!_F=JS87Gol;|IHvv1`^qXP1cxsF7Py`V>}J4D!zI3ydJ+L$ z^|>p)YAs(=t0K}w!645{PK@ofTqKL6DQ(|oqI4*Qs1XrQy)>?S1R{LzuF##RJdm(_ zH@+)ZhS!?a$! z+JZkq4#jf2xb*K&vY8$DG!%8*zSSqDe(`Dzb82O^`k+a~&c*j4Ak7YVTf_J?2_ntq zxOs57=!>QVF*3{LoQVw9A_z*3s1m0%F($7Wre z<>A?f6P}2PRNimPqEP7aNQ;S{v|?6~1X1kV+s3zlNgjfo+@?g{7Epl^l8)uyB*1BH zAk(X$hirN5y?JPTLk!V#tAe=t{=oRF6QGuz)|HBwyO;uk3?3wy2DAgf_RezWX zH`h~y&o)H!3)NyaixivnSgAD>PMaBABSDNiA>}I!!k*lxOkFhu5YoGDt{UzA^fqnx zLr$pQH)v+C7S4h`?Wkamx)_Fo`%W&{t60Ae`@8*v+9)J%4jsRuUMj>x zYZqJ_2u_yCir3l@cO|!xVmYY_g~ZWG0-;TlZqGb`&|4j^>Ra15aAm@fKsl8Fio}L$ zyq{ilkiSb1XYVI`!qNm}Ub$7GQx!PRVk#NH%kSgJSVsyoKpeDaNx+B$wNOl&zS(I% zI++hLoinzK(DxKlH9-H+hf$(fxMnGzQe#&5c=_zF{3G*=R0sv& zEk{8C1e`7yUqUyo6oKtP;)foQEuWtSm6DJ?6Z@0W29UOey1L@E7&I8qEdT?NeMsfl zKtmK&(7vWJC?gA>j<*~gmePRGev4ph7%dG6SF5p*%A{cKHHbM~gc7ZP9_vK~E8+`L z!K4n>kVdD0Gja=Skx0CA7&sm)FlF!SmKKI8U{FSHa+H_>JbpBlGhOz{(I1@a9`W#v ziTE9V`wmfwd;&GS=4E=#^;zIwd=&{FxXWQfDW_9VPQGYs8V4i>N3$zumt%~3{xe=B z;$`R16|fW|GI##b0*xbaaA4j8A<#7xKpijHQJ~ny1Qo2$Evgz&2bwHFhwCO`7Td7y)- z{O(GM3LSW^THiwonX1qU0t+hq=76@21LZ-ne=2c{dsPjO6u{sygB6aEr%e>?d+zwY zvcFW4=lj8N$H0Yy5l=0L>K~tK^0Ut~&w5YPaA5#dCRg5*p2*4#;j~OBYVa(r?9Xi4EaKIu|`FqnB7yo ztkIv^Y{|J3{nhS;`&Gxr`vuGwDjN=q&qti|`@L=Hrmfc2r_r*%Y}zv8?_NDCWC-ig zd$y0|u!^!WFF4cAn9gNbm^Baa_P-H$Z)Gb5zh%6=e^mRRhG(IvcDi3e+cBI>y^T-L z-r6p^nk&@3Y|W+v`BtUZ1MnOxxsaVBbUr4`!E-EM?L6lN@8sm%5+(57l-V%iSD#{abTZPe{b69*hS+?225=0lg&SN{^Rc<#I{bKmgPthMW z%85J^CAD51X6UI;*d0b+`nPmvR#YZxS~2JIlutAMeB%7}_trhnzbom%jL2-s^dSAy+7LgJWj~|?p=J#U?q5|W7y=@JKibQ=M<@@U)|nC?>mt< z?{h=lM8|br#^%6uSDJ^~2XDeGcgR83tK|!x6JJEHtUou1cYfpgYp^3OJDOx-RVZur z)7QN0%Jsszv@}$~wJ3d2xmhsU|5%^mVqHs^=rBdDJaWA5x-SknTZV0>7^=^F)`c${ z_%mYbC5S(#b_kr##^~<$*+;2Qw-CZS1n8n_SVsQ6RKfD6{RTDGb_NB>>CkUV5HZ!E zreU&hZ3D<;C*FgbX9{Em+=``P6=S2{-uD9-wmk*@p?&ujluD0QO7rg_|3*MGc_ySc~w#U&m|IjSS>je#qtHS7~sC zyQ)w~3vcpAjc$Jea>k49VB0wP0%GtR8p)-j#s`)=M#gu-JOcC23`4?>w-QQ=~wfmR7MV&WSR*LEfLVGKKOdu~<2zCn0-A<{j(wA7|&y zv;~n}8l)PPRE!RL8H)C-Aaz&O@5U=%A;Cimmy8}9NqP4Qd2E|LKOoH4;IY~B#xd4U zl7a-$AEVoz?#^}hksx}ii6GbgB^cjfN{)24=6xh+8BXHPHTtrVyBT~9j_ixN+rx@K z;5N9q6;%S4Dg7>_s?q|Bi%#dg6jn`IB`vsFklX?S&r{NvOU>6GL#P=--X`=XJT^w~ z_w{*X(m4DVo?aTD91HFBT#-HRj%)*I*fTz%c1GoW*N+z|2I*k&P~in%^OgI)IZ3Sn zALBxnb11w3+6at-kbn$A!J)l%WLF93NqklRMS%QMs}B8ic+1BhbFg8EJwIcFqJ#^p zgZHz!l;`jP(QX#8F=eN0Ewnr2Og66ht2QpFQAw4*%JLnS-j7SE752uAYiHm*E!cPC z-t#>h(iO^jL#*A$sr)~ywvP~YPTA+2_Ro%Y{k6k(SM-s6&k~QEY%kV(#Jv5{f7bb7 z#7m@f+>|daNG{pNr>3|1PeX^YX-tBF!AHopaSZO&la-!aW_9zE&Ug68r)x<){XY7n z<#WKMw9fiTLC)T2lt!YqeB{{umwTFAPRd2dKdW~>?sCtW^|ng+lw5yc5^ZU)rYVN? zY$b%BQaFQ@Hrf{A&Tkt-zE0Qac?23$Mdw)521?&}EpnFua*QfBOY`ZQcG_LdroN=O zQzFR23OykhNW)2yRg;~IJMF!V7*S?;0CfoW`fJUOI4qm?vgc---q-7S(d{KwMKA4N z5cT=}{2ff0s77bjr?E-Qz8xwdVJBV$;c!khzwd6;xKwZbfffG{mu@T6hh$!Q0`off?#REqm1)-?srS%3pa25~9%uILev_%D|qliyl<3H*_2oCDZv2 z>XFXPr$F!4c;pvyW5^{SfJ5Atsc$CZG@>$DC&2`10CZRYkm}Ia0LdYc^*!`$6?9cI@Q>#-I^jTulLjC!?L{^+*Lyt zEQLZ7>7rgP&!2A$SxUgzZoNq85vO7FzLZ4S*q+YHt=n&-y0t4+#mUB_wOa3bg8sD^ zYO__0OFy&CV~TSJXA8{pjjeNXn{KFFyk5lY@E^i;q&fISE9l&7mbN`JZd=8`?qacs z;Zsf*%^2y7wHj@@rw#DZCn!Y(0>j<$vURM}x4?yA0vdwa(v2yGpd$!L$PqRvVuwKE z5-IH{{woanR^T%`xrswZdTJKI0e<%LzjiH_<HzgIug6-b|3eLoyE{&4Lk!Bm-?s7YMx z`99J@xw3+HzY1$P$o!Vs!`~eQowM#ljrQur!~tEInQN^xx2s?1%NO0UmJMI=$#^o+ z?(!-xywDZBeO@)ubdy47zKUr-TK15}T|svE4blyc`c#?EgDU1kU)IUD-k$n`Fr(`R z1}D1%voZ2>87Ws4)%Ik|VOq>Cbw z9q#DGB~n<$vG$KB-JhSaId{3v4-U5u&{q!{0TRNGQk#VwHQlhkUY^@U9P^U8@+G~u zleenBq_r3ACsQW=gy}tf^=QKAym^E8L(^FQyp?*Na)e&*!31)pS>agwq3S24B|?eL+OW) zfhKOz8J1-ss9qMQ)%|DM{WI>P?32$=RTS;px0-69)~#}UbaZP+N}8j?*N^Jvw>L$8W3K zWSi&6N1u+Q^hDP0+{SOUi=+;WW`SYP zz3bVw1$q`}lLNh`R-bxx3O$+*e%2_;?vllX&0#QaZc$whv6=d|aT+-b2e+?8&5eJF z)++vXBDpW8OjgN6OEVg|gB|a;`p-iTS;Fd&%j!M#7qhf??An|)gmZp) zVqb>B&#<1UeEk#IGMK))yGjFlKYmW#tgF?~@#3b%HeAbJYN5BIm9n?t-gPEoqnj@( z)!_;>?iTOO)LX@U+4CXeR;MFM`a+&Kb~|$4^uF07(32X`WwKOtRdJ5S3*Ni)F&_@e!Qq^9Ip#%LeV5K8 z>fdlNKTT@caj5vg_QZ4UwjOcg?}}s5mJFfvl38<*fN^#i3vtP$iC~P^QlmGIf{`Gk zs)r)lbzPC+q9AD+q2UG|MH>gbeJ-X2bl^!ZRs(_G(xTxD$|UqB*CvuuV6xj~mrnHs zF=Pw7-i;@4L}7gROeC>~lEf8=ry>+x#X%Hi_bak5q~FrgI^!jN(EL5|4MtS$O{1}o zoDRa@C4vS5B-8d#5q95NYstc8YP4Oq`Ss{Ur#4$2@Pn5*I*|uE)j@CKot?Tw0ca}W z6u9cOxqYK7xiKbZ@Vx5waZ{kvk_6%gmGDJ@Z9wGX9x=!#1tIP6Rx_NSH#!j&gY`4% zkg$(y5Zw8|YI!w@PGhV4mJU6>z$f$Zp&pO8A_{d$^8!#B&wwtkPp}_?Is52w%_I8K zb=jZDcf(amK<-0%SZ2@(Z4*#t>2I5~KX46%yU@VmHR9*FYehH+Jb-%OtUvIU@a5D( zAZnD$G@rR&;|-#U*s?vqg<1iuM};tz9x<>3-gbJsLd=SJ%68=qwG_E8oY8ZCOAwSG z63Q}-(~f^uTT*kW*>)z*cnCmmYIBBwAzur04NFmim<~7(Jc94i;}k*q3zia~y)FJf zxcn#CNYNAGW05|iIu!kqmVW7#S0z+%c&rK z`FwxOzJWkk+7=MH>?R3<$bK^VzTEirRX7aDH&pRUgFn7K%a{Jj5ZtBc`d$qXiW$?b z`+|){%c8lH->aXXvajY=q6o`vH}3G<@kb0e;-7+K!aWfM$Dxs zd=TH@O(N<7$~)QENnn&tiNd#gg6v*u0rhmhBh;H-P07ERr<}z#c#LF-gg@ef73z?> zbWrNpTWS=P3VhSvyki1NGb}|KZtu3@gtjgomAZ*HAdtv{sk9V2<|pI`nDpqI*Ku8o zMsebg+0Bj6R#4kMTfMqlsXAuPk+l&t!L#a+cH z+LYJm9BSNP1pIEgXp}5Lyh}Z>#?DJ|;3>dmV6tlde@H!5-*H`)VZ0pf8NzX+{mgV?Z%nJjvvCtD!$d{n|DR~yV zKg2mVM2qj3Gh@Y%sVg^9qZuhr*C?>CF?e^z=Vbm|m-^Sqgi~^ZmGY+2@|bk>?Fk)G zf0DJnyM{O3&0qH_N<*)1l59Xguhl)g9*K{P*Ww zl77+7`QVq8_rWU4E#mp4UiY*5M(Zf^;i%EY=k)D5&}JN}2|~iEA%E}#q#8BVt=YQu zJNJ!x*Jz~E#35$_j!bW^AeV>7Q7S5`7a*WX6 zKzNQnD7wHle)&&QQjpYB15CcL`@i%tb}CvLpJNu+{XBH0tM1_ewW1R~(WTJ}iRxiK z=RDqqo6JU@Iyb1e^~S@f`?g9c33iW~PmHwGm>ZZi>}THK9lz1cO5FBxbDEj^)^YW} zK9jYZuGiIBT-}G+nsit0!=oFFu-e-na)0EZS2%G1n~08>pJARDvY>7TrAE|9RM&09OV~7E7EiHxqQ?dfp3EE0bbOq z=UhJ~6OEkLzWKm@u8{jDMWcBYAH0g5KV<0@w&Fy6;~R{etHDF=TJH0Lz{7JDAAGvo z45Q7Grr?1TW?#<3L@~B+pbv6&K5c*@uMGd>h+S6Q`c_e{(yrVG-tL$I-%j>B*QSSU z$S&aMPNViM(kVoY7_Y@0phgCk1>@baL%jH|V8l?90_wR0L54VkrE*%fMB|xrYGtSjyvcK>5 zxKk_X)IMM6{+(Yt27WEAnkNYv}j)1OcD{g6G5@=-ztED5W9K~PG5a#7-)`)CTbc_zJoukg>)8`?+xe-mAnFHMp zC1BKC0<~`*xTS^>4rAYqi^+xwDoM9}Q&A-=H)B!;AP+yz>XlWLbL*&e|1iP$5V`-( zuel!ENnxT}{3IQ-60kz)e|wX;Z@!wOKe2-BI|PPL?H9YGq!WrK^F3E^e9ges%OPmw z_D9sc?n?V96?}g-x%q$t^_A8mMfD51ge~}OAs6}8URj2>BVRq5m#R$+DuC5``Wco#7c>@Z{gO>pzlwt%dEEZ3_}$$DH>R5k%?B%9x;<~3 zv`SFh|4@c4bF9F+aK{-1>-_M9GZzUOv&T=QhN-6eztz~N-c{e7xcy9HUAFsmqA5YM zwYVbQy|?fc=_No28qWA4?gKZ&bgM$lJ`8vlOm;}OPJ-yus;givHUN_fJ;o4e>{J&Y zZ2S?jRh$MZfENsGh@MF1iAUbsL+pAfp5D*tEP@2#EO?#COg{<``5832p}We|CBKbD zZ=~#jkx-pBTedMo8cCpeluI9NgY&G1>md&}xj`~gKiH(`$U5|I&0?D#@~3Q*a#iNF zOnp!Ju-b9Mn!RE9hsa?*^e^AFzc({KEjO4RZ}HK~!CDqAWruzDU@P6?(p@1}%pTM2 zTs_(g%#()kj}WH$_BkjV+9Hzj98r&XO<0dVjH?{O*6-Zj2p{5mk5`gj0SD)i_cPdl zjd_Z-3Qjd9ELKtjX2R_<3NWO(Yoa{$d>;J95<5&bEhd?>ik$KUyPWaOP0G zb|z-$>&)kk`e&xcRc+7synTC{_3O&j4H(5S{Cbw@Ya=z>YAtfRXkE4h@qj-*hI%bJ zGlJSYO?lAusI(AQ+N98)6jpUUdnekys%tsw{Hm#4_x*Vz`iI#A`u-Uy^Rl$W^5QoA z$K$7NoV2@Xt1D~W=ln5V{dV!0KsW2YAN5@c4qX#O`EG*7;p4t_+NtyRnk=#eEIS4M zoRjRPm&EypcHfP6994)hI6SXDrq&dt5W-d2=EKN4*PNU+ZmC-}kSG5!tV=1=XEPwsS%@J7>I# zaJMCfHH}SQBaLoHLFzLaEdA`#P-4rp}GC9mh}&-|%~qg*et4BV2zuuK8Rj@gwbI-OBE&a--WU#zQDU0># zl+5&vD79tPLuY?a^Z4aKmAWd&bbY(+*L_P>)n=DdZ@yX+9g$a0w&hMHxI5?HJ~n!M z%m=R5Za;q8y2GTlbnvStl+pQ%OY5nb~rB+XK)p zZnT&;*`!#$0E(;V>s=3)(^SVSQ$|;z9n+mVwVDqE=_q{SIjMMAB(^z4w`yK=jcM59 zZh@~m?Dw0+iSwI350%$?u^$+9NntiT9jiKuF+Kj3I$L!q?5lOtjl$%rn+ZEw<#4w> ze5bC}R$ZO6xs&<)rEEX>zK%P7nxE>b@j&-^abWh%@sqEcSW`z%=07Ex9<$S7%im$| ztLyS>WklKCnVKjvC00?P9=tW47E$CoFAaH-i-U94R?li{H#K zt%Uo(VdziCP)kPX1qa6t6;@M>1B%dft3vk#yWIs8MzlbU6s| z3F#0lzEBP73!j0Yupcbq!8PM}^F5q0p=%gEw_7A z7H{A3?8P5l3U~~x%6~!cZ62w^Rk^FD+@m9R8-_76w!{-h|m5i}wJsgBKE>{J8q(6>tvm<5wN%b;A%?>?_NM2{2w z5;*eHM2U3T_*AIM2r0}687ggD(Ospd8wqs&?ipS9_tky4m)^T(geGBb{)+z>QQsZb zRQ5&djH8GO*pQ-F{0=z@ICN_D$|~Kdrlr z9=XLY*nK_Sk>(R(THZju-8|C6iS1c)%&@umYpRP$v;+Vl&c+t5>3lShPfA^Ymk8^P zo3fMN1QV4Lo@ETQIDMOAm&QR|m$i(t4zX;ikQYI&FW>unD{^p0hPmQI+O*!3j@$TJcbwf$68fW5i?(7kIPpDUm zn4(S6sCRB)1y&dv^YPNlsM~MaXMO%|+12BVYqd`akF@fbHYLnE!p~+^u2yPbKF7wZ ztU|X-HF=7x$G(p<{7eQ)0^%*qw^yRsPSaPjSdZ&Q_IwCMy@iZ`evg~V>x7E1CB0Ys z8*ieX$o;k|hlbZ6qCThmnEU7$jJcv2aa$zSv37=@`odVI%KjyDjernI>y%OcH0=Cm zt8Z7%n!Yaq(ZbS^Vka`ETEC0d#Ob`4IF&$5pZ%oX{KE#d;WHSRe_KMUcmjYmZ3_HtjgS^iW#swdsDbnCs|rCc+Ds9KNdb@PN%e9`}WR>S=9 z@t*!jktp1*FBKPJx1C+#ILy}FmXCIS?_QUX`Mz`RihGOKhwfsR{`DZStAh#^q_Z|E zxj7-OA8m_+Tz%!AWlC1R6E{86&8ig-3yY>R@KLuKGUzt8a->zC@cm=+m+nKPE``7DxV`MTZ7U9)@l>+<6- zPUpJ`j!!1FRi$y4b!8$^@>jZEefZIL4sU)7Q?PQX?|i(K;mWT-e1lA_ng*^Qvk_%U z*1U+KbuS8+XJPHuom7jag$=*;p*?QXuuvRon)B}w$m+|zH57E)DF$!bT*wG}JQ@}2 zjHArYoYN!f7Z~Pu7dG>)mB!|^8@RbTyZc;74u7AT!+VDF!S|cwI&}^c`%7dAOel5! zwqUvm*|cxhEMusJ!lP*>Wl?pCho|#x0QL(~>4mAx^l#9Q@elM6~lASJ@N)zabXE+)dfP@}(P_$?l8 z4pQkM!o1Y(4y$1;^$DRSA2XC?Vx=$;9CSP6r(ybXX;z+`t%yd-pr=xaL5YE2ihARg z^CrQFoLT*KA;d7~Yr5pbDpzGry?SYt>syY>DVJuwL3?*$D-s*eyjZuHJjpNgq(u z#E)vCTf%VId)V^t5qPzIB4K+Rbd3cMuMyJE(bw|Mr|djQe09k)|@|Q=-Rt`6W#s-6FR?Oq^Vr z+S{3+4(->-W6;%%pKJ6!5LNL?WNqkqKnh9Aq_Dd}Rk`t`k5p|KD~u;_lN0|}Xrsa= zHIm_w^i?ShxqG(*5Ceptk=J*fmFs4{afFS?LlOQM>_ZZk#{4sTbaYP{3#EAtf8twT zXNp2YI8Ov7{3P**{A$d>9d*ZBu_^9oCrI|Mz~;5;iJin`0m=4vrm&2^(2wX6#{kha`Y3@xfao1N$| zshso-`%=UFJtv#!Vt0i!u*D@8exGrz#yZxgZ`4KR3}aaG9?S9Cvf}gnkT3Qi{|^jF zo~8QNyn5SM3K7bj{X|>crQY#^U*2=Kha=F_6>=wHGvdmfeOp=KCNcfVu=Mk1eq1hCMAu(6IH7@(3-saG|8EAk|W+z!ZR z%4XOcc6^_1MpcMGJ0i#R$W52Fw>GbC-?gRkyiu8XIdi@u{``Y}Wo*o}5NS9d-r0<_ z-PjnFiE~Z6xGz)}^*+pp50{#M-e$7*vQmAq5A^)@h@R3!h^uj`}4cgsUA{dw6> zWrj=4OOKvC=}$mJT|*Gy`HOicbkq%uB(j~@0$SNwcT zt2fs}H%ct>@&qruGL%+mFK(PFYPukk>Nb>Oe?k|5NkKR-pX%J=N`h>a8(#Etf0#3~ zGR3vMOX|65%-Wjy07QhG5UdgR+l~iApLH6*)FYZU;iN@6>BT*eqtR4mpVuwhSpIv& z@%SZ>>e-2C&p;!0B7UvroC|IPq@x9m1wAHKyjvRmxY0N?d5gmXdI69JVJ~Pvt-G=x z!X7XmxVwp8Ws(>{d;6Q`{yjo<0VS~bI!lH@Iy%F>gr;{wRt68)^hW>-(u=(dX#T=p z9LHei!zL{0yEFCQBd-&FvqcZvIFa^IKFOR2=gwOOJQBM=#f9XO&=FHXUc`eCv=vtC zMBq%3^B}sq0!zi9t6a2rHf>pd{Hf(b4QmGo_e(n-UI6{elA(0i;taEt3_-T9O}e&= zp%ASCz=z>`88#j#P8Z?i02Nd*)Z-V>x{QUgjzK8zUT!7-v?nbV_Mtbhb6Cz3s%yaw z%}JrZ(PJiU;O$@-%)VMUkZ2F-)PkWn;o&eEF@RM6f$PQKi9CUB-T-t}PYMC*0W#d! zw%MP-0E#u_yo`Y&za!|r&~)eu=_MJo@L#hZNSH3TR)_q|SI6khM1F-2iFeVBIh=isj zC*sC;wSSMaU(F5hicv%Sd&CUm$gwG6U1*9>Vo-pnB}xxCHT(%-e*+)aW6$GbNQ=m* zbp_h1*TDFr9gz&}AR!_y#FuL#robtQ0SH!cLI}r(@zb(Kb2bQE`QUaZ(WgG3A$JQj zkA7-OHCGoN97>;8Xcn&}rdY=!26F!75TOoG0=Rh0=yFXE;D$4ELEaBG?SEl-arjxg;B< zR##HUqDWiPZZ{R=zPZE?fz~sgXX9&%qU^RfPdiDpXHnczR465pxWgPRk-j@^)xB*9 zHkB4FLgHDt-ApD8yD@Pm;xxMXepRmC#>?5fdO%mEi}8HiUq@TOp#(Zu+P%;&;T?b6oWKCP!^~@ z)@#dh?@&F8&stkEidqBdBmH{E8XH8X@fQ@EPo9{M63(l8G9RUE_HaSROy_aokuRl8 z)VxsZ*dm2?u3@uL6eSWLz)+D&geWJ*n5`W{E zHRYTz?Rt&x^U_QCQn-kSVSDxYLc#M7FLly~uNwP5gS*A87?&8h!5`-sm=v8fyZ+mO z&1!q4%V4sxPrTLVScCjz`iNLI;p70G*Zj2%B2rJd?r*%g>5@6dX;j%BZt7}~k1)Xy z5Gl$2^P|?qjNR5>!_<@oF09kD97|u$#Y#j`%!Xfhys!PdJ(BGFu}!e7_gB4gc+{rt zLgMos&zkr}{Xd9XPMWYR-BPh!%N!?vMStI(Yyr_=RG=!KZq5sBlb7WAxsx(DwT^Tl z5#?q=&LP1S26!^UF{*4gf1FE79R zl6%7Oq?oeffy+pd@Nm3Fs&q@Eia}i*PS7feU|fcWOXJ{KB?hTxBGWQBjev72udSFt z_f?h*0;HQ+B6SsxYPxWo$pArrD1Wi`y*j+aJQ-tSjf3aQFQ`kJl>R(@&N^hLq@w(R z&&|58^SsX0`U};$5364!xtv>Dz50%Q7VEZsIooqInGJ*h^T^l+Z*a;jA-Sv_T8bc#TGb|ftIz4s) zJG&<)gCF!#5eVtkF(KjV56C4>fNVwUc0*Wh zKPtXyKA7tk5VUl4`r&%c25ILy9{F0e^m%<5%dy}8tk#RWL?fdivaxyN@f0hQxRg0zT?iy=+hX*# z=s|%+_Pno+?(OdlC|CPWjAHp41)`cMN@6V}IoEkYNl{yu>$J%t1~0vndzBGgy54lX zoT^bFYCDolm)etcKhYYPrBaZ=b&SMe6dE6yXs=3G9?d68ymDwUzp!&#UZThE^mKyv z%IWJikWUFnJw8EEHBmKJ^~ZSz@@#6XCjxF$d0o2n;h-BP97T+})l)6m`h2p|XUJ#f zNv@-{psL0}B>c^ZbovxU&qxY=p|Y5do~S3=6U54<|Xn(E4S4z9zB*l5@{ya zCs;HTukro$h@@atvyqIclFH8|nJ(XyCAOExr8f4a0{;h2g3u+blWL>f6!r3T*N4Fz>XgtjdHE*XvQ``D<)LK$1Tn*U{}j?9+pii_qWC3`*$EeuuDo&+juX3fvOfj#M8z}MPIW03 z9`_+@ii&Kl9`%p@=AU!2n{1^sJQ*~e?>#lSW>JT0{pI55W?lS`^9>hq7n*sd?teV$ zp<}hT+ozE0{4&k@ukMeIYBIy`-?ZxruFX@0yDC!5ARcc^Q}v9~B85q3xCY@ z)NeW4twilrI>;4Q?J&vH)aDOx>2I;$HZ81L4@aJg<_x)w)SJn$;qcVq#jz!i|7@JX zf&je4FHnL)WLdi(pqsgs+Fwg|%EK34{!oL=hlfzKWYuBy)ig%@4Z6iuKtdq_>n2G= z^#TX|&g}|#G1l3XXXT79$C`4PE3fxT!Yl_pHcS2){;5DL(H<4cHFWJQ`u;zo(vq9m zNz_h%e&O^uJz34f{qGUlm6vb#_&&PvEU^Wir<<$sBx_Hr!kd`QE9ZF;<7gpYqN--(C)7Lp>wm>wTS z@!+MH9;IYN>wIBds-wFu-9YKJ`M1{>?2m(6K;QO=X0f6-@@(fZg z`KHSS_@?BRVHPJ>Zfr?+!NW3dJlKFz8oet@LJW zwcK^1P0+l;_AP$85t=$9(4&M+^eLn^KchK`hYf%C40E1UV!f-v6gWPdQYLn{3cDS9 zrFtac*(fRN^TEEzJ+zni4SaRS50WP3Um3!w#vkEd$G6aCBibcJf#ByyF6b zawQ-nqN#G(8_UKd{Jup4dNV*IH|*I{E4(}{x@h7#(&Ejn-+Y<)z8m$}FcWoZJBfNV zup*l5;eNeKTv=&S|2Ss#bW+?0gZ0yGCU2b1bXP!bQWt%4M9b%zj<@QbPd(wN-4)=F zjXzob{-rlw9p}<#kfSGDg=xfWVC52@y;?E2tk=GG9j-*QxMP-Q05`}dw3psA$p3v) z`o26)+f^1vIYkOV!sesWK@HrOT!7NsZtNT?_E66DP_X{|_!u{3L|6Y&xi!IQ;!KTw z;4fY>R|OY6Zerp!ZBfpD;ah{{BriT6)~=0y{|f)AM{%S{_V^EjfXi!en*q*DRm2oP z#ZalUEBE09F?oS@kjrLFB2PMB7!f%Cro*h=krAWML0+Ooo{&NiWMwI1k~*stRgH6x ziGsZBO=OB65n9jXWoEzwAYQ=B@0VLzdMk&7la+LI^AS(6JWw;Q_fvqt?XRQs-uwmi zFC4T6XM7as@EMZZe;L)^w1n%|&CZ4b{_ZZV$fT;QKSF`Y+W-C|Qoam)i1aN_qL!iw zvRD#;0!9i)tX(0Xus{+K1&ToWR0V2`oo5sp`$JtV<%E^gWZzEcR&TcE#y~)=Lwhw>Ch*iA1*C z)0rub8!A(-*)O@1O&Mf|o@8Fg2D~5Cp7enWsRXUfp%kE9GI>EkdGcH^w5&R|PXnwF zUp?zt91FuwLRuZLGbe7%PoVqnMU5U7Hx^N7fmN(yt1S1N+Br}PeL#o=EpZ!s(sI*T zl%yOWP&#yaO15Kx#R4HdW>@h)F7pzoC$NvX{Yje)9oC86PEHEjp2C?C*i3^mXXf2k zcDxQme7ra*{}q%jEIFo7SFq@W{KYo#8yi&%0;DkP@0~ZmN&zSwxZ0NYhX1k~WBo_? z0~X3KaUz>eIkH5WD~7tfU$2G;v7a$n5t1`23>a76PW0a+W#}6~j}R!#>{E$Fxwq|4 za9k){^ma+Cbnq~hbtZu1bTUz*~*w{Kd--S*H=m^;BcusZGvHvK3mDEk$*# z;As5p%L2{dIMu7>qa3ICnbe)qlU&l#g|X{>#@;;0-K~S%)II3{pv&D3D{qMl^VBk z=p5qIFxdJwA`I_{Ki*CYpc!94-SniXBi3Jbhs2Z(fLx`o15$I0l$ONZ? zyAHgfz=Uk$Z-ZXh2nNgKW~)l!cp_f78mz6NGk`UH8Zev zaE0mYi^1Ff{|Q?KE2eS&Lc7}hd!&Dw+X`hCplAOX-p#1BetRpyJe%7*|Hm{PkTJvr z*=AKgf9{X2ZWH7M`#k2-#XV2Gf+h|PzJ3qv;$VEL{~me3&Y9q@sd-T17E`K1zAyo>5sucN zXIGVQR+5FSE%pPot2kL$u3Rq!#+Q8Wnjs3JjBJB_{eUd2j=|JvetLrFN zzv(KHu&1u!r7(|Wotfi67x8nwTx97=`#Dgzk3d!quqcbt8OzX*;H03hU*&#R`(-Hxw`#0;d)Z2_0&Fa zjBcv?P-IX9`cA;SA!TKXsj$9#$Ha@>MZ5|Prh>;kvh~COEN&7fVskpGP)6Gel;tnO z(LJwgHxF_Ty+hE`fwswCliH&sOPO;8WI@-_qF!g2tY( zO|hx)P#R?**`2sB3hOAvX1YxY-QJx5I}Hl2MZ+C$AdCr~!E@%a`oj=jTb zKL?XoeqTlNT*7%oB^~Kh1B;gnTi365`+lZkfuS2tfl<|02Fe5O0@ClnFP4iE>>hnk zC9L~HM#@rHz@FhJ#{jIw*jc}c#1$^O&s|k@5Jq8gOM&kQ;jGVkGFY=qSs%4WkfZk^ zJHf8huDJM58u%~3ap2m*C{V9j*1fZ~gR$zk8VVgWmB(JVMqMGT8jjoLHLVgsv;`OW z*UftYqfBnyyYwr4uw{2ik0nXTbu_TY75YS${0E$a6dJClP6q`JEohH`E5ojp0iCDA z*j=9i9Wuw7y^H+d{XF}t+GaICwVrU5{m$=B-*R^?>rTez;%`Yq#VQC$`j%17mWF7jGRZ(R*@ z$4^g0^SXMoMR0)O3CtX?O3xy}oUT7+M$jLG8%?3M-uMF`FH9lFX`AuF4i@u;ECRp9 ztWdh0d%a!7sdPb5rXsw!MAA41=C&Z!mX}KnDE+*>-_A?DP4?qM{4wgarO>m)8>Jqg zzm&y#NE$!-N7BQcQkY0~FA-)RrKah=~`?RVwRQ%EE10(X&gC$%ib+j7^M!Q4B= zg$|0rxn@Eq10*GBp$RgkYdY|cIc^q3tBb)HfeVZ9xy$3(UzRNcMWaLzaJzxW&4aHM zzi-@59a<7@m#;XVA}ONYVjSGf<1H6zSADnorDCDV9diLv?+;P_kIfnJZCGoioY-{di>fMejf8Foz^eV9|YizNi zDE@jvMb&_AKW&sU!agj9X+6CDXPzM!=(+C9_3h3lSI50=lO*I+(N{=B}sE zfE+(;pfPPlA~U(wcODytBSALyTPfu`uoeJK4dz-buz*5g?Pjo{(Aoc9Y52nNeUS8p z!rEaVer{Kxtn(RomJs(W$S+8d*rVE_mlQS?Hjx$RmUNH_vSzpF-)dRMbC%F8hM-R+ z$(BHmk(~ej0irNz4B|DcP3GW3R+cgZHa1iqK`ZIggFwqS$66x)?q7*<*NVzEQtGWU(Mp%w_ag|qrj!}sjN8pkr#SB;gaP$2 zUZAB{7O_of>GhklXcypPi;Vhv9b2EiNmWRsA$fvpg0sOR>=kGmH;PVR+s=~&gJFnI z-`LXgQ@3{8o8$)?VDm^(hMPik7KDuDZ?evSw>kJ&YD0Pc3TFOIOvGh44)1E1`Ivs% zQ}m~*q3tu50=#LGugP=Uj5o%m;sR=O-ZZJlEu+C*H8TXV)0piGF@a-P#~L=ux64d% z%<%>B4tYuN$L#w2XEkQcBa0DV)N+D+iqiK6bJ=Y7i!O&z`E+~jForfzd1w!z_YBrf_FubA(`lxS4K%1f8e(h8Ec6(6n1gj*=45Ga6dmQHr#fanE21TCut_|BnuTbkS2GJl zb0HHNHZ(141s%ms$UjgoUb#`~yCVGWkP6L)Qir z+)95AkZk4lVJoUtB@`S<+pGo1pPMLNfflcX2*4U@#YSmBVo^F&p)Vr$Wih!?ZDAC0n_E8zxx_#Nz!`QnI0(5d$Sr?X94yeHfqjWW&c@1O zV>DD-F-n<%@=x#?OBp@?Q7iR$-lp9?2B?(|iZ!7bZ@;^6?9%Qklo+0kEP4yrkpzc1 zV}EM!8;5NMp;+*dP+t17n%eA$W%g5_C+wz#8%=k4F7s=rS;n;hrsJm?luc8mwL;Gi zbMk`J?DpG6QHJ_(q>b3cU^(-1=Q;*@R2LbaSvP{MxiXL_Xn9Z@2{_(ZFk!Eq8LNQS ztHK!ECK`{RhbP?aWEil#h)(25=p0l7k}=*MGlxAB3~bD_^jZTo{y?77yiSG89RT`2 zQE-kX_Iqo@$`uT#>`Vy-R~(mLSdw zFWlCImspv(nVIz5*0g&{7y>Zy8{!+nJ%`3Ps$h|E={4`*wpO#19kCBc zR`j2i6-%u4M~eDmb|#CCrFG$C)Ix>9U%>R)QGDck-3#8IKYrS)eQ#u7Gm=hqafSTS zB*8w*ZDHv%BB?>M>23Gsy*6R8EOG9Il~-sN2rt?m?EtF$ZaQ^dfni4)hmNDiN030w z9~+*|f|_cqjQa;!{95Rgq1ioNQ+(s-?Jq1b!@8MqH4OgD8p}AKdjnl|5Tb>t<&ac0 zwXiph6d4^b>Gmbhcy4{hQb=?)J&leYt9h}&w_&EO*SG%f5qI^v+yU+G@4h>x^oF5b z?n#stlt}i$o&;%z_`k3q?0x_5q%&JvgTfd zuq8kNi*|}-`LV=VLM%N!?l;lOl;vQB&C6PvAU$wJ zwPFc99PsvHQq{FEb-oy9df<&x@$W1%ghKE3WegXD(^_*?S$e}{GU*d@rFwCRvsF&F z**oCmqg-%LX@1Yq^x?N76X&q2K6JuZLGI*%6vq5x$arB%63dy)iwdS5f zZzBI&=+ReD7uX4a&E=eDX&^TR7!MEgU?2+su?6iuh6aaPi!2=m?vCZRsR4aw!L#Y7 zDdte<3zl1{IZVtDh#OApS|G>kFze~yrhRvO4aYSmC%5T=SmJ|=t4qO?R!_NkKE z3s2FA?(?sSdV>m0kLpj)DR_{yr(dI1eJnE_D2mvZOF2MG||ESS%m4-9F2H)1-#=k}J&bc<- z0IcZ{!=v%JH=-n!+vj|envDZKJ#Ky~v$RKWkoi^;nR|^?)#E3cy7qwY4N>>?Re0nH zIpb@%&?i~lya?U^r5e#EFLW=-e3{?&>9~KvXIN=0@^X8Ljh39wWgEpktpw||LGmD= z*xzpq>B~X=u@prfd~eOCGrzi^trueG%yX2H!407tu#5UrjW!O=+`jIb!Yi4Zf?*`& z+SbsAec`W(NujlUuy2rM^x4UI_EbHG!{&0rvI~vYwS*oL5AmDnDrw~$eRZuJzk{r3 z?QxLqAPIHm{R&C4n)&++qt)vi_gvsq4Me8EwT(rZO^wUNW`c5-vQs=0b};!Z1{0(X zEqRbrVFmJTYbN)ZiI-O+a8~$Rvk8l*L06_frO>9gfN>Syf?*LGt;2P+$l%8VkdPDd zF(|ZiqI(MvP8xp1-K_2Zf^*&~3K%PZAXVD;L88 zHeQ0+SPx($#nthxF2>Rh&L+VSS-n;JK{q9;M0wVy;;MKks$Yz0oB=1m0d-S6#J?!=n#6R(fv?Ol9d_NvCiHekgXX9$KlY@Ou^HdZ8I% zOs43e$KCT6Ts)ftEpe1qsMuO3_D#swQVPb<+Cx2+lAYSU-B-r9^WzYPNNYb|<~2(Ly{kL&=k$NiII=E>G-_#pSw~UJKd#D|9~BWc>G3Wz@h#Go zN0sBGe-sXw$*8q}stxc5aJ6}GY_AWLA3iU4LAr2&{OnV5DvBg1VkDz7BcE&%c)ZJ2MRm&@g#7IajEsDiSF_@dM1^Zx@{DyE zR#{sPdlV?yws~n{VcCwAHGx$^aSXk)o3~Jgh>8oi(+XATTZ+Mjq3Tc9jKbvg#|y*? zO$+AiF1U5C_!hTd&-Ax>CkoDm=XymMpIfOAQyA0Pd8U{uTH*6JmfrBKK^EazsiJYd z$XKlU10(r=)WDeVk7HvcBZ(2knm%&^()ilX^(n{0-5>bTWhC{zJBjw zrMr)N$o`n8d%6$5bxix?_o9r`f7k@aUh@fXpDQvg&xM{cK9yVODUUPL1sJJN4Ef`- zvb#wu`;L9ea;Dg`s1^s=I$^eQ@?6h;4gz=_93S%CaMYhZQ{lX^S~uWBuH{(O=OXP= zUqBP5Zj^7kw|;VCYR-&v&y3JBG9D7$39Fl#=3SfL^e+U_sRjd6z@~b z|8>dC0+)b<@N_@vwzl>v0U?Obyp*nyY1+k-hacyq44>%B__^hK)0WmiSYKt{dC6(a zAGdXf3Iy<|^4a4dk`~)eANuS9%cOmH|LU16FaIK6GGSPs+*6+MeKOyNL+(>l4>aQx z{h1fjQ-ioLWoiG(SeeR(zD>atHfR+-NItb`gINu8Lwp&{`7xAsvG|$cf;lX<=Qebs zCm_@kEolF2BTe>VW5LlkitiGytGt2Zp{{S}`clJmm9$&0FS`#u$%3aeJeU_~HG;Ng zc_h62$8|r=N~?rj*VxL;pK%!?R;s7X*@a9_+9?6Sd{KIcM18J)uH%vWe_tal+gP`& zX|D4`c9mf+il0jV)=IfzUaC=40t87iGE_fIV`b1G{iZLVurGf(iSC`B^6wGdxrhsG zj%p3Yu2~MB7@*Uxtf)+QN?^%}3Go;Wq__?wmi<$mr?0D&XRN$%e1f2nl=P)vTWp;< zox@)&ubd;(zT;FqxI99W0?6a0bZVxEoJs1m!xe*H%>*aUii8uZySml%?(~A9jXR+L z=#YY1Y3B+YV-wCU$lRD;h)R@LLQKcLtxLQMOU@do#%EAqE_1p_ILF)23BjH#%JYT+?TdY0-^We&vTTfn6pS@Wte>vf9>IpYVv3Ln>h_D)~l zA@xM?lCI3!J)Vz5o?G3YYt3&}@j!GwXMFp0Qp)ozm!8t|pE&5KVC6Vk&9Pu zcdVhA9qg>*$zkD3&dJ;KD_~*+Umk2_8GxzLtT8M7BTET92Wzk;c71>C;K^`M#FL=C znLB;fKQa8iX!5rp3bH_^!g`J6Z`Tx|XXE-21TmuBR<~C}KII1*vdd-;cr;qq;)o%iuX$DkgBTKx_8v+mlh)3K)zC(9;sfOGIrT}O^U`viip~^k` z_;~_zoHwk{|t8;Si-GKEO<^;2GtY5eh9QY6>=OQX;aglqJ&D;ma^J#>})kF9{ z0=aA&qSUz#2zK~Tqpgy33$9&6IGg^%@Wbt8Y;qs$V4WMxUcbZoQKv`BrlCg>1s9mW zN0?{6XaDbHU_1*TV{H2e_*69~5D6w(^nGk$Hr4@`3C>eF9*L3jIs1XOb={+#^ogd@ z?&sOx@p4n%Xy(ickvLF0iOK1Eg8&PExQ1&R|Lg>;fT1t*01Ag@eoL~lw(CZc>RD{o zh5T%Y{{psgeXrPhZ7O~K5bqvDJZY{DDgxHI;9edlc{c1avAaLCcHGrr#@*ExU<_LM z2gQRX;6Fc=;+P@O3>mZ+8XGo%(Jrr(Gpplh zSpqhlSW7Ejk|32tcro`Tf5cq~9B!PLO0}~ib(0pjXpNSDyN8~US9(pY_qI5mW+As{ zpxxm2Fe5jsso^st(?r`qmth@+-L?NwCb5UjMU6g$;b=8TH*62_{jXv+K^=oc(Bxe! zcGTYL2Q83;OS(C1_Vmo?v}s5*@qp`mVD7mU)KwpV1{qK9m9x>QVCss_npucG1t_N3 z`zrGK9{B8;tsi#Sd35vvY2EjzBwl-ky>eh~j6X~@&|gc>&Gq}f31Lvz4tA$fy{WvidpUjr`h>|UqE>5T!!4e*|TWbS3b6%yzQMv9vq8@1q| zzCL;lpr`{CepisA*@A+l*A()BTX$~4+7>zaz}5A)(I5+c@xR8WRnuJa)mu6)*k?{0 z!AMCSN&a%9G+s_uzEV?LG}VB%ZkUuRs+ej}De-etxJ_Mu?9N7&QR8_*s}#kdLW@>Y zyl%WyE#No1U6>PWz)PzL7lFrxt0fVG71|<1suFgszY$+}I6jT_o>#ZG@TyDd^pfa4 z+Tn<(b91&ecm5 z{mYJ%I`5W;@ehD)6(eig*f`E+&aBN#>VEN6nRnJ*kC)%}S0&eWDEEZ=aM93_rjTA* zARBsqVsLbn$$1!iekiN6*MI0f+|vt35@<_IgXMvF2=VefD2@yyn^r|+W2Y^|fdYid zY8Mfx1}1F4tEjXDZ1>>EjD2njCTS`$HIPMPx6=p#LPlWyrS_ml7&}N_TbN@+w8?h+ zN6{-LGgrrPF^K3XFPV1xLTS50M@Ip@!U0*9c~x z&J+FH?Ze}=YZw_x9+L-Cgj4NmZzGoUdPV*4I}I@M8H&qbt38hPXyv!zN5?hf{g-jP ze(muFf4kZBA@<)xLrrC%uD=Zx-p3<~S4T2Qi`{GVovv7VN1(R)9uq2)buVz&*uuY%9%fiEssUySoyCGCoO+jIFH(S zuU=}U;_OyY*ak10dyD5Cq26LUU5ZsqWjM#Ugj?KgnKC}Nga@Ql6I9K{CH;1lyo)R5 z7zG2Ix`F@D_ORrM(2}Una{#vtT%LPs$(AlWz_-@fB>k-2jw1ZNi+x;qi8m1zLbf%M zb9p!3Wn3iuylXPCYNfmIzPIBeW#@Hy_; z{k$g(oWT>4BUP>VqDPX`kV{t{KKE$~v`py}-c6l*Qedq3x_hUz!-9UH@|?6m!21^$ zvI{>Y6BhfY*3ris4CvJ$A#h{pSx;U*Q)a!E<#T{yM4>Whcb_;;D9fP;{p_k6y#Lg| z{=&(1kc*f7WeLxAPIv8_#}S?>)=8RYd5v{kx_{oJ$k^z9v17Nwlxdz7#7Dz2=#9Fj z`#0>6-(+3i-S(L&i- zs?O7&mEKi!E(IdX`Xt2MnwO79-AKRHd`l~5dLl9&zpGXhrTE>zzkDMBX*IVIjyLvB z$=^0}zL9e>2B$W#Vw|H2-^H03^1WFq64sbbJmn+!!qKYsVhB&v{As=g_<4gk#cc+1^{lftD|UY0uYf21eeH6B*m=!-sSOuonx;L)N2*^98JO6!WLoy1@j{gA|FBYPsOP{{a>| ztd_Q!6;w5&dRT8S`+xknxu2TDfq^+FD_oW9`O|Hd*N+mw*yvIA?!5~82@CKsYqWLd zPLFX2`*sKEV0C@^)d$7uwHeqBd(1%JCkaLz`u9lvS~$!3(_$npTnJ<@xxiH02P=WA z+rIk?H$er&E^QthaU&57Uudjn%=W;Y06hv^gb6 zVmks40vwiH3Qw){Voz+4O0@Vh$VDs?1(^9?X^G2ijI@WNw}lSBDqoWQ-$bALt}&8W zG83S5uu>zxTD#5i!koK%gUn$P$mS%DrfJuJ(F0iY5+Ge14ul46!OwNJ;=Aaju?VQo zeaqdU*+3)}1Yf`nC|PEPppg;8JTNeYp+LgZ*8Q~gV;0eYw|EqY*^{VX35x zB1!1jg)VUY9*Qbmkum3=3?6{aCrze-jDd83 zMPksG%RERwOG^MF@yN6?YaL8gHSm&FU!j7*OPy>W*K2I@1xsg(eqeZgnROFf#ty4% z^Z)A1a@|EtH;Vurqr}j}D_qEt6T;jVm&nDdWPAMl3D63q9K;p`6|}|m4F>ah41>7O zWVHHxg%TscBlIFmYOSlAM#PekU>yl=d|U6I)QjowL+4>uZImh^g;~$7zIjhRoi-GS zyEo%QU!koYI2|TXU|Q)8?RVFVy#*RH$I#WY_nCk!3A*+*E-4fPh$sJ%K~x@Z?E^M! z!zk1mon-A9xWjNG@n+|rr`D2r+fr()U`D>!LlrQmBl zpVS)2JbIZh!Su(4OEGzZSDYJUluPITS!wVL1syU22AV7;&K>=v!Iy@cGarDKdj-_c zGC`GFm84od`l8=+>ypQ30lDh3*s$(**SbS~gF3vy_TSESti9pAds0A#?BMH`iSo{f zYgbQwG@+52bGjvZYrSjeIc6ya?i>+)M$Ol`NA&1i(RPRbVdZAoXS4jQIejIse z;0vOw%#63a)YcCE{+?Z#+nM(~76SThWC3`@v~wr!%N7mPlJ%D%a`<|YG?l*pnSi?;rr_Xaigkq5 z%s1HFR%o+yxX&n^GClY3F)SK#lJ|GMp5B-3%aR23l`nVLN)&PFPF!w(SxR=11^R@a-e6pNR z6AC!@i?Y|HTc3Yd)-u4G=a^2({7n$W6c;{N$ftVh@PA zU}iRTqzVkMt_(vXK^kFeV7xLa7nY0G;D19dvI^vaS%5{N#6;O`rwq)WXy##!P2R&W~z2}CULTrv7@mS4|mfu!btbDK1py938Y!7Pps$k7>&r%94+(?%#i zd3}?K9r>JsY1B{{1@xTT&uc5J^bSY&RHpe4Ue5KMqS$Y8(PGB#(tQ&sHV9Yi%U&l! zT>FDKXd>y3WwZolcfIpa_<$|(Q&$Dy$6}Qen)CQo-5|0VXc|XGDM|1t*p(D8Zzq>5 zk<|wWgKWTms-{G|Y1Xp^n*nXTFVm1qN(XEOEY7bFj|LC~v}RbR8*nETNDKjLaW>i= z49W(qz!~iO!uTpH&PL(DxnOp$qcrg2)Zv709Xfo}fv?l{Q*)ncpn7QwR4s5x?PVT~ z`@5?8 z5V${NrrXT}1quxfeRtL&G^?|-aqNS>$39KCkI;QNEa=}Ol0(#7n!LUz&`*V>O4Lfe zo(%<5P8v_6vrHlw?6kkftyF#-j-CaTZioq1^Yh9K*goqc@*ZprfH}~n+uv$|dEnsN zFAI+1wSkifNFd-dK|TLBEl_^E1+WH@u{tcd@wx6Mys@8pEyR>)Zm( zz^JWJTSJp{9WPbV0cU1e53T~MXORk&Ypxg>x@vE2g>vm8KjO+bmggU-cQCNqxDc(> zhH0=*JO2+;Zypcj`u_pf*C|DsO0tAG9U)7kh=lo`IuT;BjGZ}>Y)L`}W9FP}*-zQZ zHb>Glw#lAtCdP7*WF1?^jF5dM88@?>=konMujlprGp}CLJ?5V4zOK*ye!sJeREIZ& zmA~Cp1%J5TvSBhKsCr`ucSAjzF|FII2!6!QP}4U`5Sr#Wse$igfFbQaF1%X@W313n zAaw=#9?R%E1!{ZWfzp`(Kd|-sRK^$3<(VnAEL?;WSN{8`k`9Phb3_ppL+f6F8+mtCeqg5jp2;DtdRF@d^+6*9PzDXd3xRs{-qmunhALJB9SlO z+|U61`C9h%A(dpCCl{v==30uUYZ(=a+@#(456(wDX5n|(Boy&9&P3ybnjSm=DQ$7o zx(C$C%TloUjV@_TJ+CV#k#iWHgl&WPT;nfa!5p}QzhRxVOs?DJ`iR{^cG{pd^0$dK zIsNz_14sQ8mFTwT?~U0p2PAKhr~UtW8p;e0iSUkoFnM?Fh(=h@{I8*1t`Sc>v^JJULw*nMi`$n^OBMxt6VSl@{+x^!06T z#}xO{Gq5H(iU*;0I&||v9+)KanWUO9RdKR&UGo9nkiv{`-TMm=G-+f#RqbdwHZvC3@{1m3u=>>G*V#=_-AF zeO{GIYmFy(+wLcjSr|=9FNw>2rZj-d#|yGeldz{Py#KMO*`-@H)S*srBpZ$>YJuvBfyHBshQ;ZcutkwA z1XCD6{)Ak9L8Ab5P3l%-;rHy>&^?!i$U3;J7NFe>)GHgU7FG*F`X2L*anzg&k(!Ja ziX||Mhz#lcZL&=GW*b1TZ^ z8&jbC&M7+k0|Z~$i5xwBu6|u;DHL7W-WdiBgOUHu^i@bqIfR-jcz~)leRrC4 z_JwF6!VZW;xY>hg?EPUuzyQS5Z@AalJbLW1N0~u!X<53bY6Lz@1(S*7!s;(A^Nd$N zgw2d9`k`}^lN4czN+1Kd=xpII3bHBq3Q#LN?Y|BB4J)5op8o`2!)u4Q4d-o;=LPsS zT$HKNB#=|R>};-@p8;;jP9Vw(5ItKLgl{d#Tq@bHM6P)63i?Rb_6Yws!&UQfAb!d6 zR*gpYJa%VnRq}$W-M;94YX)Wr&ECnd8u?H3lo7#mWlNYpCP`W(;(*dNU;kUIQQf!Z z2T z$u5OHA20pxMTy=OdJy@$bfY&@gJ0GeHw5?(BSRM`X;6JJ#J>Wh@e*~5l9mVS}KH4`*-BdT%xw7c{V?dR^8@g3KWw{HY z74%}bm-kOCd+jV2W_JRhuz-<0ufhdN3FrusD+nZ=1GZ3sr&_}j zw6{@OMt?o;QJ(tZT%SD5Zo|1gyS$<|Jv8!XKGNe0)ugffi}fT}2Y&xaI2uCGXY71{ ziX|Czj#TON3h$3IlRK?ca>tO)Dh1EJDHnv?1d%~}#K!H(Hn`iu-wmX3r#Gh~Cx==ex4$#pnyUV6X67YAqg+SAd4&sRVX@q=_qK!PiF|m6pVzeTeNpoRA=}21xUvJF z42^F?-1XG^f86(b6ipqd_}}P3@OMk00`Q2>qyf@lad*r*psX3#^%Jdmph$!MF86fYBJbhGVVy~C<2M{yDOX*sv; z34H;!oxaR?MP2ml2|jCk_FZ$Q=7VZ8^{Z!}{gWcAAbZn0>~~pbr;G1?l23X_a*<56 zc$8(BIWOD(`gAPQfa2SGqA57@Zf54IVJnqfPxWAu>^1ulrosDOy%XU5+)VFN70PEP z{l4g~u7?^DQn8NZ&JqYadfn;fGc{o?H5u|0=K~ULG6B!aOJ}Eb6F%Cg?zT0xri35o z9Q2NNGQtG{qA^EpJ@u^C-uzSp-UC;QW?KvMv5@Vdtexipy?}8X`A3J515C4xS*5sD z+MfF;;qr5?+jMW%a4@dt*GCNsdB|4ClCXfm=bxR~}C$f7?CM9_4=dv~TFQ8Ap80L5VTF#H15q@T(V!CXbEK@Y9Hlmz6W8u!bkB?MdyU3|-a}ajcUN(FoDXt>-Z@fXCw;EXZ0e5m zy0Fopdz;`9@Xm|5z&yA_4a6Qrrjk~~($O&^0$$Lj$sUqxZzt%d4Caqf1~T+AL@p1jL@-WDu=w(2IaxNDhK=)W{VG7T=X2qD_Sa;46w%63_nd!Ne1`6W?c zuvFS;`yKX=U;`I+=n9~rWV*!pKZ1%JvTmHSPhOE#sn^5WaU{D6b<$?wCo#p&^q=d_ zR8)}3-q~Yn|H<0S9>4hEftZeIqt45h)?D%1FX;*SDm1I^KfxsQ)TvU&(i&^!IGJ$l^N;nDl z^|JH4E$_?s*@i%up?5N`>;KgNFYr^I`tWtz7`PcUKX;+P3hlT9GT*H|DOg@p&1GlH z6Z?0866|htq^FvzV>2ILS-wWJx)B0o|Hv!zt%sGnt`Xb_Nc5%<$mPwD*&fvS?dAI! zd(p@4iXhUv@A9vU;?sq|UabhC=the;E~tM~`wNaGFm~|n=svoZU!xHlF@Qi@1NVIA zOI;-8ixNnP8tGz@xV=%XGN56sN)g3^8)R2TjI?fT1tGh)c&S6*lBzA!_;>{Q@5*ou zhO1csNZjhDCoeSQsTsuEvB@-p!0;~4~j-t<^@552M!U}e(aSQ0#tVU z=1&XpqXLN$Luxa>H%P_2rw0%@fvJc9HRJ*>6i5ZPd@dh~`nt=ljIiHq-uPU%r&f4o zZ-k>fFUVotKdbg;nR0KMXc_?H_t zYTJX4(R98D>LCBG_Wyp(j}DOj9~4gznR`xoFI8M=6tNNvf{c&9a)DeAF2~dwgwl6AsVJO;G8(9n?3H_{M8^UWg|^`=XaE z0UGG0CD5-RT~Xc5%{kj7EZLJi+_eW3c7pKzapT+kQjuLCubH~H5PzTemNR|f{ayx1 z2cgF8g&Y9p6w22fO21KNaNne)P4;EjgrdOH@ZXiV1pUCpcMM=zK%-N1Qs-q zU&4Sn0ur#ut5Mo}aSZ04zDNR&I#jZ0%qXm6#DhJ(I@41X*Zlx*;Sxc$LP!g*f6Z8> zN^kq^RK<<+qSXQzt&=NwFh{-aSmW->r_KsBw>I|qxw!a-%L(S+WZ@%%S*H;aA9jDB z{Rcyx9{g2fxx!kTWdaNFkuViDNKbKH4U>Gpfn*JC)CK8&yte9>0 zw12r<^X<~TE2$PJZ&Mlh^El_;yx2P{qYq;lRVdXSed}{U9TJz!qs68=PCbr9Wlbxd zX<>gI^3{nZ{5Ce*&=B>aF3@D$h$Pn4I~KC@sQ1mcTZQ-gP6pX^j>|XBhlbqOk`{^Y zu?uOS0A-Q9kUs{N4Ih*r=~zotMQ4xY%6q=Z|IHm?UW0 zO03ZVez`>c6K?8apZ{o?+@%k!GJ|%M{76=$^}`shmgLtM(pe1iFCN~IKR<#)zuesi zI{t{>j7);#&c4aN#1Q0_+hQ&|AfRUNJGb3TZUh1x2Q(~qs6Xw$QNm{cW`MpnMQI9W zG3f{X@VML5gq#1+bWC{2ds<+iw|B@}hFg=0;&q9vl8!{NzwSMA`1v37L*d)L3sRSW zK!*qrOEmmW*igkeT`RaUO1hj~Jx{a_yb++1`G6b(p%X(|5J&D}_?uD|l`7c>PX;Cw zd)v0&xBewfQo{6N_A^wlLDKCkb3bb>JAaGwR~g5!Fdybsq{NDgbYc-FDBj5VAWrkK)NqADQcy?<+FX|%A&C^tQhWJ)_GX9rj*sz`H;zXR7%8g4Qqr!9rl&@D zu9WIs7=A<>v0pI_Rd2L7m08@UL)%E!! z$u!&Znp29Di@~a{+Hl#L-!N(I%<7!FwVJ+G=zzxa+l`Com-e*MkG5V7@%WVs%0(b+ z`gh+!Vd%`Q{3=iqUHluE&O)mLrssuZkmik-oqhlHd(?g*{?d(IU=#stuTtn^Wf=Ia z9<&F`zMt#ScJTTkE4)tipcZKCO1^>FD z+m{lyKC=corcwnUCmaDoxVm`_TYEzBfm9HpR2;W<4(?f*zz=MeRIG3FPXHG=5Lnso zr5NsAJ>w3(J$d2dj`vwm5*-GHNQiqrAMkoBin_AvL3Hy91eeDEP`AbX4$$Fr`9III zN0&EN65&1d7tDBo2#))XqvpaC!$SasxuHB${ZW1neucx|RL;4wPk;pZ86y3bTLo$2 z(78%KEFhS}HWX>L{ui;Kzay}^%W$Ob)z7|fP2J54kNV+Gods=@mm#+Yw#^EJFZ_33AC+<sbI>1*a!=r0vd-qb6&2wNefZx4F7IIH zM=6B_`p)Stwa9I?nngf2x1|?b8sxJKZVjF2`q!n!KZkedy2R*HjF;tJfgYd@4kXNf zevV3c^eB4&BIn04!e}h8q_H#^2OeU@$DO^|G4XGg();oY>oVUkh+B>2UV|Fc?hOrH z!XIG$@h%AqKY+y@7^a7KAKm+w^sPqs{6YdD@{`@#o*FseUyi}uO!@1Is#FXih`KAV zI(Y(g!?&j6-Uv7Lq|mp@w*jI%8Udu1tK44{ItkB$8LqJnkW>DNhg6c(?$_}q+~2_4 zFRe%76E~Kiu?JQFD^A^8oIeZlNc^keYtWVvZzTJP(gb?Ctyu>;dgH#$94Cl?mtmDz$4`E zZZ-@Qtv((+rAm7HDCn`M8IvKkVj}%~zP6|60@}0+J?`P!9qt(|w?5u*>id(^54I=2 z+5We3iR#tVP?O=alXkodciz3vwIRoKv>Q#AR;W2Qhgb~77HL@6ECgp} ze7|%*L;I8G4X-mcD4O|zL}HOcsE$EI@AOcK!_k$zZ2gqX*=a8=58tkv9O6*pDRMI!jWRl`%9+Ta#}KO zT5_47s`WWX`(lZOe&qMA@A*WH8)kXgYM?)Lk)JD-eN;-p?N%noApeFly@TbW_`n%e zrXSwduVJcw!P+)QTen2DpPm#sYi&sQ6u;KYqd6|TaWPxwPYO zNkwW!|NO_76F~t-awI8NuKp5t_gNJ~Ea1Meys1{XFZ0593d)a>ovoN^G3xCd-X|8E zKkr>u{n(|(a1>xxdh1nM<&9z4jywh3xb7#KK z1VpsgKkQO_CNC26#4%sqCXoiF-`(XsPEk%(TUckSXIV(uMwt(n$yljY6qIk(Oqc83 zGSSMdi0iQFv}drcNIsrGQb&F3w=TFzjlAfp7e$@^_BR5u6+lGff5?D8<;jU#xb%%*uDzO3gGlIA2-j(}@(;>so*% z-H^hpb7ugeRIa0`q_c!N5f~EW;}sZqaIIgVBCR0M&pT)VF>tB#!Sh?QM&%#(SLV|I z?lbF*Bm8Mr#BjPY;!%OYHT~w>MAMT?T^r7NKYd;O`sEqz*~1xgnp5O^w+xXZQtDgL zt>J6IGD)M$lf9@agQhx;UWC$mn|;~2VMqHByKt7X;L0`_=mBHSv&Qq{%R&$}&+lZN z&68K_Sw|v-O^y@>9*^AQk(*YC7GNS|s*F0%*!5}c;pstZcpnj-C0KmS&2Orm)Nuy8 z62~aVw}T%Qd+ZB9oLvRHJ3nF12x7R}kWUcg%;4NbFaz*GcrSeWS~m>|7tKM3P81FPC= zqjpE$Y9gz4(~Z$<2-0R0Y%MFEYeX0)Ov2e2f|9k?9Bu>eKr1ByG#~%KD;gb`MM_{% zoQ*bQa-kUHHHTgeg0AC~Fbzy9k^+qV||BKoYDlre@$ZE%Z?I zYOCo6&(5^2aa6N~cTTw=^1@C%D!G&Xff-^%l}w{Wq0494(6rut5BT_qeLxh}*zkFU z<%C0~ABy`|y0ZB>iy=Q5$DT_miZIxVJKxLu#Q*4w(k}C=GLT0dQBj>5%8JyASE)ER zNM3u}g(5{=>6^4oDZR$FdV!F@9ml#r6J!qn<)XK5Jf)uEBZ*7Wia%4>44U>&P)>J~ zAUh@?%9H3Gkdupu3+;EkT|acbPQuah-TRS+2Fr6ndK%)+D$X0{hg`ewIpds74N|%l zVm{au+^~?UdY^0HVpn$VQ*en~*5V<BYd+&I7aTvQW zqM1k>Ik`g4Ci9GX4Kaae>!0sqn=d~(Z%aB1-+nHgmfrAo&tvk%K7J^^w)ev&VwpM0$+pUWydy4 zEXT<~1ay6l+mprX?CrGm+J9QKEBx6=CgH?&le{;}4f<96Ou-}lSd%Q=&m}K^SNGEJ zuB{rkco`?=U#}!`#7cI~&-VG7QI~Pwemc?GqV80wwb*$S(Z~PU!kF_tPs;;lI)x&# zNUaY0(1*|tGk|u$qt+dzZeL~lq{!h_sD|nx`^=1Dlx}POS~!ckD&TC+I&xurZP*jI zv`_+eau3Vdt__yfl}Sz!D&pJC1qp%zL7f1Er-vUWzsBFk-^JheLrfCD`SBGF2uFq~ z^!ezfss6>bdpi!^YaXsIf4>Cx>{+7}r_fk}oVrE8<1lVd0)p-}q@IBX56r(avI?B0 z33|QJIov|$7v7(!h$;+UQS~r5N~(2Sv=b3I8HMmePCi2C6v~e+q|WAW@z5^y@Id!7 zHUdoE^Us0PFNbHkV1|WK;87-J2#*cyoMVhr*+9@s5(bqZzUp)*B3Nes?mL70;j&m5 zl-&}}_2FiV@+>PwRxtQV@|Wm4ed24zR5k)cZsOYnSWAFBK^FsGbIiU6pD4HlBe8I= z{@oY7h2N(7fS2@Xyrc`G84}R@tk`%K)XH{vfs?|TyPL5*s*0f~W`8o~bO&pjCHmCp z`rjDI&fJ3uvw3zUJ3{p_y#aBoE#%E|hu`}AT)1ub*+dB=>0JFJ8qqleZyY(ZAag+e zH(_&ZaQn3qH+xErS&oEt77!w>(}5L^_zhRYblH-CKtr6HmP&qZfmo^Wu|AzaOqk{= zZGyCIf#zA03fDX-hYC%-NP9NtYined@7#qfQaeGHXek{}I?43NBo4ab`qA=v-RR>K zIVgdN?;wa^2AFVKkTl;BA}}|k_?i$&kqQdSZH1ETJDC13{AtMN8r!s$ML6g?^Yar> z#Fn$pVr@u$i`4%!w2vW&adqSnl3LVeoBJ42OZ<>e7eSew8Jx*^aqGsB2kP;#!wzYe z4ldo%7_0pD$aBTM!>-t)L{)CMKu%BnjHB0u*@%Vb=g}1x8qRi7vds+EHRmyH{@O!_z699-EY9K+{cl*P8eHWsHl{?h7OP3#f%9o2~&EXx`OHzAdrNR=I8OZ*QGt&ph0yYVoR} z@oE$@0slK$EG`gxh1Uj+5G=S98u*^)uBltLbG5T9uwB2T+ypLp%q zDvxr(L@3)nj9HHtkr`=x_##K%iy)01Y@hfNfrsP?AOoK6WxX90UjfQon!hc# zJNeQy%QWpZnBKqI^arPlCUjhh_Ovj-m`C})NO~4`__l4f;+tPW%Z5vqn2&BfRxf|} zW69d!CGt|qR%E7*O~qPu`7d2}&bcnHU+VazJw8mc%U|iDY6k1Fe=HA@>~cBMt8u*R zP{{1PI^H#Z!V#<=><=Sp4uR$P0i86#MyDx_njFGjhrEN>F+4LXXp~Dh^6M+UuAB(xYM9k#9Tu|9gd#5QweUY6pTZIf2=1TeAs_r}S4D2XHq`X68wm5#h?8SL`N zjfYPnWyS2X%t^iF8g>tplNJ`Xf+ntVNuPykn4sCmjl4_3&n}2As;qAfl+gw%3w6jC z#PM3-T0GR*YvqQ5&aqY|HGz(kPwvcJNDc~OqE8}&OP6x3MpG_8K_1U7d6=WFaEhFqc*#QoEYh#NnLY_41+5d**3jhx)wM>^zAjDd2RSf-?q2LfaN#4nB!HH zTqbIpeF}5yw_rWB%E!ysV&0T~I&AxPy7*v)buqP3Bf_x*oq#xQavY*LK~Ws94>(=O zb8Z8M_W`g2F!lkD+Zs54ph9%rkD!z%Q7$QvU+*3d}(`rCpA%MnfT zT1%hhd*#1M5{r|Ga!}-ts*|eyJq~dXA}qm{nh2RMxK3*ViS_Kdy4RQ&@R zz(D{vh_6Mw!@}5`?UNg+Sg%U_U0@>?$^_|5f`rp@ecFN)(EJ;0Y5w_vC+I^I4NM+wMiYuEnuKyXn&5o(4N0s^ zHRN5nJlR=az)gMJH5PsS7JH$n;yQB$p_LXKQx@a24A38^VMY$x5*BrCC}q=z(JD;7 z@s;5UeX)?;jtlE8O41tFtW51}LU0xLa}7Vbz&bc*Qp^YGJ`x$<2r{JJ1Ts0+<;ZHMvx8}S|@P!Z>Py8}WJ=#9Wwr5G5~ zF^LbRnPL^7}}yed{iTu0Z| zH<;`tJH7V7kIFgpvTLc1*AF`$U1q7ZV{%`VLQO|g)$M{a7pRqNmxA;!~u4U zcW43WLN|E?Nvwja|xvK?8-V47aXtt%>CAX<)(akHf6VBU@|E6u0g;U}ov z6u2mY`O54AJQdF#VEk~$Gf|ioz%Ij2MX+P=J=Q{C7GhO}W58xwaun18qdpT6|s2)?;xOEFTiuk56j@oVD z80T;rqw@qC>q2c-6ya2SPCE52ev-xvN(BA@!Ae-|Iq`B{M?XF`+eQ>-2lNQI8k?Ks*AU|%U3BtKmRjaMbbDtTo@qB`!C%3FQb=tOUkeHO+z=3imkE-q1#pMM4aYT(-&Ey0(j#r&dYSl1;pAY}!ZjeMGGv7AlFGNvhk$<~`4nbqFo#zc+n4 zI0j3~ZCFj_IekM&wOnE=xe0Q>hE9dgrKg5krb}Y3&W@F_?N|;3j%z1HzAm9dTHoVm zHl5ZtAaR|w7WG?w13M>)_@B6#EEXymUeE??dmZi~s z+|=GvzcZo)6bNXsE}G&xT3MTit9NruD&`9Z3g1O*74DlNM^% z0(*4=rVA-6_4@_6R6h|;j!nms%n!r)`#JCRO$>Aa9LZT2X+y)C0Fp{s~h{?O% zqb6mEyL5p_lo-_sGA6SlqKP2ih+y*-L2^N=;o0Fn3C{|$gxU>l=`xfCc=C^pIBLyC zIu`XBlh^JjJjhXvH8SUrK{DvYX>fxgSTOPBhMcCtmlgcWBf>LZFe0eH-^LjX2J~Rg zu4T~3I5jGG2sEnP8`?i>J#@LYKN zmk=7|(6CSi14xvT*~D(#;n7g-_+4tsDo%b0eJrd1(J^`SW%wJ6k{uLBTB7_k%y*1B zDJKOqvQNXsr_g;BU)sEsh^g%kHd*pkVt~QN;$pE&!437&qvfQo+Zooc-V~VqG@U7# z=SHfsZ}U3WyH_*5oe5}1QvLP(dUZ=|Y*U@pI`ynkcS)qW-etX?b_!DQ3Dj!sH9t<| zzx&((zDL-{s6}?HA!&xdObv_fk_iqU178+)s(_|5Uq;EETXYUi59Tl8c;q0UI#9k(Fpej9jRduLIv zU)^q7UAbI&{nNm8|0|C#);uqc>2%CgvJSClZ}}Uv*BY0|nwy@e^&iImm_M&>HWL$I zM_@ayj`Ga-mQWCDBZY7j;loH}95Xovi2$BP$$=z_7-Tm$AcfUuBl*8HNdPJ#8;~=F zr|F&tb$goPX<(XzXwqC0CHlYn>ccFxSECPdhaE?71H@_C!}(hdr?Q-Gmn9Zi*IA=| zOiTP9mf00rANxvFQyTzc2u7q$bAz(llk68wBSeqv)5jg+w1WIx3gj|Mkk8da7D+Pi zuRoWtcI$uCNxG^UB4P=_ISpvYh82`-%tynLU3cDa^b=TVUkEP4+4FtJ6H!7S)bj;|Mi}vC}q~=^Zs3beHfndtgn9 ziJS=UazGz#IY7i*wW0GZD>2fnhNpG?SG&fBT$aWZWfPbQol(Y)b`2cWCuAdpzMk8_ z0aIO~`i}zjI(oK&Rf^ME>#6W<<9y8R-KSX4%=+*0hL`05@E$q?HGoX9{Eqo zVfuN#&YX72t{IJSY8fGBq|CBxY(WGD0M94Mzfn7+!j6xrbeqOBwEyM0WLQ}ffY+T- zVgIn1s#$UIZ5(ncRWJ9oXgx>IcVpfdiS_@a@v_l0FUROrrplB#`7v^}#()`Mc&WoQ z&hK_?qpG`I{@ZqB_Fw@@@n%mW&2)Hsj^8V!vw2(%Xlf2VL7pS7c~)XXScE5(gM2l} z`87~orb>Z|-^}@-IJk6+`CH)-$QO!vD0e->2LqjS&-yZ`jBA*$}EK^plF>LyMdz<$Ff+zvMI4OBCJb;c0zUpwm|n z+DOU8sU8~w>W*6^037^qxZ7@LNCN#LE`hxDccrsQ`XX`RLT@p3M;LmN)c-@+`dG$C zAs(-Y=z20LI);v?zMx%F`~x;3Y{6xodfQzV^c}QhJ3OBOPBU8i{Eb!|*M)e1>-cG7 z^S&qEL4YqoHZ|j<;d?J~Zjt{RQIw}g@u?sG@0ClAw>|i|?)?uiFCI|fXc2wOFYVdN zBaEAY^!1zA#8}cp=Stis2Rqr+<8ibpuOEeCZ%eWk9=1i{*Wl#ez0;hoyuJ76pJ9vY zzOR$HB)RENHLpgk%?`+_f2R@hImr~C9OS9DE&plr@_K?9?~Moz$HDTBpua8crsdP*KN9Y)#C00+W`&;_K_J3eM z-|WoF(wj4X|Mi8_9pSqwrdRSlm}O{Y2X7@0V_br5N-(qQrG`58tw7t-;-a+^1NN28;Cg?c znn=kJxc!YIuq3(;;mD5oVyZO0Pf)SAGmmZQ{WV$X^vzAkG(zLUm{a#N&2Vm(baKS^ z77z2)oO)+(0sRFJ?e|Y{nY9ssrmj^ARU>F!w!1Z-3XjjKm#gbhYsFJbl?@ zVB$;PG!;?6??VM>uUT30G^yO6sSQL;LpFm8uQlgd@jk6Fe7MD zmQ9A2(XJiQ$UH*cGG!9)xUjgr)0(5a)4IZUnciK6j|oX}yNf)==-cRk9Omvob$Y{m zeLjVrJ2o_R^8e7{l}J2~kvI%cwox2}hsQM>XKt9zUJuyC2=<d+@G%9d8GXmO`Qk zf2*h&@3``L=mI?eOcPLdGG}b3kBTni2hc#o076bE=^HFNE| zM%xHxA=TrBK$g6nFqW|s_`%T#IaRPB9eN_*zw1C+4@ZzKp6u8^h zO^k%yU|Km|NblzBI`Y*C&~JF=9GvsmWCklPr~x015vn0q;Z^ufQ8Y|geh*9<4GSkb zqN4=9!l_-N7FzIf3+*R(2GWwRNYM2!$CDVV@&p$yeiE^!NY4|L3yvD0vW(zdNAA(n zJcPhj*tWZP*+)>$!42>NCh`Al@UAX1R;c`Qn*zH@Fg1#=;VB}y*SoQ3o;3vX0C)EX zPbGu(ZJ;w~+=u&L!ONDb+&l@{13Bp?`{3gksLye%1u(?aKxUvD67LJbH6?v6V$!gG zgWC{GtqWCF!ouY9q|A8A7H}J_*8SfQ_3tP% zC%zIDZ<*DU*=6UIb)&DgI|#?;iHS)c4UqBQ<%~YRZVZml-z9C8W(7J=Ng!4=(eFU{ zu5Z#)Py!U+qtkQv@=z)})#L;p1xa%9TtKapdIaR%EbTUO@?#SOH4ogA$m{T`ymbCK+2n%~A)TU2#?3Oa7Z z998G$F4B%r}2S?Km-3 z@CzH?000+|3qNGlI*@XV#k%e||f*mQLETNNiF}<|! zxPS~VvnM4AzC_FlvX$0C?gQ1+jy~~1^%n`bUKbx|6bYVPE0m*1iVLe2-O$$KABR^m zIVXc>#ysjhFT%wR2_^ipXU=h8YjFW@0`Rr;E(N8|9P0iSebzYc*s>vvrlAdB z_e!6CWI``l=?D2T@7UX&i0cPvb?k`hjuHFi&&4kncIuAY+DuumEpVHRNSQayf%S%d zzfUPSw2<}I2&+El{j|iiTu8ir{Kcnw(SdG`@)3vI?Ak9DPPsb{$jmzzKKE1uQ(B!A z5vb=vKGs!mYeR?lG#h1hta@jt%?##Hryg(`Es=9A?dnIoZ~A2V2ZR)r*<=>~gCIQt zpA6!zveVa$XD?Ma`PR>wv5)=3BKirB3(nOFmrdbG$wjqH*)mw@2>bHER-De7w2gl?>8*$eYIHZ zZ`Dhzq3M3Q(3r6MY00j`j2D!2VQ1zzDo(E_u0;55)2`#uC_(ECc-Edfp2*2zY!(^y zXqZbWR_!AEfj(+-P}qypQPk%oB%2ue!r$T7T-l;RDb;wa@@z9>-;xIq(WJ!(;Oe#R*1u@SS#@=XimI)i%*k*xCpJLyEKoyjz+y?=rcb zC~wI9L1GE&;2I;;`{}S1e61M`P&jU4Z;nu8p#ZKjJ7?8hx!^~(3;C&u0)%%G#4xy) zP##M=!9%*0WB5&a7ms%LzVTu}BBtQJHCzIzMSiY6JwEv!tF5Q^XOBATi$8=t8{O(OtELVmBw#A} zTKXbexjMxTuiEB~bO_$>I_A!pNj&Ye|M=D?E!j!kDIa~_ENwu|Q%X)I->o%H?VeQ0 z^`li9;>#W&n|e|NA;ALw)Dl+gX9#>|Dh~b)7!6pgkt;jkmY@)*1Siy+{LJ27MYFUg z7TxQcIMQo_0Zf9Na0D$GicgfL)*#};AQPEok3(#QbQ<*-rukYe5{{W`LyO!uQssny zBDas0S;7-YhW=?P5nGW?OAF2v75h@3%*}Hay48nKMVU<1__P3*yiT*@PqS4DD=cuk>iK#v+nB|#mC)0d2zbNyL~=d)oYus+SKujodXgLylOvX{k$H+tLir$Nfke07K{8dtIUpd|p_ zMonWJHF9TPpOf04{j(KlX8D=UdQiZov80prVDUL7XB%_c#|n+>*lYBp&^0vevwx)3 z`otT#H~I%`3seuW&KQ|!94u{AN4R}p`OZB|J~1b2#Uv)uhSF3gN*qEJZVqLt_MDr~ zWU0+^YD>pbX5=1rcmf#D4f<{&Lc&!f1%rz)g}bClA9rx_v1ziYBsEnP=$*6tq20z{ zqtaKSuBJ=&&2B)bfA^izV?cgNrBK4GbOMzrR?Eg`@U(HD=z0hUD_>%FI|My_hRFcG zn_b*u&U?VOg1p{FzhMPI4utCM5BLemHoRysp55Fb7nE$`PdyT49;NKM;$(rJ7>hR{ z)`tbXoOf#3T+!KWCfdyTeSLr5wi@m9kuy~E2KOBl!5(0yw-FK)l?Pk= zz&PZFj1Ai36s+MI?a@&{2cz5*i#I8GP)=757e;FRjCn{o1&GWo2 znOWBsTjP4uRHtlSm9;q*X!+N9DB?cpF0*Gtj7&~T#L!0Kg1(Fm%y~RaQSP~J*!wQx zPMPUN5Z5+F%->#E1@kk^ti_27M-c~cVorSV=VRK5Gnym+?(>385gi2; zI8hfj%=LDPe3hUGdzD212Jh4)HI|~Wtb;Y>NOZ4$sqm#p3UYo30<0+P_#B?~_zLA0 zC?<*-mGxPdaqv2bhh!dk0qz&KsuobXyh5=$QX}hMcaMFkzp|% z8-4Ct(%Z&))KE#ixK2CM;V`*;B*HYzX!xfBb^EzAqQe`P5=%+#a{CA@(^4yCPTl6C z{jy`$l}Dz}%UlQf?z}Lpc0xztk>St^d{?0{8x~6u7czu$HF-A$=~OQOu5P|n110kA z;{Ms)Se-AfOK*cs;FBa@Pw4WlVR`PP8ON7n8>=1CPX=?fNT(@GG14 z9~0^h-ZygP*<#Od%sK^H-w{Wk%HdfmZD11a%FdUTBgFD`!dQw#d52RJwa>BseV6*# zqe><&8N`J4;l6kFRUsX{MwOZKNbQbcnw4SZjC#G8o`V<_+kLX`|UUq2oYC*cj!& z5=CT0H;<7xBO>e?;`>C+xdtL#o7v@xVjPDTyHces3RwN>tox_bSf19c=7S}!gu}^g zy(h?Gs714EgGPsxQL)NLC34mmPzp}gXot81DaDClUX}`RPJUy>)>5T5UptvPRr$X5 zW!Ll?iLt4w(jMuW{!OK}p@J;rXASMCO>T4z2ZSFYOO8UlA(IoBsWvnlsQ_p)cF*KFoa7ua#71kXT%c~5*r!HerE_}fGcfISZz0k7ygpvwtzZk(|_s60TZ{Wi%vi0(%U`fo|dgj z8QAV6D279Cmm3b-5M_CT{ST8crRJk)auu4{x-0bF@BC;-P2&0N{iIo)7m3WJs0dU6 z%0-4WS}f=P+!nQLQ7HN+K1llyeVh^$!kJ=q5qZ}LsSFY7>}zVRKL4bCyYR?6D4Lz# zZ6Xg1<2mJ|69YG)5cZTkl>C+-@L8yZ6%{1m17YLaA1H^}==P2O0NVP24g%y? zAjWiq$1qNAe?v>0$KD`9xr?_sA7`Z5 z9xqakUPP6ZT1se|Xk6^8k*o8kms!`rNtRlR#nzzH=!q_rjm-47Jbrzqe0DZV+t1GE zvi4y)U1fKc*VFd-m)Y(XS?ao_gXFDm3t+c7W~*d=dxX>p&N5)G@M`Tx&D1Lkv}=Uo zxM8>mD0iQLL+2bSSmb)F+j~e2kcezUs?4AXA28lFvAZoi@gCN;z@83QFy#Un}tl@ItV$3amxBSJppEh ztd@d!Saqdd1+#w3_G_A7Y`;{ax~HF~I!|sQ-_I5HVyw(=VzW0@d2I|%Z)XABTFc9s z|L()FC#>aU6tNnM*^bG*Uv`#QrE_Xg{#WjnGLGlilz`bi^-=Ft52e^KoC8-V$7K*?{y#i@c~p{V8}~FV<`%i-E>l)2T4ri45xm)?g$aqfmW(>) zR+*_qi7=&zJMWZBnaVh3h#Q()i$Q|ul&QHdl?slT+X$8PB+L8V^L^)h|9CjUAMl)e zxvuNC@HjAXRdHNR3T(%fLy1ah-gtj)>KtaUnr_R81C=GEa1`C>_$@S&pbEI z>cN@~Yo)!6xFS~$6{72gKw^V!%&KL7Yp|bXr|Xk5tY56~?Db-``z_1lwatXd;Wt+T zFKQrCTn*myRWkpUCdZ}*x9!)iT~QQ9dsq3F#mE=u;O~597rI~uL>XwPb4fS}W^I<1 z&;8XDEC06mwmdKb2}Wg6=GN5Dla7ud z?W&c7!2?;2p5THcK9~O10nyDrL<6mGf7Y;u=1qr1zjRB*g>qEjf*bMunxzMo-Mk7=pFHuL>ndi+>B-1$r6mq(TKjEDhG zTRt}5%r5ztMGQ>e>eUDm|09;q{PhMT0_)9lImn#gQ@WAMsdS0|;cpatz4GX5&>0jA9 zCzf^+&Mis3)YfQ~*%@l}`uK9Vj{l>uU`H#07M6PEg0)U2bKh#1$Ga4GyfJH-X_SA! z;qKE{*%H6qh#RR^KJ^zJ&zwY>>1)zz4O0+>3VNH=zL|=-lYXJ>{qNEq zI(qgGc=G^=MN*O53m^RN2V-bWxs$L3kQsd(QWMV&g0gX7(pG9Z#BHTqww<8y(9IUS z=f-d$*%CRoJV}tH`eVj5_l6ki-xb?k3scLG zONR@tzTXjIcCq(h#*W**hmsetq{5#Tf?K9oD^t0?-_3OvaNEgage`2;E=XlIpldrI zCPndF=_`750?$BAh<)@n2BJOsDISTawLxHtgz%^Xu|Sit@hT-Q!*5dY5S^m_O8O zp$5c)wUzrU@Q)L!f=;{bBsDx_dX^L&Os^i|`}tL1gMMw)t92_yV0Dz^N9VmsN!-!4q3DO2sQa&2v# zcp7f`wl?%?dW@%fz_!Mr9-G7U0l)i~?+&*Pi}f$9cdM%bCHBuvM1B8`-PkIGL7mB! z(dD6v?IUH;qEB;X`<+#?ldKY-?c{eHGdb_NGXU5XI9}W%Wt)&LD6%+C@?8q%SFSE8 z4tghE6RvXeKr2G$s6r9P=r7sn)3(qziHg$DTDnP5g}%TxB0fJ0=~R=)$lC{zM`yE= z`&?%N#*#tNE02Hx_j`NtK#tOcnCRc~q(gEibg}epRX#s}=lqsGQnR?=hhS#bYaBy2 zd~`nN=WOHFIZ{w_wcViG*Xqk>zdJE*1CNp$4N6gV7|x!ueLm*QlXb^bMX?Ic0|Ft! zOE`U`Gy-?u3gg}7C%s%{`N|2u+?*!;pm@;QBxXxLKza4h93LQTaA3M}Tk(VvPuQgF zyraiY){5}c5brGydTT^tLs={G)ihdgqSqaqJfzE}1@ zRFURU;hPQGZ~MjhPjfm&5vz^5m8#z5p1<|hgfBfSC;`)Z-6(vX_SdT=*JA1o2boqwNpqpjH{Xxd z?mPXAbO%}h3wSk7>;sxrHI&}6(F#F#c#iRsF!4>=q{xvQixT;ko=&{vIj;mzM6GMV*b@pMNOmy5(Az`ok^PuSWt~td8mcrhd$;M8(~cOQ z%HUew7~dbb3o|Vfaawrp(MlKJl9oAryieX}&rnxHqHAkarf(uVW~)z;W8C1wrX)KD z{l|sTZlp%O4_}6^b8`?LjK*L}V+P_n(c-i4ZP0b;aWRf}{CYhUAR_PvCWobIiu*~I z;QX1#^p&)^9AG{=ZLIjCBSLl@$_bGq_pCmbc%BCHZd38*6K&usiJ@piC&0tsg3>3s zNcI6*gwt$lFkDj}1OKsc2oV2J~|t0f#CLq_6+B(n?wv z28!-?T=LCHfAo~)m$#i)Kit#pj7UoTs7Kj<053&Q@a%r3QX zE3{&Dmp+a2F5lx*NfixDJ(pgRpgZaGk_9QPM;$(8JV#qOAu}g;&xmWeLVx0ph1s4A zw6F*nAkzjE6&}F4s9(S;dJQv)BZ$i&xYQMF9Z*>TLb|DiQj4x9KMDTfq|~PGTr|@H zFW0*MzVakJ2FJ?;`nEizIt8l@(`|6Oih%vgq%IDG#ezVJ$$nCtK< zd|%)B@B{-5GnL5{#N~7p3;Cmz8@Rz^*~Z|u_Ptt<{)}Z?AV-cp{NE2Y>WtX01J=I- zccG1?L$8)*05vLP<83f=3Qdt~+gmV~m6ZBz*^KMoC=B@~YSt1F_*2atkF`pYts4Y_ zp-8azx6q{9|)ws>pxhJ@T4a~lstXf z1to|+$N$-EP`tgpTkGaal-*uD!+G}#?FXUK3g5#BS^AH%m zO6@IoU$A|l>+c+T(UpWd&z@b2&Tg?BPiF;GVp?5DzDvW@Q=aS>s!aVaXFO|{pHBvh zK7UMM%@{ME7M=e1i}R+3_sjk=eN6n5W381{y(F^sWBdDmtPOs+G*Z}xP2Oj9H0imT zgQakmb+!^ib)FviG@R#by%v4k9ih0GE%;Zr-+1*d=sV55U5DQP_#67XnV;c;1@Qdc zAb)#D0KTE$&nb^mtvsuWKPV_?YCh`#|0gzF`Ru^>b;Tvc&G$>`y4PlEl^w6~n2=ll zMRhRPz_8>DyJrJLEu%cd}Su^s#l0puS{g^*xuF`Dt#+j~5^?}vAYiTq)yg4)yBTRrw z2;SWhW-8m8oU@(JoeyRWb^G~R`S1hsVDzUlvuW-P|*1SJ!M>(5hX9faTZOh|s7e8jJWWF5UC_a|FY9$!*lzFJ!Ony;zBPIUY z18H(9gF)E;<`CU(V;VkbQ)`#ulTUB0M2FE?>;GV2lOv4QkIKGorIgzRFgMe$NUqn(|RNBE-`3ehV0wWZl)D<%G( ze}8BY4k5i#)kn*HoNM2cdb4d$ncl@-)aypHUxDt-7^kHsMa|&8H+beN$LgcGCLK4# zwNesvR|uO@=W|TNw3jm`Kx{SjsCgEC%M1m*0@8Kps1TiNw&gM4I_ZewyNF~<`OlIJ zR)nZFRRs%18OvBnJDuIiN-{kduNkAd+}PQ&*>@SGzU~!WS}l-6ge-!AaGA^`;5$ZSnb0*)Jmv-k>gF z^5Hguu-cJY>TAi3Lge_tihE%Zw_Z0r5#$R*7Dh`2hweFtC*Z3Wj}PWuJc+=6e?+)7 zqxC0*B|A*NgU~;V9sc*ji@`aGtpHA)-X-~#^L12WE8qPX$`LN`;95|xC)5tuc)K_@ zG=K5@w>%&-rE5W0ez3Sb-(k5gR-L1W7Pq9Te)y8x+*X#%*OJO~s*IWrn7s6#QKR**$qbR+R<9Lx}k5>>TRK`0>k zz|n4hjxm%lH;@^+;~5l3S?Kt-6`xxxtimVF<@8>8{epBbg31fu6`5aoyE0&NZuz#P zM73m7KUcj*EJwSxP}NTm*4R3iLuc=RcYD{d!soDhp*n{J;O_E2pWPic9rRm$KHJkY zEgz-#c%xv{!sMh$Y-R4lip)Hl{T4d3BJFF+y<{G|6~-H!dnk#zEjPK$F`@9h^m80$ z)JXAQ%fo`(M>;l3CzV!EqWfEpncP$2-Ygn0An6R&;c}EH$eQQxx{yQOBu8`K0!c*V zAW3r;r%j}E1v62`SyywTM&~`W2#VTCR~NfnH-2wKHF z)Qmm44wI;G?lnlRfUlL10}NL zSTQ$^6mjG}ERFmVB%3WS7WK~L&g=jZ!PmH*(6J6viFr@?kK(mkNI(59ct(H`EHl{v zWkEvU?Ye0*w>e~&*mr3pIkhU-`vE_^lFH7k>|Yi(Lf;4TRdli|Kn(K5gK(Vyt&_qg z@>pr8j?PNO-xC{wet9hSl7~V}%O6e7L84D*8)mD+6P&mE7adinvR9*1fPP2Q6BZPH zCBHDJF4S;L%AQo09zeI+f+9K(zSD_3G}R+Vpbbez;wxg06}HS8a6tX!Zlh@7#q=nR>LEV%yk30UaE@Wrz%%Ot;73D8Akf@92}6q^s%u^j6DrO6;WkaW(a9DC^6+<%@(&XF}nNiL$bZDhdU24FsC8wuC| zaHIPUfAay1^le^}0nw4HnplDc`51v1&z}+JLziW|U}m;(w8KLsXN9y|WHH{MI!nna z-`h6Sp&~j+Sbbya=QHU#$ilRRU|Z}akiE7g`BC{BMP^s>EjAmq9;-SvMzv78e76=i zIaZ(XXd$%t>R(L-ADsNW3lS}eh=Q75nx0+@GxW6SL|)#bU1V&ENK%x!;0rI`%zj+u z-A^^~I%(vH+I!~=D11j`;19dXMe#v@U12-Wue_stM)9oYY)HPX#EaKXH8+XD95|2c zAZcGUj+5ERofWkm-r^nsdh1v84{{_!IFrqd98gz|agGggOh~^p0n+PpV6XuV3*&hd z?HQ*gu`|4c_$oKg!*Zk?qcPWHPKi6_2g6=2A!b;W1}xZKGDpTn(NTR8qVAd<$w^ z9jtw84e<3ATdMu9%;ys_iG+re_`e_z03+* zZ@_8~Ek^$l4R50N7=x!Or-->(9me&G`tar>?UJ~c6hrr0)p7^PK2UO@tmJl(t;~}Y zj?ylFhld^rkJ$$g?gPgNF6R#Mu3&n{nB03umT*9Asf0oOO3ySqz!ii36@JyXuaPsL z>Y5xrm!EIeX4vnXiFk4os@tYNWnpscv^!}s-}p{p;BaEusqTl>K7O_Mf2s>612PiO*XLlH=IlFCdFH3y9IS@^DO4&D~iFBzaolukyWVOcAIc888 z5aGfNl0hcCeYb1RZ*oK7fc6O%$worX6RigDLhZ!!+}!WAAHR;-Z%yNW>GSU%;|ElW zCz!_(&Nj(6x{I9dIJ@4<48QWW(!U_iuguBhq>E1;#wY37r$|RsRi0q%??=_MpG46< zfpxZH`w$Z=n%l7)Sb5-IXIZqa{#L}}N{pUv>b1zez_CGmPB-K z0I!SRxEkx+EzpVX(8FTd?Tch9(tkM6EeWQlM;{QwK;>ndQLJ=^0RL@cn)?Vu!Dop+ z5CSCeU1%C-yLjd{P;j-ybc9eO+TdPc@X=YDSE@W5eHjCs2QVtEiNkrlaTlh z`&!}yjQB&O#+fRhy+)MToDWW3MmWU!vT>7dS(ws!qc0V-kL_cYiB`kRgRyzXv4;wJ zd^AexLo^YsSCVSp{<%EH#KC-~0+{{}%@I4h!Vget$D66azt7(;_~7E3yQ4iwkU`0Yb5S&impJh;IbR2q3Ejm>tmE9X{X<``-lIxwJ`hT+@2x%YMJG&ej#gD8e6BVsxZ~ z1yw$pp{35}Rir=#FPhO@EMZdXzIwUMfv&J;jb?_BT&|MX&#KTsw@6Xvi`DqEaOpzU zK}t+&z}&9{%}ZasPIOV=U>k?n?jn}sR7nVld;1(Ly#&sKWyAH61j%8X8WF?1CtU2u zR2Bp@@y62iOnjSsh|KJH+Q=CEor`5ytQXI0sKXrF(WD#gQ#pW-C?02RwX|$sZSsx6 z`!zQ4PAt``_c`SjSxkI>Dq?kF$^Gf``1ELhHokoyx&nOxH=*wd-I_Z9dx%Iim2=(N?kRQZNd9MGx zT)OzVzcmo>a_s8W{`>dcDrc-MTcxnO{?w2l1(SHA!RJti(`48F zB(pt-a+tj?)z3yELaSUahYq#cIMmp9#X5XPq+mu^*n(qu*IlaI+V|@NWFcxG>)%QM z@)XhYR^?hkg-$nM*Z_UM-ZSW_IG>Qq$AFIex`?extPE8!4j>Z~Bnv#$cFA?>fmLLX z(AE@c7jkH`GrGipu240}!L)`YBMW+m4u$>S?z1faDg)O$g{VlY8a)NgVQ+=AhxTHf z!;;%2UXsw?Z@2SE`kz(UKeNksym0kh_Vt}J>S#EW{3(STBgas@<(L zN=#quKb%nO)%%Kcgk#zvv7oJzB5Iazs-*ps`~oo}2wun_p~FNo+R@X-CCcsVeIb0M z-YCgyw%)#L>SNg>$I*|4LWO5{sCMHRTi8C#Mtc46?)|Hpsb_aty$4Sk;)<|Z1wexy z^tn6Hn`J3@Zgtx2l69-9W0ZpsSB@HdedsD3Ij4tD$QyU4P{o!;?QH(!RPfA$%Dpg&)x#OY@f*$ymWWY!yNUm|Ax3Y49nXQPX7%SE{Ds;P8VN=vF2u~*U+vEP67ozvKN z!yl7x)*5{8iSX)@!={n4G`GUWizUKarRnwTh0NVlRyrwcAXn=pS zCu;>|7F`Z+2UC6l8ZI{&lcNEO(9_9Hhwp6RW)ikvM4HygV}=3rDffqN0l@sqC(4f< zPICh=B7bf?Z-y7~lDnCtso>7Bb5MK5*VE*tbDHsP(~lz4n3kQR1*O%)Y(soyXse4) z-GY&(eV^$7egan4yLp{T}3ykjErJYYI`2ivj*~* z(}6rYr`B$x^kS9AxL;x}SPdn1`TSkIEQ)c@H(oYad=(alp$2!fG!E8OtcDL4KfaP( zW_E)$>N{Q)av-+7nKvSB8H1~bCO4r2Ub?~b-JP?2pVo*LPLCu#DxtUf6jTuIXfxgY z;Dv$YPD(4bQiRJ=YT#%k082sX$bBX3moMUYfQlzzq>&9I7I~Z_a#yaGb@z-4`NwGT zUMQ_9meH~%z`_8;CxxC3hnY(pJIL^&f)DARszlF)@N^8Voi zN*(#>V&15YzmZ;QF4N*@w`NW9c>~|FGS_75Zs5ew{E@Z~QRsJChB?=CxgHg%p&5fu z9?_xMeEf51C@+YoDZ{h?NtKp=Au0>6JH&Q*B<32x5afyf z=`ON(`p1?(wiTN_-SBDC0)<8>GD={0^Vm*7L` zItB9itzH38M|NQ!N(Dt7e$g8{ZVa(LS>%pz*2z&GQQ`<+#D^XXng92w) zVBO?eoz9>(vy43t6AVLbwbC9gM_gUmg)+$PQNdcdyylpmv`uh&!y2jnz(5oge}9kG zoo`(}o6fr69P9inyGX}0rxTm6qKC&0<{AAHFG_LYsS>8+dP;$OW-maV znEb@4?&)}txIWS_FkTJ}7l9^C=~w_9WIxCrbnhBnQ;^Z)_oXvkGgE6XL#@U7*$!(e zBmh`al@_EkiZXPR?c`k%GneEkNpY6|&sf_{%KidA74_w>nPDGV~!v z7rGh8&%=H>3qW36=Ejk-N&+E9z9`yPaKYdvWV0*2G%C=< z(S-8|u5S_=@8g)r%<0D23GiLQPx$_X|K?iGUP-pVJI6k*H5jUO=T3;etn4>e4RtvD z-b;ggblb0czRpYx2Dmz4aL?TpejHqCj`vf0F7? zdB_p*RDk4gwv%5|J{4~iXUMMu(IBvyR3E^7?l>UVkPmctxyMAvwoP??mfZq#$ zQ3i`!eUZPd2yL2KDHGrmSnKj@N9_kvKk?f1Wl^%j*DH&Ka~fYd2IoG_J0p^n%^tSB z;_@->V=3w#JYdsr{Bgy57SC5%aqH#$eb{rCK~Rx~14^UYXtT-BOhGiIg_(mi7pJ@= zXpjsqf$uJDnjO$rj=A7~+*IBLb zKU^jWe0^AY(2gc^tZ^M##+L5MPXL;#uq)1yD>Y#f!}Xx>c(w8|+Rn)PWWQ{_%&4Hs z=o)W!+2ftn>-gn>N7W-0Mt5b!v&C{fU$DfOw@AS#V>w|Ew8R7o-yWL;Ryw?8Ty6<$ zL*U{8^d{665+DVqAC=yJj^4`IP2nvJdr%woj{L$hkDCbXH@f~6p z^i39W`n$<+8u`TuJ=(_fkF^5IMGNGBg>qr+M8K z;p8@D!7`~IlyXB%!AQnegmW`fq-6c0P)DaVo9_1;^AN@OY$5jnmN{hmAZ2sJw=~Y z$>wZ2SuOWg{7G^VI|;E(5~oh!p6rksae9=a3kCE{>q;zgNaz@Nc86A!#BJbZ3HNpj zJcDx?p0NO=c?FUrtCTa>Jad@oy^YD@Aa`v;RFsE(Lg##PqRA!Xe)B^t?3M0OzCTa^ zmYJMsywk;dafZOm6a|iVc{el?v+po(^^5OzeRQ5Oct4|uT(GGxN}RLD1YJ{mbU5S3 zQXx`L!_R1R(KeozZx>b*w)o2zIjD)Y!ayg>?6NhW@cxwj2rbXSYQdy%f*Xu+Iw0lfU&oo;*h2*}UJ8~n`0^V)# z53cS@3VOZyKvnUxb29JT$~XtYxKS50`VOl?5N(f(#~V_}8KUSYlfL_>pYoetk9YLU8)a$uu< zw9!*tZNv^Atd`z2_+aB*hEZ|*pN;QTI^p>Q71D0;b(sC{xzF|&)C z#n?hdEN;+v+`Q>s&;b#A2az_*rE-ozn4$j9YXa^j)Ug=OPlFxDnWUSeOi*^8ersE2 z+oI`us@1sqV8f-t3kJwY(q$dfTiwFO)gnRA}5gvyg z#JH7KA`(b_F6PT?li!qTy&_mT!w`8%@?5~#2Hn@smdDEip}W#{$&oVILFL8;EIa+WON3_WZgE8@dN27D>~p1=>SBjj-58)h)s*T*uc4(SS)aY z>+XFj{x30&FEZ()V!*eCj?a(e+8JZwxlq?uqINom=_phiVO3;Z0gp zzsw@z&Ar%yD=gm)jpppwu!KU%XmoglKj%__Q_bTJUQi^`FL*sHn26*Z=0{ZJzw{|E z;tA(n@%$d0{1?G&y_=MuAojn$$LVyXez80Ri2E2otJK6;sh62Epc*CE8QaI@_D*s; zuKXPQ`>sEf6X3(|0AWyh5)FabAkPWhikp@0=P61p0>nx+x)ES8G6Sr0k#mlH52XH5 z#uVY5KIomaKBvG}&&SH9hbLmNJJ|m`iM%f(GyrPz=ei5H!g;iS+pO$U{-ppE+;Mc9 z^0gBFd_}>PAX03nZ^G!@OSrV_@LesSA5$U!0Hj{mD;8&(zpWmXxq^dQO&%sYK@1SV zf8)T3>T^9WjbPk?{vH?GV1BTWfd1P1OxbGM# zOrp~BN^+tbg#u#bezhB+2c1ucNr)>)_FV0mpGDoQ9!R{zH zR8vmKC`1r}>?#}~3ktW6h{Ghujc@dwbKlSWi(+Ivt6<0xWhz3L+$%p#9Ptu-b9o8? z3Qp4H7XSOf0C`@)l9+ctga}=IK+OvxHFEVx&A2g${0V#o2_>0_T72Ve(k$E?A3k zvJ)b1K38}R)Qh|b@Uz;xDOn4cVXn{xtUFK7g&;&wnT=qC_he8YN(dbaH2zq~X`%_d zH(Jm2E~Av%w6UjGM#wcUir_FR|BL5@EMQX6JW%A{`@!a%-?@Xv>ML{fwjkWnMD77f zop&#)_Mh-tMZg1{kmdL(k)3m$hfQjGGu@?u(&;WgDN=zfOK3dBuAVcHr_7vm70_vq z>HkM^V7-c-kNSxeuE=ZP{_+XPu+($%;xQ64wonm=Rg@#OWcHuR_d(a0OT}P5r=Q8$ z8q2X1zd`Rg5CE6Tx)h^X3L^xj@kP()yrB@hs&c#J6sC}ll zGBtjU8A+a_G5g|OSl&V(ezzSz@=1qArP>`#{Y7lxXKL={gwhJf+f}#HKUul@S)>=9 zN>L4uz50c-N6`Yt`8l&|f--H{Y;j(M;t#T&@(sLsX61n>J_|qs4wlLtfg~42iQGqK zH%&ASe#_a~Cq_|>rl4_uU?o){UgIlmMp|K0=Du&&1n@O1GmF@mZW)c+*}k5 zsU@5-mRmwivw88N_?PinB{R24VRPth5W0H|txo^oKc>Dkw~#4zjbowX;lFn*1N^O!13 z(o&6HVXRtBrGMUaOiP%h`-|ACc^F3)wlFqlvgUQ(O|u*!WlCRq^{oRpm%H5&ehY2v zNSLI9IWJHq;)gm+BV?XLom0>kAtiHWi`-apqO%!QEEAzs7;(MHU@nT1OjDA`NhO2d>Mku*jWY&=o7@1#z({b}N+fmopT$#mkdpN{T zz2Qd<>^QL1u;bUc$dHn|(*H`&k0cnJ>d~SV>1&G}Yr<-~OS549@vTCu zp*ww3&nWe{JoXe{xf4Cv z1Ma{KaK}*>EaVpO7H+34G72HOAwGTj*aIMnnW$|ArB;O)vpG8skni+9Rnb^qvvA8 z#8>TeEvP~yn`0hU4yZ}ed;oQ4MyYlj^w7hz@3>TgMhCD?16nm$GTc}>qW{gz1|rD3 zD7;2R)mI>~fIAW<*j;2pG4nRc1)|KppR%BK#Lp_?rF+Gp59Zb~VdCPzw;XFo1za#Y z<#49l9*Vl2T171OoEOZaL#k^<2(Tf1#W6m$Y0`<)eta9Aec2g@CN&=>-i7LcEpE@P z_N&5FvV*ML&O4u(SxWk#<{)ucbiFsW;9hfbF9d_ci zm)<_sxs6M=Yq8nNfj5muDyd9NLF9cb^|UY3y4UG6X_Oo{nmg}WI2YtHhS3#Twt8r)VRc!F<-36N4k!}< z;e9{Q)jKY%>O{s1VN|ZJQk{r90a0Wjq~lPK%q~u;0&(YHS%5TxdLikR#)>JlOW+ci z(XDet=W<-rPDwQ2#z>f07BGZ7CZ_NS+8kiaCZ?s45`_afT0RGu$cs%eVe$A@lb;s? zwqn{2J6gIGI(xu!N=qtE*66;kSV8#ZR)r?j4wYf9R{DN%{qM`3ZPSR6Ph)(ZZqsP= z>^$}bX4C>yP&+w$9vAJY$yGLC3o4Cv0&$GhNM0%hrW_}10vwM3=~ZBV@D1p80Q@y` zn=wH?21=qlGKzGn4VY(}_ZS7Nd>2P`<7Vj-3@H&zW7lTse0Uz=anDLC00dvhpiEwY ziqTK9(1YG4R~zpLnWg7U?*#YuL$Z-ez)1Rr$FK-UbpIQFfS={CEmnR5m&p$ zX)QgX7{4yRid=!PLN*i45-x?Cs})4Oslodu%w4@q8WI1u5e--WhVDvWsoSX1fN zZjZu8YTgzGUoMKs4SfCIBw=Xoiz*kl0+2&AKcDBm*p5dHOl+(ovXcNY@ouH-jo5_B z%sfPPL&$9+BGE63#@5F^j0#SC^yy0?ro`_OHgufe4HjMjMDLpF$tDHO*-~$se#=EB zOK?iH7r^NDI?6!e2)Zbe!}MP8!732Wp`$2w?6rk`3T`MA_2EvxRcgkTn>>?@-Mv%| zCXo6{$HtLMa?=|uD0U8eSFn*EcOchyx7y>gOi!!I9YmIAF+zaT(YLbLG9PsMc&kCR z!^Z;lUv0`k7T%`}W4FKxajthVeA2@>!aJe4&)_uHJ5TlG$hqOW)g@^Oab@yknHwn@ zZ7RR<2lP&ww|0MrVoAwMK?~V+A8^e;44~R zt^vfinuH$Z)-mn{gRoKZZYa4U>`&5V@j<#2mX6x}xg4%f1zd+n6YPG+1Xc>tCc+E< z#=1v8$kngeNb|McVzZSRw`_e0W z{WC%`TcX?^f-TNl#v>(cui)H95)NF+hoKnss<}jej@sTCZ$fNW?xaJO+*L#H)=ov< z!3Bu^haAwN(|ts^?in-E5lL$KeVG@uAH7L_4qVhtvpF#6EO+a^r(!sdn?>2ux_p44 z+mgw#jf0DeARGZAd)U;k$0kJv@AT0W$XC#M_9KJ8Q`oY`TF{4%I(I3#i zK8-TU4k~oYW}QFFLY?W=xi`yb$wOgUm+&Ql;M$%ZE0OBjs`*8qCa5>8hY3 zKz1~-7Sl5Cql$gB-_ep+YHnn3|5c4|N#!=tC+weB>k-K@{Z|UDGEfh%B=Fj^FuFBw z(_m{FEX0N>4Otgh^4*69GMi{)Tmuw$6o@AcpFf4#QU!^OI8%(3T6zT%FZ zJjftdS{gi{Rm(qZdUVnh_gx-yq-X_1rad(*y|zW^%mNwLR>#*t_8I51y*=aj*X50m zRefCB<)`Oz`u>e^^QKO$N1Xi^>?wTx9OR#eYvb^^v0IY|Z=c=tpRGS6p|r;?eT8es z7`=FX|G>&W?bvE3=j_10Z=cqpWQk6nsqVP^tNQPy^axtBtBd>f;tP($WhO^&^t#sc#W%aQb7H{7q@POqvj zc+lKRkD?RA(-{XG(W@_1yxRvs^>Vpo;_JjCu&~lX9{6X^p3*@V_6tD|3ntR@ts}JOh07NW_Gyo7cz#DY76! z_ou2rLXVk;7_}hSj-Pq{1=m*6XW?=qb!H(Ui1)zb z4U11D{B2U(KEqx}_HJ?CNnkMf%`EOIinXZfhpRmwp7 z_l@y&7kS2?e*=Jzjay#(>H6{jd_WFxzhJL-{&5Ch3F8l}pMOJcQvM4WH9RgTWfWgl zJ{rvHcn9KJ=dB_KNHFaG4JY0h-CJ4L4)S>c<@*1}2`8@Ipj_}$;S*rUkSL3nu&Ls? zZvb8W14%-QCXPcI}l8NAj}j=nlvXL@l!zbNdAOQE6fn=ipn zFGScpH(ht-PE8p3#`+0(Nrzfug!WeM4|t;G(<6e8=bBP$^D0l(%(T~u@821FSa*JW zQgI8Vh%40z!RmueocEetM;z=eA}We^@#t476~8 z(BF)$B$Wh3UfoHVvs@keeic-MIm)*bct@X;h}k)Fo4fO4e510D+YBz+#D|K8WzmPS zg~?O2_9WoIk-!aEaUBEd;EZ@)n<#5kEQE^LJ-xp+q^}EQR^UPas>`nH3 zYbMQUW-t&hG03+987V+EawRbKYV8R%@q4?ho(pj{Jam-zuir!CELQ9Y|KlW4_WH7*nSS2LyedQaZ$x?Iprz zujj18GpFcuj=AzJV>{?XgC>EF>l;;mTKrAO$mgiX?Elg=qmP6MHT4CQog5no-l^|8 zR+&sm5uHEED@p#A^~*w6g8vTmi%M#bNbLRkO3+7(!xb8P4^5n5o320g7Wh{I@kO)h z=fRhvxRDC#OOc%xbL;lO!W-ScSMAX5?*F*$9Hz90o%;LmatSF|Z!ME_>>)Aa z#3hwCY5ZlYJ|Je`iK_6A5FFd=1@}LJJT_lvugEI0U=jCwr|i_A;1{7+%~jCi)MG}E zHMCyuI~*NWX>-}kVKFTF7YOSZ^QcK7d?FptT0F6m!-ggm2c^t5luMLTfJXg zs#7r~y^TRk^vLoYxhb!*e_D%L> z%K!h{ZA-Z%xnD9{tuW*g3XPdf8;g+3AjyOkMm2;rG0bi*<8C*kgjq>r+=h@6CNnOd zDECWL#>^zS-lyq;XoT@@z2jkEG@W%RE}2HA@S*!{|@4{+2WMdIub%~ zod4pDdq$Lz%}pK7(c}JJHtC`{Z8f3;H-UAppYHY?G*|B#D8hu^>gP3?3p>UtG)8(mFm$n^ zBpZz&B}ocV$M~1zcWtJ|EE|m}lmYe@Om8d|vum@aRvG zI_W!jnC=&OLaVqhysm133t1KavHA3B#VCUm8Q*Z}(=}e8bHLe)3I!hhVP>q3i?7VT zUDvxTutuq=zr8GYBQ~{?y*C$hE2qNXa%o}Uq3MEx(r^Q0`CtP>(W7?}`&fMEkztS; z?vGcEU;4OBci;Io_RU9Wbop<731Dg)Oyo2@i4iKX`-|+c3!dH*#vn(D8N$gz>;-K{&S)^$YLOX1A&w{%nEa;>;R zfoI=*x$=t2(s-5D-}F8GszU-L`c`<2>LJe-yCfylrDO^h8vsw#XY7zP+Y>&X<76+qUvb=yQIV0Y;OhUO;>^q?il zkq1iq)a~A3_OJrsHkhoM0;T|b?om6CvIi0Ks?P0JK9~mt^BW(m-z_S+SvGEftGx#E>O$H#JYQ%caHwq{*}78@8p-k`5UT+a zThtxU)&p_+W@Sx}{LM?vrPEWs8n^m<+lkrtuHT$eu^-_}W31t8BKFX@V<$N&2KyqCp0XMUGB)eba7aVn$ zbgA*K6I?yr|IBl!L3DdgeYugrY%B<02Hre3}jLf#AoavI(k2wL>)=24}ap{c590u z2h(swQfzc|ounwh4zHS&enbH`W7~pk_pa@;D3Wc3HWt~_6&IXy6PgxkDo$#R*1Azm zjCP@nmjdg7IPolkKTA?>SSTWZ${hp;gxN;@bQ|NYEbD0m-Z=uiRJa{6v^&^<@H_IKLd6lqRF~+W5i4#WQbsjZaJM$o1sbvF!+0u zO#p9beI#(tuox7y3cOy;9WL_MWh1myq9(fPd{I3K-BKT$e`kwLM5netye(d zzNfGW`@{zz)7)g0r2KAwzywEY!B6-+LLXtxR0Tf8>e?-EOpoo<=lL)MIIiP;`F^d! zChS65x!Q#?QoAKkTe&Ma%+#N2R`kcxvTEHrmmTicyPjwJtKzX~PR=>u!Kaqz&3ygN zp`y?C_8V8+9caaG)^JyFNLop7c#Rortk!;_3hM#5sszt6TQ8c%)SV-WFW~I|U=Jo6 zv5$O(oP$0jIC-nX5GoMf6)=HWr;w_O)`LTYf51neMXuX(0muG+ukLOp*etnHTd@Kh z#s!48qX_vWU2~AJE!C3_&C>b_6!d|S4s~+vN;0ywQGjD>=j;AG)HrDr5+z9UzngOq z&ap#+&;SoV_lPb{|d&<;uq$fa7}*avhiA3G?^!GXj+aH1M1* z9xYH88{@JNJJB}c155#-`#pg*%K$&vK2v6T^L%kj4u0V;Vupj&CwGyfs#~EUE1KbI zn^oi-M>8S3_vqm^A%Qj~*XF%WLp^_uzq)|DS$aqJMv*Ke9b$Xe+7=zMwbFXDJWh8| zT9B+=J)y6FEY#bJrBo3w3Qs`PROXfS+2=e&FF6At*Xo|rr6~C;S%&mGB=aH{xx)7q zA0wozA+(th?r)}m&yTO*L=&*H2G+xIua*1A&BOh>pSD4r`F-xX!~%N?Z;>+HgWd^N zX@18so&g$hW8#%QDp0maHtvotQS7Gm1nHu^2K`m`kg#S@sAu(&E_!i?wRGX<793a%(jPyS2rR-!>9rNLkCqP zp|?H%O~;{nu{{Oc_444j!b|k|Z9NLfa)XTTi55aH6q~Xm3GlBQy;tHI z-z*otH;uSOOR4m9%e5I+6A&}`NvTH?{(iVLwO!cQ@?fmi>tF_JG$r}%`wo+fF6w`z z`ArFl19xX9rk~I?f_#(}<<;A&*W9p4RqAkALVdj*VM z!Aks{ubllqbm@&HtJ7Fixij-H@bM#LD#5iq$vjx(D?deZL(M?ul9o}}CLYmb&~SlOv~ksa-JhnuOA{PVX-Ze=>V!Y6t>85s-& zgKNm6+gG8UD`e1Ljn}UCm_lDlEyo0h`-NMaoZ2l=$klq!(fe3xk zv83j2ohN0e=bEUq+976><`WMk52mp~uSLHaT> zSwVsV?@3woKw9Ei-!kNz213~I@N0RLAf_r*^U1PDTr=a2TmCfOIrh_ec8Iw(@_J>D zkFfPqjMGS(zqOlt#LEFb>YQ0r{i}r^3XPDM($_yCcx1L%Vl;Z~*oBWj&CUL0nYt|j z9G0!xlP84Z!}JG~Br@=id6!nI7$Z$6Nx|+G7jHFb1}Bs#czgc40zt|71=h&RvzdNQ0U$k7;=yJaT+!!b3RSj`u+o-sesgGF)w*W-d6WZy% z==nCG?Z(&>wp`vjY2hD1e{KIMP5N~GEwjTa`L`?XbSZ8Tss`|-SnhmMteDK`$a$^{ zZ<9+WY+i1)(Y9_(99SZIwCe5Jp|azEjMul3*^A7M-wBl=a``dRq-CAy`_c~9`&j^* z%_sjf1-%b^^+fpl_{&nO5Peb=Udf@2aJzf+A)OqFr$ler=ufnFL#_Y zdr3IH_;M8ZwF`f+PSbU!-#vX6syWyW#lwdVq1H_oE zHrG50`96xBRhrJG9|qn>D!eukiQAJ{*L5qujT{1h2=Fu7aT1`7WJ&Cf@*wcF?+@j~ zgpu$6KXCZ-ZvHaaLTdTl_Q3FZfs{OCw0-ZTX&~n#`KRi(F3uF^O7|S7N$g{aiEVtj4*qCl}I*h-%;dAoGbBy+E=bz@dobx?pItHhAfBG||~QYwoS8E%{SmJatW#KxTSot82wFM=V}$J6$viur7=Il`9}j2vZQ`?=;t zjhjh%YN}{@N;emR&We5`-WKvNHNh#+eXdL|b0?h7LNpW7TXE^hmbh#!{Zx*>q!2C3 zhGgc~do)_fX=F9Pj{cR>r-fr@Gt}O!oQU9a;Gg5(yY!bIo+NbazrM-&0U@(7@xM>q zUO;`2|AI#kw#XXo<4P+%+iB%jOo0?+#TfoSYLy)+VcT&Fek!tWNdm!sdHf_u)$82^HIT)|=MQCNHdJ5U2x z`(_G5z-7<2fi`m|DV)ltDvbAH6#w0EEkBFemYyH9?{8ilB$@7A&+gKPZFs{6;25t~ zvW8RjYiO?D13tw4HP-I%H1@H;uJuj@Ph(viM1OZ_sm<0AMU45*{kzN;$T!T8Ozx_> z!bCwC0^ew@WxZ{Q?-uP+%`S}5`uuQVug8rveXac-CDaOOQ^;~i<4oZvE{K6s3VB*y zinSdm=>G`3T(;pYLPpHWq%wwa}WK0L~Pf@D4HF-7P;Voy4;kwJUH>?w{`dXcnnZOUPU~OtJVd>jl zlN;@CIE;?pWp-u}SCd2Tjd^XaVOCdvk9fH?@W!;3AHK06k~h^eX0L40B|gQ*%JfX( zx7q%+D&D0qE+P-Dy^Pr--52m*mPhw+8gmCJ43yeoz5h!#iNx#$u9^4eg`LniE5sp% z_YU{Hyo#jKBskH`rS6q5dbEb<{A2MwUB*+#^PC*YkEfehzq?V9 z)*rl~r5P{M?gk}!RW2>7eh4C%QCrJHau}NiN;F^xTwbm!b<@3MrVNN?zW$tbaXBYa_= zncA*a35toK+K{-1N}-!8TPK56E6lW)XZL_~TiJDLKTBGzW~)}Xmx$CU#u zhYT+H&@%em9#k)wTo|jg#j6@Vb2kWSGH0Fd_AGv!Ci^Wde4+~ZS3PD8Wuh#TUFC`Y zy-4dWNhhKmZ&ach$*QF9t?r-VOIpF;Ihrv;a)i$SewyMR9WL{nnF6*JgT>Ki^uCv4 z9f+hpHhlq;!>G3aRrJABvNGus940u9(2T$-m~`NR>iuXv2-vsl$4T^$#A072bmUS* z@=mn;m&F1PXGMjaKCY2R{k=)Qc7!+G-($#p-Mo+cESh-EP0L#^D_0Y22}y8i)Fxj` zSQdYAB0bv2EgB#MegmrE3$e5`#@0Y=z!%c@gN=UWq@xxJS=frSxJqkD$nut6My*ZI zx5_hbzOAqA68VVFNGi!~Os@54hh`P%@z09RNyj*?*hP>K`TMhw1(Ll4)Z1JvnbkcC z`gZZzhO5vOKtY#HVdJ3mrLk|bAIk}?oHeErk+SB4m`Rs0t|)F#X2n&letFksl;2vY zCw*NciCo?wwQv(Qw%_Bf9W#8{w@+nh);TWcRg-3Mix8?rMBKY_q3*rG5N1u2F`3-7 zYuJGi-BG=?0>XVZ_$C4FJ z^1wJ7dIG4J>odav@LQgXX-1dT*t*m(FnrbkuBr!KI@cC^*7t~w#=Ep(CCp=z1pxy6 ztbF}3E27n~?ah5k+&NWV^lf&($*bcf`){yKYZktxnt3l!Dh;@mwp5?I-M863y)Md^ zo=p%-p5B?~F4c(+)Yf^jxkoO2w3eUkn21(fxtaGpaUfgC|9H>SGbvZhe4Fc@8iJ2Ybf?41n z%!A1~#Gwrrfo=g=@s{`!MCH~6s+NZeX|jc(?z4Tgqw2?d&eJGW zdpwGa?XI#ay+DJKBtO2F@`4|=6@Yhe&J8d*=;@YS8Ccv}Ya7S+nsKI5#nCXgMjSqg z(sfS}t3%V>RD}*TP&SwYzy>0+2zy9&Q0$zUfYOtD@z*gcLWa}~$YAsXY}b3J8Pxr# zIC3>{g(s8U>T=1wce>pqJMkfG>I^Z`Hg~15`sK9hCu=k6Giuia)+N%HSO(U!%HEPtyu0Bz>&ILll4yXOcjY=o!~faqt=D$k$&+VeW{v_QS5Q|1D^P$Ra6gqb{s66rE9 z#*HM%hWq>ESH8Jvdbq;Ct+0tW6GU!~zUhWg)K5Lnx-7t_hPf+RbB_c*q_gGhRcbIV1T4>niwYxC01?e6%6>)D~7U9`u(>KyjwBrUZv zEv4{VL`_sq2%=P~C7l8ZBC0TsOG&YGRqytvrgv!(r-io#E)gKzq5<#;_I^wQY_x;G zS_6>#**LAhh~y(lPkz21&KilUk)$By|=#R`R+gX}+4hBIHxN?rU4OB4VO*0nOh+7(;t49pSWCa&$k! zUXhUyjZ5w(?-i@VxRWfxcSJd^B}dg1WkZ8+Z18Bkia8}kYoDtG1w2acx(+^Jj>gZ= zo-=#?^yza!>K!w`myedVf4?6>2>#(|P$S2Cn@!AuYu?nXDEU7*6g2T}N;h50&{5%x zqO&1fmBR)$o9$lu0J1yqcul2nfqoJDT5W1dgoZMlM5jj z|MZ-dEJJZM>J9!j`{g(dNlYMSRvRDFa3E%FEnD|)0HQd}By-@C^Hv5Rsl%=Bj3!@8*!Lz`o2rRprC85Df>j^WY|9f||kuxDKBcITPQL zlxqRe+p*g;8?kfC)pnZQW-IgOk7Hf7VnsL;+}i3nn}{Fac%QLY;H<*oIkXAldDJx- z-Z(ehlCT>{ja%Y-a9U!Ar%LdUNm{%V&&&f~Lz*Bc8@4W=xSbVo>DzllEhY5d8)eM3M z>&4ozI*)Oy{1EIrzrK2koYRy%TtW~Zo^@YcgJNDVp}&?8-(N*xfj2V{6bcBtf_6mLVW{)-^9RIX@a^<}U>uY`zu3#%8`<7@r}bdf z`YkICg(=fQ-RJsGcNO4;<0Ar%1#^G%E;9Cqm#jT0eiUJ=QWe;6IP%^kEsbX2>fk*+ zvEtivzBHI0=V=vvfNJ%=O4jpM&VvQxBN_Fph9fD!9MwzJ!);$Q-Lzq}g2LGA>0yRCWi1bV?Io7Y z6{sw_?{f3TCk30`coB3*S;4m?Ey1euVR(C%%dE)Ix$eW#B`+aqS^Ac$Iz5l;HJuRy zkx(%44Da(ZK}wIV{)8oYCwpEbu?3OpVLj__hI#$jKSJ@84h1qdKfOy~)RJ=`nN8ih zN;<-&DiU}1vjJJ0lYt^X@Qc8NbwY`-!FC#=&a-F3XR%Vt{8o{p<)p0NvRO>gS=;7< zyr2t1H>wwH`btY1XlsgVvK-&~^ytc$f!M{9TBKam*{iz}vXmZiolCtRZ_Dmav(j*g z3ohZhx#?;JW0+!-6yBNNhsoG@@hk3y(G`PSOP87M~?sKx4 zKXhQ`Z+4w{FIJf9Qj0Wm_I@hS{`luystsYKksmy-K`$vXv@vcT)g+kf>e5YOs(vFhX5*J@!QA`p?@sj02oqotBF&b zsMYXn7xjcgfRMjM@0c6lOqVZIo3#HQO|UG&`rjRv#UKCO@v5=v^QP-I^UK8dMI0LK z+dBM5+8@h!Kzz=7z4;B4J0bGrDI4HTO;t>+0`=a?*1_BFIpcu3suS@$;Jlb_loZ>b znekiExW}R`@&c@&Ke4rC_2b*brmFsDVocwky4}!rKsbn5j%t^V*}g6oc8@`E!>|L2 zN);I^ax&5H^D(P4#REgboSUlKzPkrp^!w{%+O|cnAm%J#$Fz_kRDb;S4vxTyNxD8a zIg&hHKp@Xh_x37%d<9W23K8DY;U3AEl{V>+bY}4@`Z@Xi4N0JM_-$e-umO9vwwq-C z_x+#8F)gms!eU|Xy3kShh_eRX0Etl`I#1mMP06+4+t-;%3#FKK(igYmH*PxYTp8Xk z;LH-4tDH4CGQKW*M#RJsz7FC1nUA-7mv0c(MGiyiS$SbO+q9+Nm@DLzS8YaF#9>&e^-`>whCt7kp;ZvjK3X_>JuDefv$_aDL2%wxkOW zLy`hH_x9R+m~i$PC43{}rpur2cQH9$bMteO9fe6>+gcj7*>ndG;)>*Yga!vtiWGr$ zMS1MwEiA@MBC};x@cU$0;gW<-DqbG&bZYx^8sM_G6O$rOtk=GMuntxg13o1Vq}~V1 zV>l^iscKcxPSOed<2lwt$q7>2v{-$y?#Lu?loxkxm_(fZpUj_wClUZw&AcaxPU0}O zIAZb8-P7xnz+hwL+5IEM==ZhdF)Pepn2es~oNF{+_;JhaYd-*U2pg~g zefW1rz53SIPEnCwvChsr7fSBsI|)Y7Z15ZN7f*9+G`FcZY1_A@>61q9^+8M^*?nXw zC!rI(QGoXUN!8ic)m5Y*d@D%qtLTBu4LXID*~tb-S1kLBuVSIC9SG2m$u1)zsP@Ej=~Io#9w?;pT2D*?d)>FZCp(& zr3PJazP9#2{5nJqgbA$w7`7>1Rwz6r;XuE-{P~$YoS?Ah-pgeoI6=zvLu<+8;qA}j zzJf&jF9AaFFmB`9giRMUk+Us&t#S&mZ!cwJe>d?#8YY%R+6^8tac|oYfBOFLi$v;% zG%4KhEOUcAz4b1q$+B*4{R7kYxmZEPb0dkf1!gR-uDL|#Gx%Qtr{bUL7(zX>VgAsM zaaQ}B0QGbDzuyS<=cPVPI}C0^fV`7cis4NSZi6#lgNmN zw?c<6J^p2YZwI{tVFYo|r0P{G`~2K6W~V(Qk*HO z`kPWjSU{Z)`KHN7JP5n#;FA6_l4rIFCgsSRkpnTZH>qtsS0>GMU-S7mnITDWV@{3R z+(2=2?6lEB;RBL`*S#x~8~&EHQw*Ed$VuvJAq;8pX_M`*;6v6D~zpY6+b-YBWdM}<8KnT%Q=CiUy9E%eI;?yHp?7BEubGsnTX*{P!0WO z;~UTY`a+pcmd)4jBeLx!;BX5y&1=ukxDM!je^NCSv$q)efV?DE3)gmUb5;R}`XT|* z?>-aXy=_7hFg&{;W*?v|9-Zv?t_A>R>VPksu}#gvgqx;o-6EkcQuzWK>g0-O?|J(D zunVyf# zreM19FVQMrN((HCoUY7V$Gn|<1z>lZhrpLhyGzAS}2%)y0r7Tf=7V74Ma48Z_sv-72rmBWonO}IM z)#@H({2=|LdWJ+Qqe(Kk)r+TRSIu6#uY~Iu}KxRtEwbpT<8W{=-zz2%~Us z0NS@^biU}^3fg?MgHQ*%pPt%ur%=B~MFXT6$o%zwpB>IHi3OguI3BurK1YCZPh%~# zG^4KQb{!~3cG_wn;{-QNMgFy?dGx8uTw2M*K!ttmA^$4dyQOT!wWOR1SGW4BmPT{h zX#!%$(36X)JtKWs)(9P^?U}A@OZF1_rKQmwx?~@Dxt}NlJ?<#Yvs~MxClPbC1{+o7 zsA{V_0~ty8((8!v!cJHZdJcGtzHA&tS56?ab_pt;UrkmJu*9S>@fnfBo8>jgktdTS zR-Hd~>IFhJ4xuA9nTn&Pl6AZG<1EQ7TGAo(kI=$;Z>RR@$wg>5m!vIlbb({|A5mVi z&rV>}3p{&ywc`WkcNcN{zATLo>M1g~)zdx0$~n360f%x21x;Q48@6#FDP_MRCyYR1 zs_^&_$ic9E5foGNCg7Oty*|Yss)I2tLB19_(u&M_M@4z`KEIrw7H_?Z@_Z61x#v^Z z^cU@>9cXWU<%K@ zXZT=b<*(zdjQw-rbD$sR0_#P#_ZUeZ01C?R_{FAC{V|j(7pDR@h#VmyZIdXzhEmh@ z%gTz$D$}xD8uy4Z;+b2~xs4Ns8v`nQl*7?hq*)oh@Y8sV7sIDW&-QP~%o=%jKv3bz zIuOP1ukz1xE(2x9oo>GlJ*=RxJ!%7^D&6W6vi7MM`qXgUaE?oyMqzVO9r1P| zb5?GC9|g)j1MiesGkPQ)*?x#aJc4n2#k37l@(4MUZX&kfmZ{GlZ4wCI`agk1b$EdG zjfyWqUaWD;Iko8yuWNe!e_ltK^FY`{tHmdl%ES$E(z&E_iG!iVrq#x72<0u=dk?V4 zVT4YX#~qvo&-)WU(g>SosCNllrHC&-bJF(qouFd&Uir=|QLnBvgE;E6n`x-}cJGSf zVKb~6`Ud-{&x^76D$klu8Mk`ePboq_m{JW$7z*T2qf24kx8Y%c*W{x25OG{iPF`h$ zK6_XxoZ1pcyA?N_f>7$3)Tem$CAg=cLg$Aw<`e||Z{mi3SYqxM+dYUx%#4G<>IZk5A5$ z<47#^&6&O~TY>K%Ex1&&COFVQ?uD)jT=YOX8Xu?uKdXYN{Y-hZx+6FhLguH%rqmqZ zAmLP-Jci2~BkBOx7dWeDLPu|qp_QsJC17o^%| zck`jv#*G)qyG~venLQ%oVf8JYqlZhQG)k}8j+7s^dhltSjtcvHbV{}TZrsJOs=ooZ zIo0fG$8Nyf()e~pU8@Ls6Y6`6l@zaT|4;yv^qf7@b-jP9#nR-D#BoXIZkq4Q2XWg% zB(N1_e+MoP(-eRfuwn$2fEe36y~>f)0dJCH7;YWfjEIx&XS5K{a1{%nTOfTA04}gU zQ-;}W_3w_^Y^f%PEhAN9P?#-oofxUoa3&S{Gc=d#y`XCTyLXe{FSWtT^T(xxdO-SH66xZJ7lRN@ zAGd+i>!Z*uS=^fCHyR==Q>e3;iup}Zo5*J|Wf-v>1j)P!h+Z650znMqmGzkwY`b8S ztkQMT53b~+D@2&3z@Gq=u!=_D5#OP-AGGxn_7OMO#rpwmei|C=@i+tORooPi*k81t z?2w}q*|Oh&>Mq*ZJNf|oa)_tj>QyYFmU|Fo9Q~N)dB35lC~ON~h{wsL^_*^pC>T_v zLlO5&Rx3RyAQHs*_79at`5D@BF7zSqKD%7$S$5Xm4|#t3we99wRxfv1&pzhEhcZp< zSIj}s0qZd%F}q2@ur~C&od%q8Uh)r<3pzcP0uS+DUV00M-3)lurgF2O$mL_i{tO@_ zNmh}LO7#Kj^d_|KHP-PPnHxY-61;@}mi#xeM0Dfe3rw{Z=6;gh7-~Dfsn`dwd`w7x zbtftk6zeV!zm?b9zpC9(~JuUE5gYiNcXd2QfL zX{k-4o%N>uZ<&r|*Sx=yE0VtmTD=`;o#c@@eIR7>65fPlGCEY}6W@FFP&MKhdD0UA zH>CDql+h>uQ)-ir(BOC$^*d&0entZ4mbhM7Xf7CEo<%>q$&(x-H*XHBofRB7#mv|X zvAK(?0q@!BxV`cx^fd#m@O@_NemVD<@rtxTBk!1UBZPyM-Rc1KCm#J}8}fQK7)8H7 zKj7UMYaRnqZ(_ro3kn6tdnbiOk-TPS%ZWp&FMNtH@yBXk1Fhtkm1^XaP$iON24vMy zq>I3qG?JW3-Jg6^tSl)_J`~ApRm>uXD1QG5q0x%T1PzGT7&GhpLTmjN$_gZjwo&2; zGb>#8{v>rL^v)@%f!OTvq&S5C-leI%2mD2TWMF5ucC%SbdP6g z2aSzsj?Y9zh%OMxLVGAaPpSsD^-7OP9#}GFp0k+BBnw`mBypaW+2O<>Ij3;*D14?O zJnS;kuw@sP@ z2E0RiMulTl$Q!pk>TX9D>a5lrEv;(^k^gBqr1B4%Pp)&3c{Izu<>&&Xbm4+WuHQsX zK$U|hKDs33x`NZ$wqQgyXo&9Xr>}xR*Z=hy;rB7>5&rL6yD9}Ts8jcZ0>I<@J6eyCAHurdxABZu z!wt|=AGA-C9frespm1clt{g*rC-&=5I$u7nK?Z=dD_v!GP-F z!h+kZadz)WA;)+k(DVS^Xne75&3l{E&xC%(&8~OjG`n_+HM7rxBPAQyEn>LgWY#X8 zDU2nzDzcL}P$kMJOd!X{iTw$A;5z28+-H4z5l}-i7%sZ!VtBJ+;65!jW`c|O=VZ`1 zutwp|Dk6nD_4h}wAqX4Ji#||{WQhlrPHd@~kNYekNYP!tHK; zsDnqXsl!d(6J;bj8Qu6y;oMMQzorwjwj0}%yr`UBnN5BCknhp%mry<>9aLParF-UJ zK#u%va*N}dT@`Wsze*uL8{9mD-M0Fi%`nFQ%-ue(R9yJqz0*2HP@?LEmojX;q_*W z?tJHj_X_qr%`=uar<5pzh;%zn&)WvfNw@R0$k^o}gdvCub%cR=>~5k9&!May)*+z; zrrnBpOzk*=t~))F-A&zx;hx5K9UbX71LgDLvwnmo`zTz+T&dagF{x5GM-jCXnEZe- z_U?L-Z}Q88IAML|C2=g&W7K-?nw4kl2in@9z_dN%&ZsSPW2M1Vl?*y!B=u4RmQIKZ zGQVbMjLHElR4FC+Flb4Yo@sN@(w2uR^>z% zM1rtn8WRp%alk#>61KLhhNLMeI}CTu58uK)9%2S@IoTK`loMd9h)^V*_Qx&E4nQKX ze}|@U88YHP0WNQ=_L>p5yn_4_S~<_j>evyNY|rNb7V_SWO>FBacF^Qi_ogzEcL3ch zf3nG{Ai|d|8Y|QA%8v8=D!l%`mBGfS9v9`;j>;KDIwzl`=@=JAdKXVcZTh>8^q~D% zEz3^GYod~tg0R+b4&;o>!f2yUiEluNRSEjpwRy~~S;UJZ-~MJ|^9#g5=?UTrpygoz zZB71I@)6qVpuh;s1s|V$fyiO1nIh+PGSr=0k@!yMyfR|@ z^-gQI$4hx$KAj1hka%t=HQY8Yc4eCG@aXVu`j&qqD`>?hF5C%Q=~G$ZRzkOTeo*Nd z^*~IWR~H{x5mrkcpnsB%;(h>^%X*Xes-&bN8o?UY5`!u@6~WK%!L*S)3jziGsl~um z25OP+5+8!wAVqGQ7~A#zWUL~P`(c0}_$2ga$2$CL0ndVHaasTl&|{DEPq4U4+!g15 ziD)xnBYoJRjDCZaJU-)F>)eU^{Xuavd#wKAYCHZ$YFIhKuooDM81$i98^?uSw3q%> z%NuSw$Pk*5*@)-fj}KFplbvXR{Q5oXDK|HJh9@ut0G@ttap<9 zU9#@Vta@KIM~f6YLXr`Dk4Q(Or^UN?!?NNd0>lfuQ_}qewcds^JnA0OS$KLLlW7Zr zo6hjmpkGQ5`2fs7>|YAzdjZAd9=C_pUuw3FAdxD1wjd2IY%ex9epuUjs7!PGx*JM7 zQbQkPIhO-hiy;;M#d{+(y$eGfL0&Txh5A~a|2)%w{aUuD!oy(W#*Lu@`mD5%+Nju1 zc*~Tkou#}8$F!2%frNU$$b~Eia)%`qVn5PF2K-fe;4aDNA2KTms+=$G$p>3v54qI} zA?Hpbvv8twVkcM?ni^kYzCAf--3Gh|NpTFK3+f6wU1p~J^C*4jUFRcR)ZY|S)HCE1 z&A+~o@iLtjSlL>rQ?+OKobLBsr)H5_1JuI{LSp8|0PUo4QWet5(DQkA09;aNSi52K zrSC>+g72y+uQO(z`A4#01X-&~<`Qv{M|rWH&1uR1lYC_jpof>?(FQ;pQQ$Fir3YaK zAG7wBdP|TzG#e&9T;jOO6;S)BP~Hkc7XX23k>x57;f?rYMWn8cKrs!a*`Rn(V~XxH z+EC%#X^aveo(awC11&?Hb-LcJD3mfS4=ovo-_f#nrHv@EBAKR66;yAX%W7nL(Cqb zh!f#NNbc9?FbCA~k=#%U2!|#Jjk8~1)H*!sW=Pg!%rLveGXmiDCYWrv4AoQms0d>5 zX$oJ4Qrr``1Nz#7kR|3RQtr8H+VG-Umb_}Jht&hqoYy>r*h@MmEM`5k;&cxmPd}Xg zI5wg$a3G*n&&?yw_RycHW^hSSm>b{(RtBng)vrY$-{k1)U9fTunk|!^_Q2LSqo+_K zIegQwWpY#g>Oshe4@#wJ=q(SCFO%ohk5Omh899jNhv=gt7`*!opUVU$Ejzn)zn9$E zdM(qBmXZ8LN`g2&)E4)(HU8b!{QSAY6DHCf$%E2kqg{GLzElYi#LS?Qh-4d(6?4sP zMdwP8fBMlXTT-4%<3zO1W414SP0#jjk%7Cq?B5l)D983x;gt4zQ7o6;Algq)SeL7( z+2eF{a>CP+7Mse<3ip}5{cI;UjSJ&Nq^s+Jt|RQ1-c;j=zHz#m_!M_hd|+Bw1K$@N z#Uq}mr+3(W6raj|!BLhX!xO^9KmNNzrxgJ^C99FtI|bMBdl5R|p65VcSqBM1e@Ib}v(ZeaD-LY)1=Z-{Km z7yLJu2MgZO^gWAPxM+PTYt9J122GzAOfvcyAnO$6#l`%|+(C{o&7$r`?E~xnT9+Q_ z5PVGJ6pq=w1$aCbg7jL!POwuzczcRg{+a^h!YT(+VHPzDI0>LGRDt$uf4xcY2!mwG zW$M5MtO1QrZnPs+{z^soO~XlQQ|$6&1z>yasrD)J0~LQ=VU1^MKoHZiLep?QOqL{_ z%~{a0jGO>f{Fk3(UFjm>D9VPs%os8$@_$vM%w??ygd%Emorbb}sxCtzd_XOmSn zkHzJ(52k zmR<*Z(ZHn4v=Y7}WM2|EW(+Lb;?mc1(=}dQ!egt)X?nXn%We+fyn90Q5`agh;TZ*M zH$zc`jhk=oL`dbG=krh@(u3hs6BE09KGmz23q`m3@$`-^2M1X@(HxLwJ_R2OTRp~8 z;7pMm2aXJYmFNK^oTL=33S)##aD6Rc+6-m#sk1I@oN_CWPW;d)sgxd<4wbC;U~CrrDIGiS3^2GiMj^tWCMS6xzBha_J%bD zi$FU2sGvc7d~)sKTp1Bt%6HwUW)(^v`WG1{VhtV4OD}dzs34tUY5qCm_y=Kn{#Frv z2Wp;$+DzW6(leOMe;l1tW#D9VdLpJuGcuti@^lsQDwLvMn~akXR{ZbXc)3Zo!D<(|1Y=0Egz6~MUX z=iN@9$+kP3cNpyW=legDk4z-THarKMdP1f0e>13JRH9U#bbAf19O*d1%{}EmKDYhF z?uy{y)Q_WM9EV>otg40k_)HRBHN84pqCWFibmJ^2E9}sq5x_-WRcV)TaI)|5W~2n_m== zNFU5Bvdxo|Nk3n7YP#rI$#qwHe~}UT`Vr5xt8v<*pNg&@7!oc|n(P-h*LQreZ#Fr8 zVqm{@H|nIk+pdoH@SBOvpBkHqr6$@+ z2JM~d zI1N-9u171(f`Zy&e24#&aOI9l z<5Yo}gYCN^Iza9fBjxCtFVY9tj`B#Di$>+z&Cyedbj? z1KtF?1hYimqG4!yKL_Z;lDgCZ*V%L>%aAbsmI6aj6U7D zBwSnOI%+K-SGPVZO)7x9XFfV^RQcgojH~J8 zqDAGIfkll3rq{{xMHojUC`5H~Bc2qBvXCHT@=S>^r;n90uhi3z6M03~QD>RblxcBc z81vpI*1JV8;*%P$H_|3a4SN$n z@72+->%E6hIDYjZu05|z43>Ci538+wRtizHW)<|Ao5D-4ukyirTRuG(aA_)aLm5(N>0!K^?7q~II-`w&@#Ij%)`A~)MqK48eELDY_~*<7#A6x>LgNvKg_*W`lmdmQomu> z&(_}c%EzxNq%)Xgw1HBW{yB%p@w2?=V+*3g;V1aY6?#gv(e|!c;dRn4y4>UzqYdZ= zRL3sp(UwraSUqX~W4f-(K_j_EstO*_^>`02CPqa(VN1c4yP!O6QuOmK+WN(B>2bsQ zRD37yEWNm$QBp~So`QS!A zrEu7gl^e_(uY0DBy0RJHCdgDC!+9xlMNc+lw;i2q2?YVAF!SGW^-9DNGCl;s?>*hy zg%f7p%H|dav3qAYf4Fk|PbM!0uJJX_T0is01Q_GJc*W}D_E++~a_!Tl30(twW+DFDJ_2Oyd8N2DEDtGogO7wag))jCo~tLC>(SIWerQv+VE?<;PV5+8m0TIw-) zw;)n4@)pKY+%&`!ViIXw7hX&3Gr5~`9+VD2-zl*qdKy}d+0dan8W7$_{zb+7{4azh zLHvbkwi;)PvA;BNcJRhe!*=!J6Ciq`=(S`T?og!USQVB%v&eJ)=6DM%B0{H;GMN-~ zG&>In+*tJ0+-c^4B18=|cLTeO=TarEmL_)@=Zs-OjMS-t=C#rm{1#@@CWZqwoH>DO zLN1<(@y*Z5GfF#RqSjrv%HVe(S`rp$0BK(S7}$FIhPAtVgr=_DNDHeTV(u35#vX8_ zprCnm^GT%Y0&emC zk)p0|?QmVnblXDl30*O|kL?G_spbhtc%;{`LRo&6K?2}$!V~KPr_;M_81Li`Am!(2 zOfk`$+_9amSu%^xUM6MYcBR4~A>B>kbq^ep)9X0*Q0dI^8wDc$xt->W+4YD!_#>2a z{Y-j=i!>i;;AE*j_YBMBOp$3oQJBr{>}EUf%=sSNp_XgJNF;mzCZ;$LCEW$l2XpSy!MmDHfR~C#BPJ> z|46PrbuaQl@{4X*`6z!aUsxZDdEiPm<9(5>df28KJN%@3@UCUoh?UO>#wHN%q)-6y zg}8_s14JBc(cu;q3{RW7<%q(;x;Au-&n_B2B0w-mw!Ef`T`WkHqY2tvFbRKibc7 zumUqRg-^Eq^(dQDRLXwwQDUK--S@E=0r&!`m#i*t#9208t23k?5Tb1BQUtdug~`Kgwzl1Ul~72{#11G@EW>jb!h*x&3375d^Ex^oj< z+JJAiIZapu0!|)SPWFIycFMy0$U_TQy3KEnDTnUVf~wvn0Dlv0;d{G7^rSb42i|As z>1(%;8@YsyL8=Gd?m#2HQg;Lmk06epg-z{+nS!)jmF;We%;4Z^{&axMvS#9aqhY;yw&2E&k z)d-j{fXheeu76><}o0ypU zTBk~ELw6$qmy11w<5z6EQXoF5ZFglr6z4gy=ZGn0Acm3uZZ{JuB21 zq2)x2!3T(&DV4hT1=I_de@vivL8A>sr)<3m`#t1uW!y-vP^*)Rm}ZmG^HPg+YZ(Bj zxC~F~4{z7arp2$@MBdt6&GezIily-T@mAv0mI11H@O5!rcsANSpF^p&vhxVJgt2LziUQ z&P1wDM4D5x#}=KMu!(hu7qBw`0xNd-gIzBvi$=P!Ds@l5aklh=C74j8I;*bb+JO#z ztTPS6)SbVWu5-$Ijf#tfz-+uR&(L~=Rys#PowqE!4DjQDRdD%%@K@g zy)(pFYrfKJ9OVufGS4UVh_#Qk8*@J`iVx9=l{Gt69%O3mV|E%`N0o$Ve5u@oocUMR z*ivqb;&V3_z6!KM=9>A?BjG0^$QOjR9Rlgv6mEar93_byCHDzbZ1 z7>wBw8c`P)RzfXZLmgqNuuzc03%hF>BN+es2_3MI}Yb`i_X zOK*}U(0bh#?;9PgiTdaI)J-YY^7p*0(lZJShsv#a4aoIb!aI~tPFF8wd50{$cvD_F zRH^ax-H&msCFkGT+3(j!IxR4Q0>Y1CmFEU*y9xEMIEW=WQjp@n?txVg$G4qg3Q=)A zs22eAISQ&SyPb$*k^h47C+L1qq!=XBp@7;?7qqSlM-7MmbcQbUb`IX`U?84EOT*6? zHB1ECqnv;QAj{Tf3>#o)*kMhAJXQ)Jj};lu^lK`6nHGwny1mXzy`@VzRD&KIVBxHi|w3KVRlMSpgHLM7tqj;3&|6*5H1rI?`%Pr`|gD0v}WIEL3@SWW^ zrzWhNWg_4a4ik;;-nO0Wz0)t!;o@c5(Nkr9r!m(pNZFn)Eo*W+5AI2lA{;EL%B>hJ ztSn)Xkyig*#}Ir1gO>r!jot+mADw@c>44=QH4381x|w@sOjBw}Ntwdoo+tH1r) z0iA3_msgbdo>1Y|I_4h3Gp-hvLg3WZaUj6&IqI19%nv(d^oSpclr5QcBGordJg58L zrSjy5-*-UI=T&%VTcf!$iY!bGi2&JFn8-)ps244DzPi-s;ww3}W*%C%xQ(_6UUJE| za_Abh@{Fi27TyUs-ZLnr6n@I2&oHpj>+!p`_>Zin7F&>Ib=dn-Ll^$cv6$ur2q6f* z_khTLFe0IkTKuO>)lNkb!RWsY<$kX%@3ZhpyzLy6IJ|xJDT7aGP$u&!? zg>gmx$0m&H$^+eX|1RvbxcNkzs<((mhr!&gdO2|p>IGKlN94z1$0_aC-T{^RwE~>l zYHNRg>JJW!$`Tsud_Us+vB#LOJO1FF0C2FQeDuLYhZH1a2fEb#UWNrJZ+}3WG z=M~3StS^emC6)?jF6p-vXlRYa30H}UR0o$v{|YFODGRV79$CdKPm6DOE#i(ve~s2< zy#_uDc9_n4lRf}8z&cDX?uEn2M(W#x9-OF}_#x)?yhX&5U|uo0aW_m5h&FPY0^2{| z{qaE%+!08coNVKQ^Ddeq-~CX9heZZlieDN|azJ$HxW^__FL#aSlZ?_-i%iYm7TvIx zJ5K(rc*Eb5GW2l6s<`3oS#gxpT6jxo&N`VGnr@6q-{wXT-amMOP~D&8v@ zPW1%;=qNJ}SX(Kuwyb4k8ATL<2gS<0Ro8{%r{0ke!qB{@5o=RvMI zE5*O!?(Y1|o;s>#H9soF+i7;bCuIWWCGZn3m!`H)H{*@Q6Zl#{O^Yl3r?&W0G&n2y z13C5xp_(_g4PQduioy}G8=wWA2gIYtcN-UZrMtdGmG^2JFxBy0DEcjRoofg3|9)V1 zQJ}l{xR5{1D-moyTZoSI23B^yUY<9pAV0V?j)9f5ZQ9_Z8AYzon{L+@!?)fqmKjXh z-`ul%sDD<&VzN+z4B0}qR^TVg0_z@>-=Jop2WLry23V5%@UwX+-LZ@b?rX>rDiM0P z?G$@(UYqsqairJtCDTTVjoMdmgYMW%I5w?GS3(;s|IJI-J(ugLb{ z{zCX|3Pk=z_W|(0=O)2+*wc9xuxtCLx?E+wLx7&qWVVJ`ib|vrU66|DY2s{m7G-)8 zd6e5h^#Q0vMga4rq>`XDdmWnsbVMgkSeGC42o?Jc_M(l#o*W;wkFl!Y0Cv7n*P*_!fCh7bfnrYuDt*=;DKIVySNzhKgvlQ zRd^Fd52cECgl|4!pCrL}tlqm+l$F&1?ZcEmL#cWS99|}05E^nyt={vpNCMMIGAFK? z@>!OZakZA}=a-u2VUAm39NuP>8s)EP^`CYkCAE}Iq=V?GLR6nE!jUZ-$jO7qsjrUsDSdy6tfOIHdP&_!| z zD+~SASir^wKNr9GXy@{%uc5;^MEREH0e%f(6R#SY)UqKEGO!$O*5iNX2jMXLV&q@7 zAHJc$7*Do*q%gg`iWGWw`Z+y!tP6J-pzVbA=rbQj{V$FaM;E@)M3r}AsOa7ol~^?& z){S3)azyEB^25BFnev`&norGiwzKiww#3+^+d5ULqxA!Q9rjFtbvC@Ajtp}FYU^_39yKRYUXvMox(|X0vde!e~TURAvuyM7UC#MEV zOvMX6@A<{A$91r#5bnU0k<;&uGg zG~v@AU!UJdHguM3d{`OLK*H4{H%LYLD z5ixPU{Gl}vSE|O(>3Lj{A$54^YID@0avH7{aDpQ_h?KVQH zFgLIM<=Uv?JyM{&NngK%-0@#0`rMJ?!(7AU&jF2aMAN+>RFs$G6Wn|rNI=(9Ik7s&u z{2wWav`nCK93#Tn&pupT zM*4Rj+oiFN9_mvfBtosr8D*CKV~kn0iM+8=U>W>ZR8)LJ>E;eO8671!xc7(6^#9{B zhN*(sAU2|p5%QIUF=g+x88g^z5mupGDrV5N40c$>%<5L+9QY8CAu5K#(^!v#rCy#i zTlgPEd3@Z|`LVG-xiKJ317qU}*rR3&;k?`55h#Z>zfEuxvEyQmm-e`_*nb z-)YiJK*U_hMEi$CvJvHAaa)$0ChtW8if84G@C;g2*tI!aoHkBlg*@i$znZdi9;bws zeMe>^hOQkF4}E9rzRd>G*b?V)QY^c-8#9e$b`)UuISc^9SYv^$EneGIto1Ab z|LTTq-)9H{wZ@K^JcDvc@`ut9U&sv|*Z_lxE602E9>)HG&(`M8AIST)`8O5`HkSGg0PoC-Zu{@L zBnk-_nq)*_-LMu7A;pSTn7mStMGP?5E$hwc;oIc(pU`2lJt77j z(ZUMmZ1t! zxM4sEBSXGD%&NV0=W~>ohOfQ15^VL>V4>kUAk>9-_YE*{*sl|k^%p#b4`a69sho{` zqy=z6od-&n+pxb#UlN8d0d&^qgN;RAG-`4|{WWjUx_!T4SX;MD!}@G2Y8=pCe{*OL z_O(uxCBjzsE_em70}%{D%Iz=0`hGhc{U+#U4rx)dwY#f?4nWI`B9?CpJ4K*6nO=d0 zn{*sKa9;|yd7Cy z&FqpE$pAN^@Xuf!w?A5ySxP=7xFzT8`%qE`s>{-|ffpY!d zpaI-M+N25Z?eP}#O0TA4uTCNFPGB$A)TW>YZc1W~u4WXx?0UMAL%DG)^}BBiAe1g# zwyT&CjRj47mR(Eo`YAFE17cpS?iLPPV=-YE3!BIf$pr;z%XbFEWBRI@9$u+=ae{|$ zz!SHO0h`8l1tY3eX6p0-bH2)}|4LIMc^cI&uUtJpP51Au*Oj2^#n!RD<_g0R)?*Lr z#D6C9xDYzqE;c_Nt2;0UhIAk-|s!K7?_U~aOBY!%B;C_63E0e zc0UO>)>~g!aIrV$s~D&9d0ooasT|qPyLv1F1zYvm_Si4Lz6B&)2ARy5GP2iBhXd*_ z=(D=`*1{zL;KZ;Nub^u}qkR*vS)4oK`0Xk$!v;h%gE_b)mc-ch2(Avf7p4Op-eLvy zz0a%!KBc_iZrUskOAkzt%m>Tl(#(ouD}lyfYiJn#`ckRzdXpW2qL7EHd`kAk;tDk{q={h3OB}49o3n6(NRz=*#)mHA(k|O8^qqj;_;xYf17q2V*dB995V^Mc}cICe0Wl{Hqy4qBGcUYx_G4&*{dzW?WF& z=>y~%&@=PA{eNx)TX_@V^LEC0z6oIIfRhgMV&W|F8dm=d0V-=;Q*QmkRqdgs%*_u_ zWt&6Rp0a_rt_&Q4dDb(0q6x}F2R{ZwRnZxesLzNrXr-I9`|2)me+6f;!)$Rzqjlb4 zoni9b9UBr2p^L(k{Qfl!Q|FnA_Ghs3_79y%)%kO)fnu|FM-XXuofXP%8FV}Ey~j)p z)RF`w1Z7t?bn@dLxE?r=CpfH*g<%jheG^DgGH6fgwE%?{oFA6VKDkm4z#C?<5kD!tS#r)=|*uGwEGjdwh4S4h6)=L}ZN>^5QNM8&|hD;wp zY3U%}7xp#asY6iP-T(aYCRAPA8jbB*;Wn`ega;;?RyN zAa;0+dGO7w?Lc{W6TbtLV%g802EuGM32YIK2JF_G$fV)TGXXSc4#-NlR-D^dh|9B4 zW~)F|w)$t=!vFu{60Nu0MKPnjQ1M%f$U3;S}!}t9|qczYpc>F){ zry>;-SJ`iNAv`$o{lN~Vud!mrCdg{#YJ^_;Sd zSBH8E{Pd)br+Y%E1SaSHG8>oBR~}f|)CN?L5#Lxu`Q|@s?y;e!IarQiZ0kW;*w{9^ z>Ae2c5pTkxr|~62x6h)7KmY~0Gb``TWq96_7%QIxOBp|Z_pyQ*2JAi1WjtgBU#`tp z{gJz#)1@^!D`qBf1ttRaPVVg}7z~x6k^B%l@tXr+IjY(Qv;Wh5AWy11-m@I>JHXM! zCwnMqws?Q?Fk`kregE96uw?B@Zn+j{~Khd3VsnJte$Aul+Mu-48 z%0ln~BkNt{UlD(T78)bEZa~&~Um5sJJjv&8)*t1AcvZ>3pu)<07IgUVbWQj=0bxeJ z7PaQ&7NLZ~uK|lK*}#88K)1WywW3CVHibkm_O0xfUMjS|QCi-a77@J9Z1QPqBKc+2 z{xkN0te1y zg0b=Di}S}`-~-7Uc0`gZnV!6LH*{iuUJte_#)R?VIL;_86yI72f%lCGHDg(edqFebOZ;13WSm0N?OA{ z3(E4$)$en>b?g6Pqn*6^icfzuk}pV?N>eMG@(Tw4#5QBhEyOVYlc#x*lc=HRc0JR; z@qr*|+x-@L#2-8*XGG@M3Df>Q3MjFqg zfm^Jt7mYw-Y2;Mq3{SncfWM{wWvYUf-Q|{1|Io zIs=1|nNQ?ImKiL<#Ak8^^EB3Fx;;e1=GFO6%o%x~@|lQ;f$!8$I<8qUoag|Zga&;6PJX{9U|LbLdvVD%nT@;>7kmONaO!_8||WX zIJqR@fKj{1>N>gPeL*$ zlzN+G#*`EjT!=z|s-PoY@+V-D3e`Fh_J*EogxEH<{gQQ06Zg z!vkpKe|~};5X5&xr^s)P6(1%$Yz-9;d4N*h)xa`kR-mB=zc~ndp;yxqBae!1Z-A%S z;StD&Z2`&fuYGNYBAq4QP>@`={pl#UXErCh)9DG~udub}_E;YJ#6|{;P13Smqit7- zNk3A|#5HJ4AUZq(YCw4`dXqs4Q%+Fh3F=^EPV3@IX4gY03oU|NpMtfx70&37UJp6^ z^0AYnv4Zk5fj*wJmxC&^owD6#oH?%EMENn>U=rHPfE{gFDkv9H+G+vjuRr%f%a=s8 zS?9V>^_)ESvqsQZD6TZPY}AjMUK32R%mvdd@8t%fZBCV*$H+_-7f2sgUv5Rg>w{+~}n|GQ0mWKF3GqC7TqaS?9VEDDaGLEvK={VlDS6H8UB-%g^z38pP7fn`!{1z z^MBI0>USwKROlLwS)S{UW)hf!h{Cp#Z_d%1cm_@OHvK408N0$HXIrd>u2+Nad5$Yr zPi$tw{>ThTKFs&b0If36N_RzvG~i%hYs(?+A^X5dkZd1s0FSR7_lt>KVf(Yi8;j0} zocPU=KN*zO3R}Qc#%0a5ZM{oN-tJpw>)?b}GkmwIni&Y#B<6-~HEdKiV>~zE+K+nJ zm_L){-0!!c=Z#zhmeWqVe`2an2RFRNBo_uYkGa3y-Ui=e8=edHA-{eLEw>Hef5;ZW zQttQ8K8yl8$lklTI`cr+B zL8v@ADW#<7{|P|Z^*(w&zAHX{fY9!+Xu#4~VOi(4!$aJ!-U|dx-YDh zG`+0xd^)y2D!Nh6ItWLg=H|M-a!x*d--yULeJ}i*_gmyXjjzPg7~CPpWO?aJd;bA} z9cmw554fnSP*EG5@}M1CpL+-Y`+OVP;-;Lh+ZM@y*u9 zH5V`HOS+RvObR{5wt2q$=c(_rqf*g19(1PfQ7QdapRquj%;LXqsgoUiUz`87tm9;Wt!<`Oan&kM7-z}< zd^H&TaOeQwdna|3gVGY4l!;Vvt6uhVCi!60=}OP5F_wS327%?-a}BYKiyy`_!7^~9 zpJBhK=mbjH3ZT0|(lU>T>t^4Lv>ZJdj-uN!N_61;K$r{)aqnPy*DwAQoo{e5Nom@~ zDQ)5h_sJ&}7t9hUF8?(ndZeDS&NCwjrFqa!RMJN#j#67&@Kv?9%lYoY{p2-&%jcVf zRMj*_c@J*K2Gl&MQUI~tlD5`WSnwjVOWdYSrzs^oJ~*4^-y9A(o0PF7g`|u2kvD3_ zt70tr1X9w{XtI9495koRI)GvWO`?=2(qqWHx- zPGswqB&3wuzT2Q=+br>D3;Nu=@mq-V=hK<)2}CD-zNGvM5L5YB%A?K^%XGk#m)RbE zr6s>*nGc>W`ax5@`03!y)Bh59+qBn_e=T-c`gktJJ*@DYJ zm+H;d>&`wQ|FH`W$fHdv&RD_? zHjy+Ce!m$UFT~-Q?5vE(wh;qcOax{*M9TMXIhWi>0+z|!KKr+%A7<<+4Ma9ys=Nlm zq6ipR-hQ5*eON2lsC)vG>X+DIAhl*pn$5xHvq51WOLl|Bf_h;%3{NX^o`FOo)|lHn z6`u0)A>lZa;Qh_@Im4{KX;F{aEg+rg&wx_Wdcn~PX|G0(`iA4a07)-G14y)mr9c#` z@>ftFG{sFe2rBiltxI7_m#~)m@}K_|2f)7r5 z*;}ArlcnTA5Cp^LnE$CLTY3!Hr!`*s=*OtJ-*oY;FTse*Z(2ETbGZela_QkCZ4h+< zMYwh#g}1T)>aMpyX36|aDCyAM^RJLQ-~@+hU@O7RA%+)K~{Pmjy=O?zQX3PY-S6xp~|ZIz}=Jyk-3_{ld6E-d8jE@wn;r^V=gd*N~%C zd7{5kvrn&6y#q*m-qVda=MS7EY@?Dmj?Ra1^&f1@Y#(uLL!IZc_ppB*bua70iGVPt z%K_~`jQ-)a$iOrhJ7FH<33_}D`>iqF>6Uw=>CcdZ3v*7H7qS)@8Wy}>+hVu< z$KMEQoB#6vsck-CVUho`@-riGryvguAwc&a=hJVF{=Y%|jNO3E4l7dHFA?}W+YJ9h zKV~6noLdO1h`(>DQQe!{&JvfK5FO7z@L|!A z!s+!kDapQ~Ig$-(YIop$5s%;7m0A`gp@4Gnb``NuzvJerk%h+0;q*sJ%4bZojk_C5 z1T`~O2gvulq8xI&FSVvyOT~0*Xk5y4<)V~o{OZg#(OXFyX8zmmDrY;a36723oUct90O`S8r&SzfZ;N^#xSQu5l4OSLUmjC>`m> z7nNZi>-Z2c&G$a>IMKs(RS5Fwo(i-u*Q7lnr&VNRq-O}lOMf1p3d;-BbkDbqPfR&s zb=9cV)Xvh|q$)(SCpQBLc@*Mn5glzQJQXFEuScAeTD8BmvZ3mCx@+(tQenEKt^63t z3T>|EB6l+AeX+QwLWq>`U81EGb@OxU&4crfpp7Q_==kzWZm%mLMWp&|o>4=LnL?mq z3c1I4*j>$+zd5I*;Zt&Fux|LdHVF!;<x{6!C2-7O->TPI7npiou zX31l&ZS5~>RB&tQ1?;AR_z8MOTv(j5OPDR6s|4pp(Lw$Ir%m2UazHRq24X5|I)Z+I zQP+N)80%nREu*P!c8DoMjd?|G6QDmYre7VUIv8Vl>4`qKF&~R&tM#-Az6?(cD% zK6w#@3K+Y=CZNDuQ_jpWg~hm>v8;^*Q!7174@`5R*)516Jy+esUwpAIAUc~c?@yQ5 z2ufK4GejNJYrnLI;-K<6>tw6!ZMWHPALQg|beM6S?PV${A9V$8^xb-E1UPbN!}eg% zz*7~0264)PW5dQ}M2YvNf7?cJnMhEf!*33f;3?o2$0}gIIj)6giW8M%0}+c9xN>34 z?0WHUjv!oB6suhJ(N%+U1Xk1+W$DopfVMDd&GhJuf`FxDs`CCn+Xt<%UsMG1C%d3u zCGrvl3#EoKg`TD(MuV9zb#M);o5>!HYo8m>Cb1Ai_AlBaZvu1!mWRvGzRyswxggymU+#l-j{Bk;av8>?v2$ABcrAbS z?T0KHqNzI?F-4Hy+*VztTN7an`NC7urCrkj!)#lGcz-qOultaG`LyceA&*O+`$Xlj zCkV_j?V_Hkd2s1UAlZLLMIe|-(T;nveLz(D^ZiJBNE<||fXFMxeMA`dUy z`m-XxIM>(RIgfMy%^_jRz{%$sqy&{-)8!m?TU}rL&9Ogig@_Y7Xa1W*%C;k>P&3bL z7|*_mTAnm}rlR$LzI`Eu<~!cbZhT8%4hjl+f>J@cG$cBc3OaVex`o<=;QyV}m4jP; zXKncH62KrppKnM#a;%S#GG5?vl*Gr`^z_{}l2 z**X4}zynb`1f|qQ%5NNydD+fZ!%wS4-PyQhF~f1tw6cxg+|NZ+3ALa>lt+4i9OgM080xE{7C27B-{r*6 z27V8Y0f~A?m|mMO-5(1+$}P6MKPk=xkrd5Jm-9>ZY}hN@K?EmovNpj=%7X;v$P|9) z9Eu&9B}oq;j=}+PI|xWFSHPKdL4BJnZ_jq2vjb^?^0Yk)B9xf|9iVDUbT^hcP@;pP zD=mS7$@U>`rWR$-jBZ~jxW}R;{N|YbR3UzN*~jnN7ZeK@1F+wmw~Jp#HaoOHxc>>B z#&(s*b)rtDLWv%5H^N@M76b9cjmZwijVHhQ%`q7ADw5<1-Cy-NDK{vFBc%qc!0!nT zv%0f}J8`_JC_ab^VRw1}q|-jamJ7+R9(>yV2gi!)@B&o?X)IH#fiadtBi;e^-J^@* z?DdP%bOdone9OE=wXk!z8VXJ?0efeX_nFGAD*9$T6ItIOk7H`$^43Wc8v*(r1ZH|p zcN5MXbb_wx^qWxj{?+oJrx8&WcXnaSx~kB2A>B$Uffss^5{o$acGbx|=iw(yO_1{2 zrLpgW>tY=Nm!L=K6aA=zT16~+u@I&2oz7(7_N!Emk{!7b%?CGW$}F$1S$IZy9-%y# zDjw2?+1q5_ZFr(~`ZGO&2vpIby$<5p8$)2%KM{Jc^vP+hKbL==Q8xKx&pn)|!5LS};3JPS_ zK_O(LCK%(zpO*Nuz?{HqJam(V0mn(X^GR6-$;695{TaYl9)LCdpAWlw6}oe06-QUX zf%s9N4jRAZ=(VSvno;GIOS13W&3pauotcjBlY3+Tr252!Sla|4Z}g|FY(6^O7$kPA z@cdzzX!e@DUyz8R)Qc|j4pZGp%?QJ$Z+FXGR1=e>72Quh=!hO1^18n9d=Wm`ZQijI z_|wBtK@a8z1VvPK{pv=ome))(i!Ut~zs&+8;R8#STfTkN@mU!DU~bH0BpBA#xp55+ zw$?H~%W(us+$IalhCa5^6RA->CGhVr!+&@!yo-fQ&124Fu1THi_LnuBl3Jaq=6_c# zWMm@Kt!3DnZnS}utn) zEO4K*>r*2POzhFOQ$N0V!4&@G=9S9lXldcsO>mpMU68|=Blu!+Gi=kho148Vs;U%z z3EtnW8Sq!}yyhM|t--PLk4paKg;axMZStpYR0*Dj@i+kC5}LPp`T6-VjP!APnyu;J z13=)5a^@PRssU|P9O*?%7u0bJ`hTv}2y)PMN{ zfh779nLnK^9Oi$zS~!Z+opJn}8$wj+pFifJ#pz=Jmoow7+-degwhuA00Kf(H zD0o8!8Uc;PRkzsv=6G8ikE(LQ?awEkfg^VxKklG&_P82|knaSB4IsV*QA7&0mL#nJ zX_F3|`mNP4V3VW3lO(jLqzR<&eL}_0L{;xpH2WZ-IX7T@(Zfd;;M_ehI@o$#_Si5> zdt-GC@+0}bKKL=be7KJFB>O@~P#-5&oE3yd9as{7r6k;f@w1PUMph-7t~GCP9X~#8{>d)=nB#c2p?U(gTgH1(8LrR83DzEzyxgETXvSPtU1sFP_Mu+!&liIHW~ z%KO5jGXtz5G9z>O8=ITMj=tAr{{y;s9SUO}hQfv#SF-32XM7S>J*N;JllXeN)OO9U zkr9!BE=4|Ke)%w4Ko+rmJx?i?VtY;uLKTuO3>L!eb28Rw6)v{KvBv;9tMS`ppYaA8 zuDI>KYMBu7g(A6A%NohLvkpZb41+n3Cfp14Dv;2{iw6EbWuN@!7*#U!5AV14k5{ax zqpq$PvtuXxKw+$}5=JN*6B+J0_LJ@-4-`1El-XXb63Lh-B^Dl>8{!7ijEj*Z9}{ee3+%(H|# z#nXydGys>)0UfGg4^XC@+oXq}lsQ}4=i;|QY2t_xjqGc?UrVDup~&SjZSj;xA|PN1 z!iph&91j4c5pndrt>Kdv{w(*wO=ZTDGSGN%YQslTBw14LG$H{%$Nm=hc5xx-ed0>@1xh1Q`Zps*&4(Ff7{Ia7zEv3bqe*5h!33E z_fV^(FB(-pARJdQV_uqG)#jzAJ9(0Uc>gT~t^D{(o5)rpqGG>6LyuM9KuF~**=(k1 zq`LMRv%O{;oC&)i1|kcpz8h)d%ifRlsyd}9G~&rLV}|YN=rm2jrlLh@IR3nT;sXje-G%6hYs z%%B4BT`|*}Fs4L(A<}eDE!DNB57DQCYzC((A?%1)*h+4KcEtJbi_mJ)7|%dY$`{md z{%SS5pR&zPOa{O6)PcY^q2Nj{wc3Hgb}9r9{tDRW2T-e)83uHRLx+2`N2FguKY9$; z8`g0g?5yA28s<&+XL)`_`qNFSeu3`ZSCKT0IB4<=Zuc0B%qlWl17)4f{a-fP2Azih zoEBG8I5h$;aOqg%JP^dh(NHS>?CuZ{KFoe>>ZNAUzEmHCAf^GfxAKnXUF2mE)H(7I z6gb&oEVFqWjN^F3%i^Cxvd;q>+`i&-zK556-;S55Y02^y$TOh34MAWPsHzkV>E9fO zScoAnNW&9}^KF;D&TRFQ{kJ<=i~?@aZU#)^v+@Bd7Pmvb7^O_iJ%Kt)Vs{>hJw!2| zAUemBCwUbYc<$4Y>eUl7@}+q8f>gPzn`R?!pYK0V6PW?)e1OZ-i2lG(x;wWQvb?SW z?vrAWvC@L<)!!VGcgFekgG zcov0NB0%x$xoJP=kKmY@IR(uvRcHcYKLoSH5TN~1NWt%3I;xA?p!kMEz4l&SMN(#g zu^;!SFk?Mk1tpJva;35<%2SJwK^&wWw71Mkq$(%Qf$VSr65db^NkCdktJx-z$VW^V)BYB9sk?POVVP zdo|gx&tMPDO;a^)*mX8z+j!CDWyl%-ZT{wP{RZN_K4`VBi0=_P?yil*HAll1NknUX zH*(7jeAJ54v`#nnipA7Z?yvf%~r^ zJhm}k$*cSEyN>;reMst;gWuovmY=rS&3sT=yCl?RAj!(ba9LTAtfH@;kKcZ1qm&Dz zcUL|^u@$|1^GfH+vb;+OQc6a3B9hXQU)%*s6aOf)+I*tw(Ra{)PzF(y4S2E_o?rx}1MPg_?%rS0h!sZABu6E*O zzB_6R-FVP;%8*OX@g9toNr`}9PV5}-UaC}Vto`vOo9sEHMK3XTT^skFSxwsEoEecc zs_bxFjOqB=VTuJ(dBs}X!QOY4-seQx*39fq{h1n;i&v^o|G%{CfBE~9%V_$4^TSVN z31BCShJqP)|7R{l;g&QUb@;{SO=L-A0qKN7UAa_f@Cl&mLq!pb25Hz9KpXt;DDD55 zl*6(MVBRI{<7?-T`xx)%mtF!*aLFgb!W<7QX)`#?u|%FOa1;tC zr%7BAQutJ-$eR4oNc!oxs~g2?I+Ww zu%o&tQ5d)$b6`?S-1zFC6ATZJdPK)nJjYkym`gBcd>E?EDf}Bz@)^z2L{Ht6_Gxl? zo4rKWbl`J$?rhRPtr_kS{+q)k>` zKYu^u3N;m|GwYW*$9kUiY@rZAMHK)h(Sl_i&1$u?&(TF*dT)em5%$4YIDd$Cl2aWZDah0k65C3A(X``u; zOacE8h4m`n@q+gyOgLGM#YnGQ6`GY8WW|g*R|jWQXxDXU5ziVk*;qE>+J~=(EaV zTeGF%rFi1m+d;bGR3F(@8;{kuwKIDXb*?jBvqjRKxL7y7sPm5rz({i(fq6{#D1L;Y z09;at#Uv=I3(uS*Ltm5UkZYEB+~72dg=kT?Obdnr`}K|+--LgkP!>3rKKPUIt_efb@A5lM_(kDFp>I{&1wX;5s7~q>>Ut>@~4uW~t^{b;Y+SECiW3Q$+k47D1{d zFGNl?hv>bU%Q`+vp0QmW0O=|<`rxLzP{?T_=%P!|?gQjS=L(XPMl4(Jj*u295M(3u zlGBdK@;e9pKbhoOYWDCK*q0&~u7de;iz<6~n)dPd2Vg>)&m5fCPkVrS6qxud3iYiS z)q^3VEvxf-{bcH9&UB9?ex9TGWY8CE?Zy~pOP(Jn1c99qmmgRh?H;5Lti;8Lb0>P* z^F%quAQ+4YC$aow5@}fNR+XvyuG+8=NZ#=L<$hC;J*FZ1b?gej0aqyCx43^Y&5;sO z7n?7f5Zo{MTz1Gpb2@;NPB9|=tOgQ89{B?{kwsD$c;Y&ZDC~g*9q7>d*0CcT?QltWwK^@dTC+n{8;0Rs zMp!`aFh7~l3)c(t)%SHV^wb?$STWtu_IQ&YFS~q!I~e@7EYeK`MgjP%WbD1_?M|=^ zeQt?kh@;;DrL?eS;v*0u`W$FxHtApOgT5(|x9%j4t4l4$)}2@-KwD=)aQuPrvL0*_ zlC_f{qgN6D!uk)8(!T94xL!G?J!XX*PZD(_mbrD!O3h)%LH!+B#$&HyDwvEOt@nwu zq)#eh>DLhSX-gmekeeu~NLUaHLrV}a%7Hmc!#sck;P?%@TX;lhwfDzgApSN&jFQBv zos6rl-11XobJ{OVE?q&jeTK;qH!~+$Q9lpHz~=*P&oD4C$%iP7}#)mb9%tP9smd> z=PCi>11GQ`F)$+b`7F@eZ_V4uvwqcsrR%YQ%INI&Vs78+h|*{K^7a<)D!)d1^|naL zBO=KPDQs>d2$IDTU^upZlRoJB2cFa{c%ixlv9v{vP7sRvA#5Be)deXJ;~s0|vbZ@V$T9D8Alx((Zh; zQPW_NMCi;GD;SwX@Gt0~Ze!O)5ViXYvx2!KN?Z=RYk4MBH&{kvcL1ySTK1j4hU|~GwnXMGwNXXkofzPPG4be zwKeI(97bgStY*`M`#5G>b$2vvDZ=1=PSBUG7Ow5A$r_)^uOY=9$~ULAD%EOpyb3)U zIW2-sN~vdD$%%6#VU4r#Q@ zn=*qZd2Uu^TAmh#?!z%UI@&DJtA=+`$pJvN8UW-~NR#8K@_#QIqa*^5x!8^=$F z)e0GoA6*VN8@kJ`=T=%3!^4WiQ-h1obAB)CUs{%m;_I@B%+Et>Jz;-vbMKHQX?WKC zmCUs8ii2Zqu&b*RAM*hJv!L9J*#)sqFlUKH&}hpSt#>}L&C&1h6WK0LU#9C`-`AWp ze{c50R*{u7W1u69+aT$iM_61y*yIBJghzfnx*6!ge;v$V13Qo2r|PcBX;dsDp#pckRl@!lJN0eh#NGCqAp0hf}(K(5;{Lbr^ zIhomOI-mCf6dXRKXis3CZmcVud9uNNpUJkSt5kfM9 zJzUW-K3-SYV{dM!=X#jNnDb86m!wY^xtYUUOk`?mm8)j$D!=ioOFd{ytm(dQx7}U%^-gQ$v&k3pbSKLg8<-ZDTA`J6z}PplRJ+mE%b$f64CpOS zisAda&BR&wPIWSO?y4nUntARz;sp1eS$S+$iCU?Dcf6S+bTERK)jA$B9b z?>=#jw}{-&r8`6`>){?X@~b4D2$gV=F8jnkagTPGHRLB}isw}HBc_!y{n`SHJLtyI z(0K1{u`UzT9yI4_jA5?dZSxx@+y+Y@yG-pPV?d|)c@e$6m{e=JHYKvOVPVnUlUqUg z{8)Y7`&&36_LJ6eGJk3@2CHUmb(d-PjSBwNlO4DvRd>p)pi5Qu4OohHd1c+es{Y*m zf*0o2rl~L7T$D}hjywX2lmZ?hVqcYKtc7eWQbu`_IhGPnnpc;#9zI@s%4shQL=s}G z8Wdpz#_ASnG0I<4Xg33LtSHGcJwP;9O$X|G4ss9`P)!!TzVU~{RTm>@(GhSxUPDm@ z?fyXyH`bN6GnI>fGKo`n-TF!+w5T}{XI9L?Fnr0}`&2k`pm(p2?s;ZS)ovzjpCDE> zb7Xcka{zKs1O6qTibslL1lg%MoH^sPMJNA&=MDDBA>zIS>>IUHy^Cp5Gw@?D1rsBO zyNSGv4Tk<$v#dBO((uNTh(2}mfgQiMCt)bx-j3-Ze{7W_H&fFai#zo%MzMzc#XP&2 z*-85zS*$+C2uPLSU8YnHKnA;}yL+@UI7m4(H$^!(6r1_xN&+UV^Zu|sfS8e`z!B>A zk&WVPPy0keJoo9$j4ZFFXj$BJiz3`+B@>nI_d_OzC; z^;F|bJAe|M_lCofSaaY}MV@BIc5!BPY|O!v^EpK>LAsEWKjI9?l&kM~$TQ^O`ud7_mq#L@4YyG0MmU#gMjwqFst z6oPqxWh0p#A?rKf2Bklm4jO7yVdshhdm5TC6U*X|Vb+pyDy_#EMfvP-WjXP@)NH^) zIiAXu&_#59v_RJw%=qEov6xSD-`QKkqrropn}PIZ=V_lSYv5F4lvKfTYA8i#v2A8` z%!?65^bZ4cP3)v%r8HIH?G68lg|o3puMj%2z#T{$t=1oSxgVP zocRRVUbMf+jMs~4Pz$Bq65OO>z&D|vq{8C(an)<@FS$>OrIR=$k zY@jNAVFA*Mz=IU1xLO!t8#EcF=hM31ae!c=xT671KAoaO290#NfQcorBrN6G?jH%` zOTU;-&NR~9ADR2 zB%6#&u$TV^VET8(z$H15Bx_d`1p%XkUoUl_d?7{gYzR`sQoxdoNBX>4ssVKzu&S+n zPGCaZ9Xf6RSdNv&x2hxPA79KO~9=axZ{_s!7_}i7L5G$2jD2%uy{1m zq8CM5_MSt*3azReg zL?@x2Og~2Dn~9GSQ7@;tp|dyOGz7Vj*sNdo>y9kkIzab!dTy4uy>&#rt#lV7D74EiO6s;>F_3PY{Gn@H zz2aBkCZPoM4=qgGMVsone@&&?JN^v-dL-DH)a$Jp41*<~V=i!7+P)#6$Lg^Rw_faq zlMyIacm$_D9Q$r`Ik^$U`$xy)V2eE0*$-wfCxLcDm9v1U?zhu>-D2Trv~Ji)-@L(Q zG8!m(-8|N$I)7N(g!syG_4U2B+r32v|A<|a@AfKu_D!TYBFHvnK)l3?E@>yH0}~a0 zedLSE;Mc8n_f1T_jc3q_BZ{fSM^1%=Y?oa8?rYggcT_^=}MMc%2;lAT7@*y zjH=_iZPj4rb+tj^!V_hh%Tu!QKWI0jALN}t=A5%*{&FJ9hI~uaAgOK99cXU)&jO_| z?-291i|REuZ=^K=&8;GZlPl>N)Uv~*)XKJm-u-Xc(L*uj=H{p4;@*j0zs4H>j)?^=L{+2*Nyv1W zcRPtU))4NjN(iOuj=Z@3Iq?t90-lQaUC`seFLL=MYmBP=71lg)cT#EXj5jI0aCcQq zr%p^EXu*q>tNZEi%sMSKtmpq{v~kkes}d&T1B~eYwLQQySUJARd-(bv-Y?Uh#ov@Wc;6!w}ae*f`cCoZ|lj*@@KjVWj@joO4Wf0 zO`4<^iy8W-uZn<$Z_qXI-Ze?{s+}*doe8U&kTOd(25++w%6ui1k*en`LMAiLKloK) z@cfs~`?FtF250L9KpTtT@H4+GH)^J&Bh7rGrlhgJZdQXSXw_`!dN*&wMp0E{=@T{X zxXyk zja5B+%%Sn)ZR%f@tkEf-%rb0VGZy3+*&!*VjTX--$eo+R2<-9BgC&>e`EKW~x0^yZ zE2{vVuNm%gh-s20?T5Qrje_OdxljWJy0W#UN=isRI9UF}y)OYivYmDpeED1#$(Z5F zbO@c$p)rkn#?Jro3kG1vyD5j4WYgcQG(Hqj9@*~su4tk_}NY`qXS$8A{EjT~^;?63Xn;dTuMfM0P792jPIE{JP ztru4>U($aNSEo!7RqSAXQ+i-{A*V*%v;0USFmcxH!V+` zqHkzzbX7M)PdmSetqg5eaHUaQr{Za<9ocEX#CTwTZ+VMezO-Ws!*?H}fhgX3u3+yt z?nT5yJxG_|vLz5;kIPPV4p?c5qv;an9HO~O^$LKELn_gh0E~o{LZY~w#f6^t_kl;V zN1p=~pfwXIYS>lu&fc(RzMb%r#|ZJ17yvG*3Bc*emhf9HW@2b;EHjYKHaBn~p!PD9 zxbBBbr~!^KprS$>O4`E{%diu0_R;itWPI%aB*WjfSr>(&(}x=)Oc&o}tx<;`m0rgE{P~ihWIF4B=;#6G`MFF@MbiLI^98n<+56PVCK322EV{>LVVmT>Z z*dHS7I0#K=Tiy+On-;>noJ_(j4Qa*`afx6M@vL(%61Q%5uqenrqeHwPZ2g21D-+h@ zQ*>mpHH7D+_%!z20;D7PS{ssd8GAUm86`;fQ%RurA&IM?b_jSx*P#DRNk2>c_}kD@ z(%mB=N|Tuq41d%>uu|tF3Ru=g#x+e-Atx&JMqp>Fzy`MR6FSs61PP(XC@Ry2h%4=miKT2^5w{Uvdp3p`8GK3&M>h|9O+S#9%UMLQ`?%#V=%0JChse9@%cKMI4ZS1ZjdBHwV z`EvLd0_0L}{*&ox1fXRkB=i;q6L{P3dpO1*eqqv>;mO@0Af!+ckmMy_gCpjU>-La~ zLzhF_>>6F_ZCh-i-j1Cy2t>1O67UyM5(4l#Og#a`zJMf-(Fsam_UiDM>!T^4<1iXueA#lI-op zPl%o`m2Ah*3LWv#GEW4Ic-KF0W`QochotPdQrtRUcg~`S~1tw81G#N>jNVQ91p-ppE<4 z*dijHxuPKwWUQ{PgUXt+^^W;#Jw`i;_6rOnKO~Z7I*71@z_(n|W423-UaHU37itmiZC2{XN9s^@>apwRNu>E1WLy7;~_hsTp&ab3b;sZtwC7{=+>tkBFA3KErb{2gjC40iS6~hx|CZU&|_w@-QrsU8fH-VAR6??Bb`ZMwG8M z&%-yVi82B}oCy#b=S^xmfV9#OQ`ma!q)N-#2g&pEBxn*((~+}R(QCOJjGZ=}yGH1!G{IS?ft_m1xe+G zxto8LI=PwtZs_OB7)vx;H_Y)GD^e=%1_L(&-g}U!v6V+3p|mvOUel=I)U74a7vU4epE?*xxxaP#fFI zUw@?P9*U~Ds-oj%r@eaKv0SIA8+TLJ5}E34e)l)~ocl@n)^^Vi2jXul9Cv(K9UV;P zyzB}>;T>g-v}w7a?YSshVbj!p^}QR(u`fuhm!C$}C0TK+2R}2%`bBwr8+s$$w{!4m z*+)kWd+45~&&i`IvQ5=0P3(h%oz=1&eXc^OMnbvSRJ5*Xda;s{uD^eJ;Y@lFEL7KE zu6D+&uGD+`$cc;RW0x>b5l_*39SNc4)RFUO9^-m%spT!CEOe)=NxI&`K5I5Aj-!4J zTNr^`KcPktF7*$W1pSL%Yj!}*!}CDApK|gTKz68(V0W~ZmP2N+W69JU;b8AocAz5% z5(_p%*cNOTnPD(G6%n5*e_|bjSo3h*E?+Qu{WY^MjI*x~R~}%n-HOC7DfxI$BM~Z9 z+)8lWwVb9yx#%j;nMj9Ros&|BwnE5HYT=BwO-<&+)bw&>H}EiNKqrC&f6;LTXVp{c|&sbI^g6M9nIO zd?0IgkYYrzZgIvomC`}dj}Se|0M@6PALy0f%H6`}00sf-qz%r7;9{O)EhgXyA@HUT zfX*Bib0E9=1ck;Oat))p;HgmS9z~=@Fds-Fu#O5m2U%`7K-@)5tqktKsk)>0-|LXl z;{~!V&j4`-U(W8``rn6iaZ`1gObar|ZUcH!c=FcW9%eA(DAJn|<%-1vJ*8n5JRNng z_n`hiO$7~81s4JXP8b|7S8%PV zH~Lz`5PK8erSwoD?U@1dqzT#iYUsOf5~p@&ep67xDr@}%)D;NF^aq;?&QtF!B-j$zl%J~%0v{P;I^9i=-=qhA8y%VzHvs8#8`*@I z)X|_R&vgg^=o&Rnc6^Y`>w9<4yD}3@#e}y^60)dC?7fBQLHD)JXo)}g{{0_+t-Fe9 zUH3~(q=>oirt2DKpN>p@-klf?BTJ4ww@5du!8WJY;O#9^EVMx6=x-#HuLEoNC{`}H z`q#TJ|9d?DzZd4i``CgHhvXo5PB+{LKybpO+?~SaRZKqcI!XWTA1@q;8O_j-C*f|B zh=0ozs9cC$R0nm^XDJ0oQb_4+MW%@vjO`1eKjst0$Zmf|2{WF$c&wp1A;fE8cV(SJ zAA%h&cne_f_kwQFoGhYv8IohC!I!jk=fW_9B@86nmIn+wKz3bKf+r9dp2@}2VDnE7 zjBvVbU`?&Aj1fo-UACi?MS7_M(TFZh(l(3YI-pNkRExekh6KkaWhtlulH5zsRG+v` z>)D6QpHsLo)NHk^;;sI@|31f>(?f^To+df%V^C}T?2$i?C*5?X!mc-w>2Nd})edyH zKFfo!@E**k=h#9x1NHG3X?m|rKB_=MY>&jScKJ<5D8F!pVc=-F>{+D~2DWyN+r6`7 zLES-26@At1$S&G&A%)6#EPfSHyrpfbP+VI1&f=OSVTk3fMWAv7hy*)NRepid$>`)N z%M)gQvEdHh843o W11DM5O4u2^wDCT)ddTu~>i+;6c+36( diff --git a/build/shared/lib/theme/buttons.gif b/build/shared/lib/theme/buttons.gif index 7cc33ceaf38924bc9e9b440e946ab35def5e0d24..4de0905d23dec4147b7d56b70b3a91950cd355e9 100644 GIT binary patch delta 3005 zcmV;u3qtg>6@waoM@dFFIbp^CV*vL60RR90_4@kl_V@7i_UZNY<@58{?CsX;?9=M% z(Bk96;o`vA+P2%=wc6XW+1jYn)c?!?rqa{-#{lrg2KB@Mnaa$V%FFV?0Pw&7=(+&s zxd7$20EWK6(76+UyS#n5yW6h-z_J?GtN?(rwA88q)2RS|(Wd}Sx4X-t07iBW ztALm^v5o*yn47PR03oHRAET*HmYGeJm~fIhN|Tirp`{g{rJ{lWqk;fLjgJYNp#_` z0EUb(d3=X#004xD0ECJzcX};$c>sclEOmGQeuOJ?c70?3C~tCmVgPz#0C->k0C;>R zZg2p0d;oTObzT4@Y;Ja503&K`bX@=tZgF#50044DcmQ&CA!uuHS^xlWbRcGFZ&?5k zYheIvasX^_ZC3zmRsbDhWdLe!9bseuXl!Xz032XrW>NqEW@;N>VrNnS0Ay%oQIoL( zT$8{8O_RU^O_RU^O_RU^2o@pv1ONvB{{Soi0002S0Am0E2>$>flOqE#e{x}8v*?5#6o(ewc{JkEr%)L}ow`#hRjO96Mr9bNgH{L~ zd^+sxnsx2Wwr(MCC5m=}SeJCa+I1MW>cYNn{{ogP7%)SxZaX+cyjF3; z!GzUL6@j&IU$|};_5h4je>3ODJ0po69ce1&nIa-lPMZ*FYSF8go<^%MP+qrt6WX3U zHMiuMr9%goZF%Qw)vOV^9v!GKdq zvn?+3IPCSmiym+8H$DUjgh-1HgMm0gomcfCT2Ub=1mIKeJyoE2e?^hzUu*K|mtcJm zE;ZkE1{IZrXijJV!WE(c@nD8pDIuB`BNp_O2rOO*UxhNEcbm zc1M1Zlu78S^0k<%sh{d8V{?8ETcelDb^7S1YKRs^2`Fg6e`F4T9yrq-q$vT_g8xx9 zA%RtjhwP|d&Bm%}mPKJ_6%+s(-cq>^NFI3NItxL0c{+ORvbV;iu2xyOdubhtDAGm_ z@dCQrQ-wrA0#v>o^ze$k-34ik<^o*kW#|%ISR2yPrqE`6b$0S+RoXdjxyFKuXR-b| z>M@-VT+l`oe`_5Umt#IB##gW{7R}<#nxaN4WlYyP^Tta@-89m`f?V5eS5(C_|y^wCdGz4Hv= zk-+r;B!K%v+H>#yL)>GpQ2ESTk3Q|{uMa@>!IwY4_wb`XzeDazzfk_{@6Z4H{^K7* zwzs|ne>jAI=rdqL{s+MGK~HwjYv1}Lh`xo4fPclaAO;t>J4bl%gN}$`0Fk!Hk?A`8!0MCKiFiU$#1 z0PNt1McC1fODF&k6N1F%t-*uBTYy6P=tDvRK#*b-9tih{#zTVegMADlB;jZf;jO@f zHaLI+h+xMLFfxwUQ-KGK@X1P&Z~>^CBpVT;$TGU}kuFT38Xt+o_RSK6wM-!#7V(1s zf6z_`hyX(=3vxXJ7{U>DSS2x$_efR75{*A(W(y^`MrE2(h0Xk865B|-CmNuPA#?yD z{xD2}Fz*a!pdA)GXaQ>ugn8Ml<~CW`!B^T-n+@b_YfN1b`C& z5kLeV?3vGN(hdh6ECT>4;6W@<@}11ge#Gk zVB}(0nHolD-t(1aC29U%$UW<|wW4JB!ymZ7fw;;Q5;tTX9~{Ae=IQVtk#%DZ>F3Xm zb}+C`bsu4`XT2S~^E6_B?^tri2f1~WC3*C{17OgRy;q@+?UHfs?sD(`{aL-yn@rHLH z;k(}Wz$aYjt(SuWG%so8OTE$7*CEHVY-=NuU*;(fA`G@u!c9x;SPKF!ypc^h(}D~5`*{!e^}uV7D2I! zS$qN)!`Q?@fx-)D%;Oh8fg(EQF^zp35g{A-$P78Ml4+deB`>+jPDV0A4snAhpWp^J zb_kWNjOB+|S;`9evXP-IW)_pV%qN)gj=%hZEHC8$5Noz_n;n8?CNHGUX1+6?)2wGa zGo;F8zJWskEoMPCWY2sKf3uQj4CaI&`pjis2o&)AV@Xr`&~`3}k|E7v9zcT8LgsXh z2LkF$J9^Iwfis@xoDfwXxzs~;GeUR_30l{B%zfsurv(D*6^nY;pKkQ5dE5di+}ICI z5OzVVVC5Y^q6<8>f0Yl`+PO}%wrf2QTq|1F1?ly#Nv&*ye-K;NkU&EWLY)w6 z^H>l90e8Ef9Bd;4`_yK(b-eYB?@k{C*5l@Nxiw8=DF|c>Gq`~m1d?oin>)$|hlCl} zJ#1a`;Ns-=cE7j1ZGt%bAoBkA#~0S>z&R5(8f$ZS~M-WIH2EOmC@BG`ou6E9k z9&)m0d+dDgc8gB{Z&#nd43LmRjVCX6tkZZ80`bF*XOMV%e_tHfh6nuDzy9;Xw>aZY zw>-GredagRdX5BPt_muhhq zW%`GMVzz_f=Yhs2e#l3DLnwe#27*e~We>4sQKp0rLs(}4=wwl-d_PEp4H0DYr+^WG zg+@kX5b9B=hjdtnc6f&-5fA`7Tf%|v literal 2739 zcmcgr`9DD&xVqg`^eU-ji7eNVp@}P0XppXqFs>}8+gK}GmhP>&$r7b3ov~yK z!%)vWD3iL^?ZyvZsL5_lCF zJ_mRuXdcuASApFo>#TexDgbTuO_E>!FR^!F-5LOT!9j8x`A+~b0zBEc%k*)2HA_rN z#qssyjGCL9<;_z}i!*B12+k1?ocA1rAH{MhJJ>RjhgPM5ID?U+XVkmkD& z&zAW**BYwHT}>&ULjMU}y41-p(;n@}*%@shb6Dd{VS=PctBRe2@C}#h5%5#RurOKh zbp3GD6?NASSw1U@WA++CLHLzn+ub30tshF`lpgF@o|;ScJF|gUZhcPmzHVILQ03IC z<2PMfRdBN^`0#As7s1cra-l(CEYrxO2Vp7l$QH;)8$Q9`EEYo7t6qLu#L0#x)|+E}ixLXhbG$Syt>^knWI7T8#RbsB84v zn0eP*>+Hqk9F5nGX87z^@6L)-P&Z@8a-U|(-&S0VkV`!M;`P8~;@SaLd+ADSh1CeB^Ar(Sp*IplV_)-tJB~JBOB&$YjQ5 z@cI27h2cHuZJ*^a$5c)UCp#}%$D!*nyIy>xxO$)XM84~eW=_;w%iOFYzq1!q-R_xn z_VPo;OIs?0{A8DkiTcXP%33znzUb2AqOc@0fU3ssUUbkXC|T>E$xB5;iV85^)ie~<_DqwW_ssaV}X;LPHGwQAU2ZWQrFaIxS;PyUA~p z)~#2o*No_-ruZ5npAqMz#U>4`x;JBUQkS{@P(egVih_n$W25`=Uy~bZ z9Vr=lty9%nw{+JI>ohKyX)SJv`{bFU(;Rfd-=HJqv&*}b5(q>UmaYoWPX z@@dNY<(>1JRV$ z^rp9o6*CVtV>q<)<{j)0Gda2~9LvC_jr4I=2OzmQ<3@*_PDg*i>+ch#EPyu3KeMeFSC>iD*8yhHvz{%QZdZ0aoM zyf3<-=D`?kqL*0~Ke{A4?j#`-@#^Yq!EA@>*>4ry=KJ`6UkVetw!fy=T6RWXT+fvj zSp!-XI}5L`FLXIZZI)opJ-L(m`NHqb_RZ?Hyhw{)_j3+ahJ@IwCtOKSZ~Doewz~lZ zr>R1ZlLEoOB9Hg1j(fqZKp?G1FR6IObzh)347}aWe;HQj5hHLMv`?yJORBrMQyu?x zlIC#|vi;Un_I+w)EkIL>~RLgNsi{3%yO6)aL{ZSf) zHYi@HgYQe6P7`?c8&t{sJblP#+zsE_G+o2)*A zjnjrsC9yj&h1tA*+Id4Xp*X5&CVi)xhe4NpQ%-)Bf8;*``z7h&-2{(-QL-{zy4xD3;HWnM^;6ZS6P)h%dCt_ue3^a zF|r~myuvEfy`JS!?&Vgl9@k(Wu2r{D3CY*a0U5qY&1BL7GWu!GpzM1Fb$$ zcT*-O#KkZYeXJ!j_2Xz?$Gy8aPS`e&t90)$x?LaM_2{spk1!NA(jeV889W+zK=T~9 z66T?aRWnastt?Ge7N=JGcfzCO<9~>yiczm*Uc;)ll$KU5S*4|xOe=~pU^^dK+>(B1~diiJh+xzbuZ|~iFdXxv# I(-S@T2Us3w b07s!qW$xLU>OYweG^WW`&UzTiz+epkw)h$C literal 832 zcmchWF-~1k3`FNk6wqY>q4=Vun+B9V`9YLyfX2!?QV@wQr6q(CRsh)nLP!+e0Bi)M za*ppJ;_6wp$1~&mzI^?Ba(cO^t=^@csP62JZg*?4s;=ycE_Z2)S)JJ#o$l21Ms;LI zbhtw^>S|{@+HMP^R<*JfEw@O(1{y4e>DKI-$f~Gvm1ZqjW@S{mQp18`WJOfCLPLsP zXF19)3yzGIvJ@qk*a~aFAPq}sQDZUKK!e4wM$qI$WI9u$kF{hG8P3qig#|@N+G(L4 zQuL-G(dlwz4 S{u8`uCv&XkUvOn&um%9b=PNV- literal 891 zcmchWJ8PF=48>2?p*m?@1^v_FP(&(OyewKo|4t$<3Ket-euCoQqJmXiyi9=#UOG9o zo2w{NFpF-kegiKco#HRghcMF{lAN4#^1K%>T{wB_)&WiQSE`PziYl+NDs`4w8I@jX zmFi+-MO1i&Rj7MC%cI=Otz127Sr%npW@Q4Yl%*(n$x0+(0}U3#bgTDtM5bq2rg|+| zM22TrhMEOMk91GBbTuh@Ez&&A(ga6FQ<3tNrPvB(ghyC} zS|4l4+`}zgEf*FP&BH8AsD~82ArDyydH4ZMrm+M4kU$}<0fRIwX@v)i!3G*EwR2r2 zC(K=KB>GrOX67b*MJ_BTy3B=-IE?}Dq8+1Ai00Bsbfr+=Le5{)-0I$} H&0q}xrgacx literal 830 zcmchWF-~1k3`7Ts0y;zs%@-Y_n+BAgkkpiEXe^dNnov_(LJ?#IpCv$=6y89xktmgO zd>4VMXW1UljPLvS>GJ&IW>*{im3pGOvpc%ot;wpovMajWr7320W@mJ|Q_~yOksZ#b5&s7Q-4rlM|8YOpQL)l0{@VLn9X!6dh@&g?dQQ zn~IcE$iok4GL0SRhXe{?4H%?hNh>^93^veUX`GufIpGM6k?3PBnH?6sA{Q1ELk{61 zPGbPPXvb*OVkLe+lWFXL6M|6)Yrr7QB(&hcVz7bMoM^f!lM~`%7>PdCl3DXO#@BW4 zDXtTa&Fd=DyNn*!mv=L|?3g1gg@d$6&rKGO0bbBN2d;#9Xkyjo_S^Ntcitl3?-`qa tyF1b!oz2quC$x8@m;K|b?bGYm--oAPer=zB-XENNe!P15@ph*V{{bxKT0sB+ diff --git a/build/shared/lib/theme/tab-sel-right.gif b/build/shared/lib/theme/tab-sel-right.gif index be24960a7c146e668e0657d3805e406b3e075d4d..4ceb3ed808e7c914c14b07092a09ddc7aaa4d3cf 100644 GIT binary patch literal 87 zcmZ?wbhEHbWMNQbSj52a|NsAg|Nj5`|NqgaFAqO`iQRKRY~fPzg-aEGvM{hS{AbW% r00NL&24>-4^4CI)K&`U58T literal 834 zcmchWF-o3c5QHc2Be6?q5s;r$0gJ#ihV)TGN-touw|RJu~bf?{MvRJcMz zie6_q$}S6zjFz$#C70L=Yrr54OK4GJG1x$Z#jr-uGrOW`~8Z$b|*P zkVE*0(-;6R+A$inScxCdWEwl*gkTiH8ZbyR2`zZA7;K<5Cz@``6 z@pavMitB`9^Sa9PE~Cfw<=u=fJLU*W;UF#2bCbnmfEP5+fh%Dinpm~{_WktY7jKd8 z{~6oo%`53q2eWkk3BBIe?e5#--&cRm|K06=z5j6i_s5%eUoMYtZytW`z2DjB(+igM BT73Wj diff --git a/build/shared/lib/theme/tab-unsel-left.gif b/build/shared/lib/theme/tab-unsel-left.gif index 6ed8391d26ea7dbb039261fe82d1cf64245f3721..cdc98861ff71de9dca40913060bbcb39286dd7e3 100644 GIT binary patch literal 73 zcmZ?wbhEHbWMNQbn8?83yM4F&=AG)xSBo!Ps`!(Ift}$$gAM}_faDpNq~`Rm)HGx| bz)|Q@nR~XT`cLKqjcKx#vmS;rFjxZs8p;Vw)x}ANT!3`z zRfto3@?M0QzL4bPoRjx^`Rc{t)6*So^hfH6>dx-ycDE+0>dLO@a+ju<)tQ~q=}t{= zR7ZA1hdVT*u6DMg?Y2N_RV!Q3a*G6Ppuu98Zq1&FtcogEY1WcuRz{^OH7qDbRz!s> zG^FTtmZR*l;K*nxOHp!(t*{0R(y)XUH5P*nG*}F41WisvrZYAASW6a>;S7yjSWtAN zofhgLMQ?3cH z@BbN_zdLuN8$Fq&^G|5^vA*p+IJv(1@&5Pq-kUF%x5ppuJ$ij{vc3QO{9ya``>*Z0 NpPwH-ySu-uqklLoT`>Rv diff --git a/build/shared/lib/theme/tab-unsel-menu.gif b/build/shared/lib/theme/tab-unsel-menu.gif index 1587067ae045e9795e4b7a12b1dfdc13c3d0756c..3c917711833c08d7619a00215249bcece9e4f970 100644 GIT binary patch literal 114 zcmZ?wbhEHb6l73jSj52KyM4Fw=I#2c*NZP)%1~CtP*B22c3U%`ZH$g7Ccy+L3QHq0udMkooz=DDVS1b4dR9bM*Q6eIig3_%8 zhmMN1w310DS8;K4Qm6RK^C8Uigd`{Docy1w3s=rxxP4e-{g$dDtD?%QtV*3_Rz{^) zTBW)eSrHXpVHN6L&+;huaw}JlT9!qbmsy!WDrG53Ua}Gi*g%8DFx~1s9g*pomZ@G# z7Lnl@mZ4@r(Ief{EnQ8DUW+tOvoyhx(Nv^7Whu788Zb!15?a()3^veUF{~EUIpGl& zq1MM*GWT!`SIdP3Me{HV6Y3#FZ^%OyLLPoVlWFWgKO|5HYrr54OIqQd}akQ_)y}LM^ux%c$(!GPx?fT$dj}CV95e9{gG?4C_3_Kcm zfaW=HCCoz;tHyVid5C=c=NSLpKO=q9+1}g#gk~4DdGPpj(CWDq e?>?WuxO4r_{nbxvr^l1U&+pd1z8&owY5pHd^LD}j diff --git a/build/shared/lib/theme/tab-unsel-mid.gif b/build/shared/lib/theme/tab-unsel-mid.gif index f1adaa67500918cfbf9fb68613bbf431aa338571..c538ad2fedf754de58e11ca1e8712bc2368cb2eb 100644 GIT binary patch literal 54 zcmZ?wbhEHbWMNQbXkcLQ-M(9V;Znt)EDY=n{~2@`fB+=Jz{K0rzmoke|Kd4YZgp?g HX0QeTbXN~1 literal 828 zcmchWF;1LO41_1r7SN$|NEBJ6r9henv^^x8fR+>%*PsE38(2z_y}?R3K}19014Ow< zl*)X5hls1+vOS&|zyIdz_2t$5MqB-rdZN0sJG$Mi$*Q`tE4tjJDQ0zMXLPz#(;L;1 z9ns+q&8VxL?P$9#kXqHsR8)-z#z>ewBW&Fuz}W`Xu2tr6XIeRi9XhnS@Sr? z*LCkHt`m;U>nhW`j2_pQcQd-|m?JEOgS1G`O%{&IxJ?Vk}EJw5g-^Gar6dnrYAt)a)1uQ zJs4E@9{+T43-OcyEe6u@$@ouB7{z^Sj-P!H#=+@guh6P1u+2tr} zNYNWv;fkmb92qTT$)zZ<71n@38kW$a#$vF628&^hpvehmMy5s|Ysu_%q&0G3K{1>W z8A3gz=uJ5lDdgb?G?~T@^g{xLum%j$u%s0pECw5Bur$t1nVbk)VT)4Plw*OzxQy6l)EEQN!#NY70cj{#oLJO{3Xd1zvlKi_VzKk*j% ze#qGV+dPtf>-j95e?m{rba(jl_5R1t5BK}+#rOBOuWpW>`Q!Q3$*(WRvHSD(+v)D> J@#6!%{116VTx9?N diff --git a/build/shared/lib/theme/theme.txt b/build/shared/lib/theme/theme.txt index d3404179c..a0889e64a 100644 --- a/build/shared/lib/theme/theme.txt +++ b/build/shared/lib/theme/theme.txt @@ -1,35 +1,35 @@ # GUI - STATUS -status.notice.fgcolor = #000000 -status.notice.bgcolor = #54919e -status.error.fgcolor = #ffffff -status.error.bgcolor = #662000 +status.notice.fgcolor = #002325 +status.notice.bgcolor = #17A1A5 +status.error.fgcolor = #FFFFFF +status.error.bgcolor = #E34C00 status.edit.fgcolor = #000000 -status.edit.bgcolor = #cc9900 +status.edit.bgcolor = #F1B500 status.font = SansSerif,plain,12 # GUI - TABS # settings for the tabs at the top # (tab images are stored in the lib/theme folder) -header.bgcolor = #216886 -header.text.selected.color = #1a1a00 -header.text.unselected.color = #ffffff +header.bgcolor = #17A1A5 +header.text.selected.color = #005B5B +header.text.unselected.color = #007e82 header.text.font = SansSerif,plain,12 # GUI - CONSOLE console.font = Monospaced,plain,11 console.font.macosx = Monaco,plain,10 console.color = #000000 -console.output.color = #cccccc -console.error.color = #ff3000 +console.output.color = #eeeeee +console.error.color = #E34C00 # GUI - BUTTONS -buttons.bgcolor = #044f6f +buttons.bgcolor = #006468 buttons.status.font = SansSerif,plain,12 buttons.status.color = #ffffff -# GUI - LINESTATUS -linestatus.color = #ffffff -linestatus.bgcolor = #044f6f +# GUI - LINESTATUS +linestatus.color = #17A1A5 +linestatus.bgcolor = #006468 # EDITOR - DETAILS From f363c2dbe0767c56fc194a385bfbd5a6ae076d12 Mon Sep 17 00:00:00 2001 From: David Mellis Date: Wed, 10 Nov 2010 11:53:21 -0500 Subject: [PATCH 06/25] New about image bitmap for Windows launcher. Former-commit-id: 9e92bed4a76422d56c012272d9ade8d61bebb263 --- build/windows/launcher/about.bmp | Bin 382854 -> 468054 bytes 1 file changed, 0 insertions(+), 0 deletions(-) diff --git a/build/windows/launcher/about.bmp b/build/windows/launcher/about.bmp index c8eceac06d0020ef6439eaea893f9084d61f2ade..19b6904b84be4dfd735f717af5fb7b3a689fa704 100755 GIT binary patch literal 468054 zcmeF4X|P|#ncnY}rYfn**euD1RK-qg><@`OsfzKEit}k~yk#n1GGiQnNmViid!}j@ z1KRJ^zG7CfibWecVzIC>NCM9Q!V3ay17-&sgBFbTyGgdWH^1k3y8HjvYRfKU1YC{| zeY)SR`}F&~y_|FYpZcGte(+!P=l&^nxkN-J? z)1(PKlPC5}KBITagq~@W$}#DTp2=tSOq)1<@>xC3?`=hWlY9FnkK>p+u|wZCrKTtM zkfsPS6EsF$Dt}5(|J0uTDPo^;<~Xz{)5Ix_C~_d{gOW2Er-*Tyg-@qwk}h)~YTBge z5+!zu-YhewjO&}&BjU-u)2B%wDqzS8yZcM zL&DmNYA%tSgM9QN3OSe*7U{z53A&86(9qiCQzOwtsc%XMR8%Y8F`!llcGW%2JFN%F z$nT$~+eZ-+U@6BmC9p(Q>uQIY(|Xk!7}+fEZZ5YfDqw-Y_Nz_QPiQ4%qJ4r&DbkTU zXnR^(mej67HCrtppzf}MZ>4WEln_XGYV8|@3W&K2X z(i#zxMvf@uOe!|ebflX=(rHFpNIq>V1E@X+3ADpP8Wk=ocbx7w;p;t<&eCOgTOukN zF^Tv>%b)ah`ylye^y=ugZE}$&jqzVPPuCGG*o-}s&Kwt-SSu|P#&KX3ww?L+y=VNL zjGR1S+{80_C!Q%lfE_Z?Pf*2^GxW~i!CGKsXs~4u3=NZRIk|L>Nd{GVbp8g0Wz_)x zs#4M;);&BpI5a%CpQ#60r7m>Vc)BHCPD*J+T(pBf@b9B2rdaREJTRZ6+1*zLXr{87>f`b1hN1cG!6O! zECIu528}cVLa1rX3ymrsFt?A}LIbI#L4!T0NJAlzoM@4S)0FN=t92ppHO92L>QWg= zz?jCzp2Q0>Wt)ALL{v0gz-FgvwY1RGXb6ZUhc<7-NtDoliiySG4sl|1jU%$nrgG-M z$WUSlMM542qt-DRJvOTfKGYL}`CLXCp>8xu;*vAkxvcrU{Sy_! z5D4S~yi+Qk(^l9$|VpvhF2?i~My`HK+ZbJD&bPPji3s zh_`x~9J9Ki26!Il$Eoo|4ano*&AY3oz?CCDLVu&j#szYQJx;AeMKJtG>O7=OH^!~V z;T$p?%A$-99)ZWgOb3umbx;)5!n!HrIHzg>=OWh53t2ZXLXg(r9I|~%fxhbdDdoHm z0hU*RGaU#xCjlA4bJ@uO<@(4}^{1U>T%|n;MnIoKUWC&oeG|q}af+x2a;Pi~LdDH4 z8-mH*iJ5)EOmd{IMx`RK#)Vua7NngelRF*)ht$w;rn2qE$r$5vR1~o_K2GKJ-!tZ2aP_K(AA5?-qZb%F zFDQUNJow9={+?A^-hKUMg2IQt`RayEnlF@)QD+keRxO>OdaL?yJViKMN5cEL zKP(L{glToa6H&0^gA(E*{5qHE(xh{A%S0NNp=^?aPC-$$bn-2{T^=fdz~C?hSc1}^ zXsp6ixqKaPLM#JJ+3hKU=zUSt4qK`WJHo|lGAf9KC~fBuaEbO9(5!-<*6y}nWHwt| z(|Wli(at0=KK3WU8Tv>Fyo+(N7`qNG)p|sVh!RcJk|Hh}_`uF(#By%cuZ>gG>1JVV z?u&yj1UhOABCQ7TV$nWn_lHItIb5a|B+`FK#0=ddb)+)OfK2z!b5atmLC~eNB8vvq zz-)%WjOQNMyRJdf7=QtJf6MdMQgoVmN8D7pZ*y;#sFf!hdwFw_} z7EC_#8ifWHn$A#lNW*&|bi9+37AOlxEYJ)NUVr;NU;f(}6Xst0zz=`inI;S>EEFhy z<68|ytRwOF@aCcabo;Bv-LU1otG3L3WZN^Z?IvE7D5j;P!~Ln7mFX>*qw#-ylis2! ze1!Y3UykFcLFh3f2bY-(G)kb1 z13Aed5pZh+B*>8*fYd`xBny@qX4~DcGwLEFXbC~qRdQ)u70v)JR6O-{rm9I!a9&)& zd=;n`iZ@6svGLhQtxeIh3>d1>iX+hqGAvbS9mH3JP;3sIrpKSJ*?0 z*cu=@ESQ%?lIc^&JMK7MINVSpN{c9)N^8Whs7so2GoU4snK@2w7Pe7JeW@dDm?moU zDboEVo+fZ0*1@IGz^mJ5sHc_G;O-K_Qf`Om9o)^_8mVwV(WTQ6hn`Ahb`VN3QGr#U zC6qLg=&`{wUucA)233k2j|yz6sU62Lh6B~)kRUF^YmlSLimc4$lor~{t>DcOIVj2{ z)tT@QqA5qBLx~ZRFS59&&uj#o@%KGvGB?rO!ClTOh%Y!MYe6LwsIQpc7OxQ1^g5x| z>prM^sy~I$Fv*KZXWHexeswqyd3%%z|FuN3hw2V`@jmqq;J>zQJF^3(3D@7Y?kKFe z3H*nK_OiBDSB-WL@6r+$%Y94-28VBbVaI!KdhNLDU;W~JuWj6U#A|?bqgHS0I`3p5ujzygZJ}1kiF0jKo7DIcQ)|>cDA=LI#0x zso+>QI)%)o9US8l)eU4q4{&MjUoD3*Rf}E#t;>u8Yj|;*f^(MKqbS17Sd>OZtyCv7 z`J5Zhr!Jg@B!*(Ru#Ltk?c>EI#|xR1(%7a;u+)eh;#vi13^8Ylpy37;3u{1uI9Nx$ z(9R{qFzPk|FEtQECJhphr_s2TP)4#@O4B7XIh-2{dQ*fb6v5t_x3Q$FsC3_(B{^mZ zBbVw(hHm<*;)2nS()|x(au6N~96>_p2@M&UCm)Ti1tcV6`-=Qlp5iM^X2dY7h)kGQahnE2`UI9a)k4mj1)!ekC0CF(UnA7 z4MS-%Ba>2FD9MCTs*m3Ec-7~dfk>~ zPwj+AI}S(qm+s*qjmJ)m6+N!Tzf@%Jz?=4SXZcrM+lkMXewar@;@1^agDT*USn8^^ zE-fU&ko~BrJ_RiR6Txa}}Ez!Tz%bK*LHc+%VFF zG-D9L!KGXqOaoQ&yp6R-1*jMt4i{(T#5~U6bIq|m!n7m=r*$Q##gwRny<EMoxqaB=H%Pe}mg2#ly$7)327`032FSh?eHK`$8h?2^mGAfU3gEtF#6 zfF=+ccnn5~*T%#=vD=cE!8tkQaVD)Vl^Ca@x^k{AZ6UJL-5av>PsmUjbd7e@1+kUy zV5c5cXCGwd5_Utq*mFdt#Iin5Xz-J_s+0#F=#575CAoWL$#FrYAhulw0xmg297=Ab z^p@CEGd<%77n1@8_B=$y%t)dG(TW)K0KlTF*LOL?)z9i`qAT0vu01Q(1V~y;cs|Qi%7O7 z;ecri=55?H@S)YOvdg^e>FwkzSa?$7{~y80J*2Zbq)RU;#8nSG2soJij7(Kz|Y?B*pWnZd>g3_&QJBV6selmre9eQi&$I?xf{L8gkjao9jT zqrX>&7hA;cfj78KT4yidH{r=|s_{+3sfdHv$l-|nNrbmZ=<5Z;i)67lxKyj6TA4M1 zG*JjA6S0&w8=?itWJZ4Ks7peRg9SMl8A_x{cs;~u8;WhE=?V?^uF98ixN3DuqDdxE zBv>ykPfq16MIk^!YdX?7vMLOS0!(>}l4c))$}uKqV9sjNuZD-7 zdhX|6{@ecRZdQynooOh+qqIO4x_LUn$7P`X*X&)zLq2-FyR3k=dB@w;v`h-(KBa!x5A3>3(_?ZN>u2r?)Q zYEjxmiJ)RWI99;ZAQ=^n*u3LJV0z9C5^;6}MwC<=b^ursRE>i~RL|+_o!dWdcExHk zDS}rPI#LLhr;C_GsS&(Ja&nq#8VANckLM$V%apcDE7efkiNYJQSSwvfo~~M_F7Q$$ zvEG&?9UByGToh@u`^U$mmPsNxF$^w@De~RI5jD$3LR?IB;Lv5)#D^jiKqF&9%$Y+1 z&5Ic^EOLsp6uE6HStho`Z5eUY2}l0?|bvme>sPRcostA)3069M_9{$YKDOIYgY;YUGyf3bGxO_c}3(88POXmoA8tilkJfzQMB+CXb zM1+yc91)^Y8^Br4W&cWz5Kd{dke*Xvut^{@kV^twojt=?I$a6Dbw$VoHROa*`HmDM zDov@KU@W(SBBSE5x7Rmn^~#6_A*3%yr|NV^@Z%e6obna!{+ zvwLSx6%^-)I*E0};Vdn#QITJ&FM z!=M36Wv#oS`n=7S9IFu0);)sOCrcgcCXVHo$qwO3WR}B#jUx67Xa@w+ARGpEJv9^z zXYC02%H%mEZ=fIndJbYi_MMfkGX*I9@KUjgOXO2ZLP9J*X>EU>si^TgBg$Kr(2M!1 z9g9T?0lc%u3euC${)`w=Vu4qgj>5ikrT|2TJ}CqF5LFQfOew&V60+8 zTm3tdJ3}8Rp}~`P^=EG@&?lJ%bEc~|PsG2nZ%D#^C*06tQRkU?VS6j0RNWg;>cVz1 zIV$ED{VMpND1Ha9xXgKIFKS&63}iZ%UZ){yOtgb6HZx=3&t zvLdnK^a5DBaG4p%52o*?p*kgtP|Mii@JYGA0i~Mg^tZUw~0E>k*}u zKo%yQ8&t^@PzVhisltrO;}I3~qn|e}($eUGH@*-dl;Q{>BP~(J=foodTp|LAgd_+^ zAcXH%#ECcux+T0$Rpe{-k%q?P#H5_@O^z5N!xAa{6&lI0dnN`!=0G6-bxup@orM-A z5L+UMqXv{wt*L}{K^X$_N%KrBdlm>k5>R<7(t52vYee2e7#JAbGyS|PFTVO_us4B# zz(IoJD9jfK1X4OYxGR&C!Qp4N4)6fdU#!`ti41SpIUGQSG1(tKqPvoQLQmjmJ&jK8 z-l8cvo*2&x{^QZj>2Qz$I9`t zoiyz2Xp&K#gQ9pV2`q$#3L-ZCg+EG2g~}Ew+Mr!U)p*jGGNg2F*9uZ31lvht21m$} zM!V)9mR1s08p|8RaVd7&2|Fq{$O##i1U5-XNGwkja}1)U9EyOM-B4M>AnaV#U?jv^_wJtR<#CiPvwbc=f3jp-$b>^=g?V2jQS7rO_@jcSZV1Y%|7cOx`+@ z+S$Pc+XAY9C+jek;*Pz&0LqZ`in8%*nGFVW`D`u&b$lOJ_%(JyPW}A1A zke?=U)j-4hM9JjLWPvjyI@opT;H76UJb%fQA=~;6BA!tA8))9M_9rj8R+D%;=9lZ#baYT>@$t4XhI(yI_uL+HTLS$qHG&F|i%_$EWlSw|8 zrWaXoLq!!In*2yZHHmPAOe_spoCe>71CB@CqR>+8G(<}dT8w5mlocUakK(`;y(F4^ za>5d9=S=k_-}W?M4@+o}uUUXsfdb~r@nuJap%UTIm@_i>i3^5k>2f$|N~xo(DS(AB z%?K~X#2y*x_U~3o4m7EkTEHfCF40Bxv+3Zfp$>M)s?EWFX-wToV2092t56@w;xgdJ z_}CLsjYX-kdoC)Mbe7iMHr%WGIm! zA%MBJJi;i%=I{(13969?`7oj-upjw}5sFsSqMkz_MSRi1Q7J;KSK+DIK#qLbL4h>R z?umm4WV3ad%daXplsKBGb}fV_=Zb=A7{+x<`N;J-gkIOuBr1SW0?p@E>l3Q}SL zIi4?ck^as}dN2JgU5rKVguA%X~u z#vo*%k4}yRjtry=%+{_R$+4|in71cDWACP60G*!|6{K095SWDIV4kB-&O%)mWzaRda=6A-JcL?8*7C10A6QO?X*X*(ljaK5q z@4df}PC`eOCnf|K{L_u`mkh&5#Mj6VnE)Z^odkTxHtroM%N7BQ3RIG29_<5q5^RRY{jC($b&8tjRphI zk{m@DW&|?|Qbl{A1j>BKR4@KWqxY$!D`d(czmD*W*r>DQ5FBWL+JRaScPy5~oSDUv zw3XzOBh{*`7R1sNUhJZFF${L4-45g=6D|@|M>Taj2>o1Z(c8Qv=pLv`5nRc{817)q z5POkNqP$^IBqUT-VARbu;Ja&;8BGi;LVP3OEo8P3EgT|qY3Q-XnNr=-B+^$YD&uWW zJz$}TBYg=Nq*7wcYL8#~Hm|$4iID^9dSOZtN9-n+kh(=qj`9yU0CPY!U`u3y9ec#+ zAi_BaOc7wNFj1p`2LFuBoD+6Iy7wKl1f*?cNtOY1@v4aO?1r7y!i*aGs*X zM2ppE5E^|Mj~CzS(i{N-NIv7KgIqAjf1zp}+`k z&Z9mR+aVS>n7t*MPhnMonjm>y`XE!p#z3qRRQg~fADnDCqI9qjdK=$Vi#`Ky1%Dt~ zM-`GpBIjz4DS!|4IO@6qrjY}ROz|?FpH1YFr~<#22*ZAh@Fks`#642ROu^;MbpS{i{OF435vkTgYQZsI`{j>Zei zJPtI$Lw7^ELEF25lybo0p}r*5h&>$hh z1}i#n2uj|G3;O~p<8%J@&HJNXc^kR~g+Ii+bOY`?{i=9Y8P)5WHZ z$D{{B7C*v$Qp%;g*q0Ev)KmDtf&n};0%(K)6(~yDo}SD|&RM1P2qWr3mUBRfBIv~e z+Jl_-Vh9ASR;09{m4SE0-Yp8q{w_Rkr6gKW2nv}YU2;60Po?HeACG(pVgs>>35!|- z!!r2yfFCnjPP1HOCWbe$Ks31{yu^|E*5^pWC5Sfcch`=hl!mt>q_(!X1n&@Vk04O9 zxH_D%fu`QaD!;zLy{0pWH-Wpgs_#n?V%3NhO{us$Ln4Jkr+ZrIAu_vKm2^z%wp~km-|t4ow7|qYl?S4hOD-qS3-R2OXZTZNSB4>HlgR_1^db z{sM2q{HRMLLNAC)4hM;#E0zma{kgw}PbGx@q-Uhz9{#i?7!LDO^$dXCO@IG1i22c&;;H0P4* z8OhUci~G0_lOq;GuIY{83}5Sxb6AKk$d~&=X^7ym9YM3GkiQ$9B33L0pO_bxMj6I( z?$yw!I{aAmN1II2$lpY2pPg# z2G3c>50=*1LFyH;L0xiCQK~>JQ=7Zl-2$Yo$j#d&3NnPGMv=*xoLH5nOM{asb%9yy zDpjsQR&sKqG2Saw5JQ7`85?t%DUZ(8+M>vJRs`gm3jmk)nolNGOE-X}aOGVR(^$1I zoSMeq&h6-)%$k5A8Ri~H2c{ciB%^3`Y2$N>ILDs4<1GBNidrvQ62!(rLO?7GdyJH| z-XoT$u)dUKA3vl`m>>u3pgm^}#RA4!%onEUM-zg~T*6gQx_%;t9EN0)KmuMy`<96f z7)ep+r7n|;tTNeW1^#|?Ff^ReB`uC(R6!Gp1Rcj0y~xn@BZ&};(i<*_!eHC47-Ug; z_kkR}*EV5XmMH`^{yy75!Q`FHKUNDg=DfjMT!(5Gl7E~ik#NIb7m0a zOlRcpqD&UTo|#Chk73k8?U{89H?O7!saG`>Vt8{q+?Z0QDr6pHh>j(k*=J{>luLxC zrX*rN=D}Mo6|GA6P^OKE*uq7QgL?sLOM_QpT`x7Mn>U#{J4iX-5dqt5ULmMA4l-m) zqjyFSl71p-7EX()Z_pIg0E*I36cweB*5}7N)qrMUNbl7ono+S}-&sRwkfYc7vTLBh zfEq#Sm>p!U=Ntu^y4IA4)5u7ulpkfY69Jx}Ytk8YBS)}r&!TG3CobgM6wstdGZ$}d ziy+`%(H?rK^BR#tgTsoryeok&0Gv!gx?gRBUG8jm!#0#+GY4b@1=f)wgy>TeH8c>urHxzm{nEnKG2iBfnqj0DiuXo<o!Cv|sT&6IJ|%=6pz~3H z$e2csT;AVhD*3Eb6fhKShovzZB+KFqnPM@h0?`o9o8d*L4(tc{%Vw^lfYNXYpG#aQ z1Mf&nm=L8)+1H2-)TNl`P*p`Gta$qaV{8dzWpdGVsb(?E#{S%bv`@qa{1J=BqRWcl zN)*665=C-tmT06X0&{a=*H=MY$5zy2Qt?{HQX^H|x)4HF$*U7$uUIVt=3EXRWa{X; zk4T15fH_4=VO<*4$ZR=5Kre!ZL}a$kNlp&9l9@}0LuszwS=0VRfM5G$L=|4om`Kxg zflzVPo(~!O6!8netbXJ|2X?B+M-hT+1iEb`Me+r=h8o1r)3(Q4RcgQ&jMsf$GUaHd zB!Mof90?>GX!H|r!hcU6gx+>oh&kH(Xb>RQcy5IE?n$NqoP+rUIH~Z|qeKmp@e%K{ z&MFI5i3_lZ*G4A2AO0NcmjI^T2nI0g$K@K3@;y2Vc$GLWjtvd({yn}^eXO^S`%D?+ zWbRBymGk;*ob;mfTh2Iw^X=XC@m3_?Bo-J{)A>ka|TpZ9)VD(u1qA#1)a848LtHLRzEAysX=} z5kzSggm9!;atl;7um7|`b&Q9;Rk^WuS5ukZ;Fi*QA0^YvS+cnqY3;e>M(g%@4^(%} zK|!N#FD)uyz!MOpk*_G=Kp@JgYqvy4LyPRg01RH0(KF+?kaEKSbMp%JYnm@i!{mjtfJ4fc; zx_joWBXe&XdgkRJUTWs!cyGfE_w*C`Rs50e9e0jvG*8JAkovYiMhXa!1~KB@I(r|G z1T1poid0HhM^2h^d?c6A8yJadc!OFxd!i9VL7^g~(RjS7T>vYEM&9S|Bp?S+YPf`9 z_>RmoY(|R-1mnSHDXIpi?ja*BXjMfdKSE+;2$Z%Eji_gI#RaeYgeB*|et^0)AVus} z7xV^)J?jBZV?XDPE=M0=Kgdr$1~gM+3};H`&(wZ*htZTGsM|VdPic|nmZTOfNKs$X z98?O%BD|}8AV69P=x!Xfj6*s3QB=5Ee@H??{Ond+PHZ6WH5@JVdkinVwb^MUdWfJk zqjw{1S~V@eIm2L48ttLaEu)_YR7rR&q)P#QjYRv&2^X0h@ezjoLS`ah1$Gk25z_im zy<7@}9fL~L$XvjWydnfHsu0OJLm$Q{;>;1ymShJAR;#E{lTU(LXf{CrVok|QMy)O+ zB%vz;A_U50>J!}j=8oGzrcUxNEYt^6-8jlrILNpD9FmSr_&==G{`4smhb`NNXWugN z;mHHXeRc3dlZHOGaPYGW_x#1#yB~U1a|lI@%q0#h>@8MESD`1+&6*>`vl?WPkh5p| z*x^9k&*Lk6R;Bxm%#9mz=dJ?@%@N|l2uV(a%lEsZjK{#_1h~XraRaVMNU3b_@)1}n zO!GuQGV6Fd!)Xb3JVIcN1;Cw#vb>UJ1O|ltri0CHfGnIhS>Nd5zm6SH9wyI%U3_wLFqbrirIKXe}i@@X;ImUUTGGLQM6-~j(Q_Vw5lN?mcy8IyHt)_K8%ee31wPr=hE#@_n8ezaM)4 z-wn;aec-8I=m!g!5V3^B+7S_f%eP}x7#h+$EPD8@GZMxx0@`?oeMA`B00HMJ2mWiv zghnGn<8%5sIs4HhKbeu)&M*o(0*To|bx;6{k`E>9BB&aXlOoPRMM-m5X@F^{?=lrF z#>v24N*k&ppL7C56d8UC&IgJcLe`=(6RDRFJK}iDTSIQ9Fm+U4lzOoUGnDVN&c4i9 zRj5tMVg;8}(57~Tqa`^JUYbS5XOdD7KCK~|I_Z!oB9TJ?2{m1v8Yo@k4vaY{<)GG< ztkA%PnuFC-#5uVY*>|`9BZ6>-6E%uN>aE zb%<|}?im`w#RhYUzM?t_E`#=Lm=>`WgkhXJv;0H?7J3%o zMIMkJ3;TqFU(!D6CyD;GvI!Zg~xZu#70DfMNufqY08)(_Hj%4VHf_FP({Dt0|1V#8}+?F$$CKg;kZ<;bh z-_KjSxnP7|*b-?~ATX{y0^rNwgFq6|1!dC8^z$vi9Y^*QB+#%Nnh;2uEu>TcXo8p# z`H|cpG?@T9hXfkr1)dq?V^TsuOsbKkWEzUYtFs|D@*^#c6Z2>#%t&a+M!DuG)*@3K z!oc=`b}_PUxXZ{H+BonSUW0jsKO0$WwrWZf4iY9fcLRHgO13%G@79ubkf{x^X>$`N zvY<}%wKVPA;nMU(2RYp0BF6&>rTzO#Y5})!fDbR{Mo>C1-+Sc1;Hl$5W{EAYo{hstg2P76al{2KgQD>r zl;*O`GV1oUxLnjAmrKG8+L!}1ocL_vD2KjiU7=z^Hn@)l2I`v>t*X)ROWRV1? z<S(*b>#<1fYF>)&XMab!mc><3d)q^okrG(8x65Ei+$Wi}~HB56r%m zhmD8@dv+-*Xda|$Dn58YX=pEnY%XZZ@HYG7rt7|+skoqy8c#9EHVy2pKF? zN-g8<7!u%o8iX^Ms!nYLtd-Kltcuc67mWs3RS?P+UnEnr0L=?92^+{kxEjY%gJw(x z%`W59ERlePC2l$ZDf&1DCAdTmb|zlPaxd(Fjbw79`jP2U&3E{<1(jNnOr^QJn3fx% z*EhTejfJK9UI-!`d?pdSoDqVk?v9b#k%=@YwY^I}Or=aon!X`|u)AB@Hote^UKfGN ztdu5@-cT0&?|N$hmZ@i2SIrc<8s5Q7oP{u<9&W25M26MTK9q4NXo9o_s6CqyWA!Gm z9X#jvpeOiupUKx2Gv|a1e@24{)!;hFPYyI9%My?w9i>2hCM;10F-OZ3FB=@0GWZ!+ z{WOEa2MsHkbyW(JIG9t&HomIl-(N^j$i(4Thsn<`8vgtuJ&?3_&_A-n+EIPTpRdI# z5crZXL4Dr}6Qm=&Ve}um933nOKo5@(0x#+9>fSt=S>27EuYTokUkD1kqB3V%d8ZXv zhy;o<)PWtEc??6$37q7hZqnkH9yl&kD{r=0;p6}j0>E%rie`CS% zKYje>*T=?2hPU2%$5#QFE3beHp5K^pY~7m4S1&OVeqJ3m= z2L$IWJYn<3M{Zg5MIiKh_b)*Eo}rx&u3rG&g23n+8s19gD=$BK{x?p#^pcMj`}DtG zWAmc9C;aq@8@U=8+V<_$Uj>}cS$M*ePuxNdhJE{+e?x$H;-{;q5eytuf9|YaWKvn$ zhju77PwPS2_8rfSjgD^G{K!4)Ce58G`)PS3P&LeR`$!UUXlE)#Mdr*>J~q=tTIupn zKmhDzmXWpxp&=!Et?*_dkxz4A_G-^W#fjk=biZEPt-T|j?jju(}pYu37NgZ zCa|5>OOJw!1j5&SXVS)vkL(@ZzT~ox62G9l=dQ`ESO0Df>rRUoe{5iQ8|E#Xb>gO19=`Fqzlu*?e(A|W!`tx3nR&#> zk!9LcZ2^!er$cP{s#5vcP2f77ND)Ga3n6ywP-I~`fZl`yO7jg3h~Xj%Ir9qiLB^K=M0;ki;6eA|$_(z?u~~2vc}Mj(*zbaI)Z~m^1X8vpFt-UJTnO z5t%ad2>uv9&<)bB=vo{aJJp0{)1Gt#CU{N8Y$jty34?C;(#+9G`)#zBp+r%>DpdApPvLOF6FRUf=hYu_yKzw7t z2|zu5ea}4;zyH9(t=peldd0^uZ}sZGUeGsg<;qjwqRO*oj0dQZv-tdz9=QMPIn#TV zF8KtFaN&9HzvzMwU?dH-;);)wbJ+#&$DXUB3e(5Pfq_HvZ znaH*3#xD^yZoT=74anq;% zi5~HF+I_useZ@yBif!RAjNN63C~{lW{+JL&%Q3kii+U8=xG z?6_w6XGUKiL#9GA25_*J)!%cC?;0RU(ah=EtAse(f~R^}z6@SJKnQ0_jY$rnAU1ah%>Yo~obAA)hLs3x5RsGbzwxMgD2djP@xt z_-mwxzyu@SN+5i2$pk0D6=m%5i4I)Cg+N6OMv0aWWo8+i^?{~i_V?O^kl?LAUHHk9 zM!@OJTlRi(#;vQO}XWRYn^8!@FBpJe0Hp^VQ$l zl-3JD^serMe%PW0?uOe^njB3HYB&IPls4dy&@eC0mf&c}_iGW$>?0sEOHb?XJA2p8 z=NUH&?eHj^aCG#lB_9DNr}67E(>&-M8@uSd_v3n$dR0Vz0q+W<3Qz!yTzliG!z0^3 z8%E1O6J)~4nJkCyyzQpH0^u(*^AeCJzV!;7z5E_|?oV{6t;VAPGeTW|W`B!DmQdE1WX8Bda6zzNWyZuZRa%U6C1 z7HF_=K1*0kqoyigu>)>Q8@=el_iF`)oasH(nhFvos5?Of#%oue!o#kJBA>uSXd4{f zxpC9OT)wvB`MXwsZNW^fEM2=oF-!4_w-uO__4m%7rCYF){MScyv-)}!v$nm!o;9)9 zF^;IGy92l}4%ZZe8>jQQ?c1McHUxT;qYroY^;~t?N4P1kkNqZtAF)#_UxFbG5NSzpn83-2^y3tboTY!f8T-~TVGsurS2&# z)ClCK0l8d${#X!lAmFE&SwTAOijvJx9~dVt z96BZxO4t=a5MumSu7!iTk}X&-(c$o-AnsUYK82V}6KtU*N0Cwo{{!%OfJ*P&L5sk_ zJ%f7`wzyOj@T?(ESUEJ*MmV^zgXE^4(4*8jE2`sSK^6RhgESpmeV$>MzpI%^glHsY0KV1Fo(^!9C%Ab(2(KyTkT#2z2D^Jllc1jPh{KQXhl3wpz!y6&j zFaKO9F@R;OrAt1FE?V-@hps>%VTFhQw$Ol7nP^A=BDg?ILnVhm2|ejeFF$(s>aQ`| z+PL{4=vl{LVMKRl^z}Qw`8O~+Zn~1btyf?Ek@SQ|A6h~SvtpJB0yhX=WKu5-=ANRC zUh%DOo=)IdH2+^`TrIN|iQHl{#%+1!VVa%sJaJrstWUGZpV4BYW8c0_YjK1F&5|-j zWgg0RWvBOSe&t7Ztp4gfYp1|Ibqe(w3 zbOyQs-G=^#<0}dn*b@bk#*vfIfUV9ZM2r`>%Y`^(O-?Q~2KM$VXHU1m^WJk89FGgI z3ISYzIgdZSYU3*pk-*0)K>7Ao@T+l+o!-T0Tp9c;es<}dAJL4IuP#TVBy+Q0a`4+PD$`sD2fcoBG#@IWzKYtgCJhMGVV44FNA%@K$#WmP_nMx!M(qiWln27-3*L;RCHjPZn z7@xiR3if-}OxUsY1tgOL z`$TWD6E)!C5R!BF_k$E8{80;S3iwl~fJ~x8iiiT4Ac)4+ z5;9_TU;(lm$M!l>o<9|EYORL9rT;v<=EuXo8exA~zzYs1M!4|cCkP7ov*yFQ4|@gx z@~^DlI_uHx1cHPfEdh<}2CEMP#2BIJ$q4%tLVwK*1_y6k`GrRwSteurC={i;z4guI z!L9L{RgBN3IBB@P^qn6+{hH=1{3-ghC#eoCC&UMy)d8~D=rg?+G~*zV{+}G+U7qMg zq(bA%kkRWPrc{pahfjh4q6{{ybd-}&df z4-6q#;5AoY{_*+qPh9`N0sv=)Rv5-u{snMAfJ6#t5X)XLpaK5y5ebl%B3alsjzt)j z{Xw&H=bv!)LhbH7^6(Ng@^|a1za#`)bL}ZC=>wryr4<;jey~Dy!*cs9u)vUeY?QT~ zY({`)H?B}HVqJ?pP{xOBE+Co2k2YM&UdfJa&#?o`A{7Y6vW(GkR2brgeb_I)@PlY) zIDPh_6SA#v+4&!IO-}~BzxTj=ARWVKH=_RN}&4+uTWie#mA^AOWErmT(Drq_?_EcWWpk>_Cu|(XqAaw z+O-pb@#RZSUbOJ|d+(hzofWX@<1e}J{fxKy@A3;js9K!&0WPn+>?4a7obbQ{3urg) z4uOnW68D|e721D(e-D!~7WSzNF^DJzBc(_~sba^p9wu(GkU+fRQ-CeINGq1n;A3;N z#-*8sLUvydNUpm!`uc_Eevol^`o9xh32%ui+~eLGpwT(n0%7 z1h`BHAfdy)$p!?To-je6VfjdlT@EztJ5Z!YUh|GyU0EViVZxz-#DUOp%;Xpq8R~<< z!T+rPlUB|kxX!}M9tQB_9I8^lZuTYscELHL@}ZG+FAcnB)vKF#4rV<^fyXiCP(qR) z2@rCL0lSBHT`>Rn2iMJ0H1TA_iae<>&B3J&?g9C| zD{WSKNtr1%YqpWVh>k%QlW`IN1p@E<_Fpq7Wj*29CvJl4vdg^(5%(S&`=>RNujqQN ziRVccE;_+ML5je-teG?LCaefk#>T$);6gIl=KlWu-yn8C%#%64+OwTZKvlaEGshd2 zwbWxhdfw(ccl`#< z09*L;RH)~!Z+!(Jn8a4Q2K+O~5f>Id_2kU}J0tUG=S)7fjqKUZMhpf6t!zv%vRyQ@ zhe?Xo$@Mq7;nB;GlT|4K9HEoTY*@&`(J>kUbXvLmQzIk0SOi1P%{QOQ+8qsB8;T)u ziTK~X<11=;o=1B5QJxfH^bQTHSkJ$3ukI%DXZGB2`)Qbg=qDb(fiFK0!AUE2$eb}k zBx^N1nRCH{6A9(3Z}>7nnSs4V8h#`RW58+$EZv&DLpyn{iHJ;$lMpYykHJq4*%2V&!!RqCF@AiHa7ayW2<-?iWJ&m|K{qyVb-whs?Ra$P=inH zx$*k{wdEH-((bmW3>w(8_~VC2gYCdINB3AxlztKk^oBk~`m~XsMAGxLNOSCvsZ;ce z(o7kM4vHgn;V3)T7Orh;QECREZFFQFyK#Z-0|xU#Tqm+pq#avBA(+{g`jtL(TxiHy zo+8Q!e0cB+>wbCW54MLfGMO?o;sX;vv@{Zt%G^aHOE3OUt8e}y2?Ca3Tmhqm36&r6 zkBT7BOGzU?-mnz9|FP!PyMMl0@x}2DU3ymPx2;DVsDM7eeW&NxHQbrKl!U9|j5rJV z0dUS4p#j}M1kT8%;KG*)5J^Kx50`g<2GjKuZwU?kes>9Xh72r42(ZH$MWC71d;PsQ z925Lun?S=$FQ+grWkvvUf{?(4M)-h+SE{uoff<|uPraKUnkE(&Fq0exv|1^et#piM z^&T6@L?9M25|cW=F#!Pvkg58j#RTLq5zs;w<6dhaA4_0|h6D@sWqBty@P1r^lmiFs z4BFXu#-wk|=$+5P5fcPG#;J{R**QZ?Nq}1@sR%{TP((g0&~5};I8?70<0yh2N@cPP zYol3*cX19JKm_njNWWRrxt zBGPm(G;+|{&;K*rrH|2_vS{NztTiZx(=y&4$|f^plUWh+L_l5IENrrX+oZ8!67bj= z^XQ>#{WJrU2zSV&8TKHSOu89o9e#3;pn%e((`dTHc(43J%q982Z2y^t*(owIRp60S z(i+}-v8W8-{flhmrw9*ae>p`|C;6OHwf>3Y!F+7M)Us++H=qG?SChEpkyHVAcXga*;&AwJ zbti~8C?EtuPjB7Dlws{JjPl5_mcz)&A`(cB2|PrU2zBS}r=LInT|2jJVfv6J%G0o! z2pp!-6k;%Dc<{#$t=PVO3pIUm>+b({=WCmGD;!X&&wALT!w5NOk$R5Ta?{`BCU!tB zN#~H8cqE^0&zT&?Z*p+cH#miiQu;hXzyX{B8a&-cOSDS|1%oj3W(;TxsbB=7fE2(- zzykPKW)nyPE)WB#kGU#w5*0*p&uy>%k@V2+uutfY>%`k05rIcmZP1 z7T`;}Emyv!MS@gh$CU;!jF!+4xDK$33wC}IG#doK zxHlBQ^JHq+pO+du0f7u5u!Kz7%egrtbK|4N)bXxhQ{gHF=bUd^!@HUU5 zD4yA6-N|$z@RPO~CnL|l6EoK6-cu$RU;%1ED+_B1Ip%|b1c2>o`kp#>- zn)uRjEmI7XCgMQQpcqIm(ZQqiz;(vw5GR6w$$@AF?FdnT@cy{ayZ-VTEUFX;ZyH$0 zi91hY^~q5HA@CwoGXl53l)6#`aZ%wQmH7oZDyw8VwL-9bR)*vtY*1)SbB2Kj}? zM$S|dBu%C+1I~x2CPGesaNB>s^RYya2VegAhNpgVoAf%s#Z)%L&-(s0We%WO zMVz6>9xiy=mc=f|kweD2j7jKP^mBQKJ-7AbZZESV^lHVxt`}Dg@{lgm2Tv9lCdF;# zdiGxuIRqN19r z*n!prj*4c&F;LXtsVNBuyhsqfT_VkFEx}x^%cnPS|a&&_?ZyF`mbPDm;^kF=2^n(Q92um7?W2(|W418w&Q|HpIwp zN;`F@YHkqKv=Wfky=XHFZ;m58ea1IM8bwy`wb(h_ov;uaBsM{$gcY&4UYg&9y>vn- zDN1NymgIFma#SN*rCZOcn(c(eT%M}9HK>$yVUKqlFr(5a5y*|5#Yo#pvml=wo$KI7 zk{!ZCuf?lUWfENn`Q^m{b$j`dav;zkzb^Y|7BgADxt7pCf+Ls=R!f2xrU30&O9EIH zeURLH76gT0b+8>qLA|FTQOzazFX8?&cE$F>B~gC6Ey9T`d6p?rBa+F1U0V+>xj{CGxXy5|G@Ino!QXJVcbhzs9(genb5acW{F=CWp(ZTZq^1%dXEIIgJ zk<;icWH#(W5F?FFM$QnFpil&&w;Oo5Y?fdQM-*|!a#pU8FAIGZz6I9m%tG3`j^Hx} zr1g*%V28%i1nJSp8EMoSf>=&$LJ{ytk?5^L(ZL7NP%Uf&!lIV(jpLjeIlpKOmn;Ew zJ$P2k<<2_L(@>EX328Ud*x>5ReliugfMpt=iA(d+#SN~`eBQ`}^x zag=ZzgeGe^L1`|Tvv5YWf`aj!OJW4T3jzny1HzmkV8a&zj!Yj?7uK4D;f^CM3JCCh zM2=X93m*SVmo2emfjMuz@sKT-urLeInSBZc8V&wACu)e@?`}sjnIymjLW5WwCPGXt1(FuE3fvUGbRL)=Q4 zc6kzX7|wEkJ4K4{I4F7dZrSt6wk1B<$5O_T&02>>EgdvV_$ViB$ zTbj3Y4Otb{A)6x$b+sP_6RaJ8ZKKJy@5oURGHaCuIoIq%1r@HibP+**$t~E zA_p^s+eX(Cusl~%OIiYCbb#!qBrg^lVOVXLR z$y(pFm`a*kTr77qp$l=SYtaemZ@!yYj%{HiE!$>l%b0_iDVOPWh)Su7>hNBrt{0h* zse%a;p5v(06NJ|PA%j4a?&RQnP#1xb5aGF08cNF#nbwXxtZEgBB?=9W4nQ#>KnqH) zrYr0Dh35ze(cy<$AuvP>-ibbebWobh4CkC_Vh05zQ-xOjNxH4J5 zuwU_B_Ta#-9h(UvOfj&tTV`Ni?@(0Z&;QBxPu}&*Tb|pgeG5Of3Ox~u1CwO=A^y_k zN+0g(&*|ix@ecf5Uf^kRe6aO=5^^8AVe`1)hR_uk_JK#^`$i<;00&UdrM%C>TF6vd z#)QH*BSs+(bYMonR>XCL94&$-13Q;NhOl!k=xTuy8@x4g3g{YvK|3HOH?QM!&@6|L z&A}*WpEUIIX3MI!H{AjA8U?VSV@Aykykk#W@*=^3d7;<2D>RT^FpF`uGhLU3v&QL( zrY_;3C#N)*8=U(^tD+7g)!Gi$T#~P)n5?(>7E{Efcq_a^hD_a*is}$hWwn5tLTShG zcB9pRCQbz@r6tKsw;_SRP6cgz>loyt8Z*?XGTFf}$0KX;Vw3|}7PT-&wWxt3#>1G- z4CsNbs#InQL>Z^O$Wt~H=+%XwY;ZdmrCGdwxL@~%9&5FZCwDNhM^Liamz=YwkXQpy zCn0)q>3O8$SyRW?z~MbRM=?;EOhbAZ)d%D~PuE<7ONQG8aG; za$bG)nVVL8o{7T+^ZxYq8^65%-nozcWYzP}uKU6I3yDF*f*);I`P0X4i9#(>Wd`Bv z5`CDFuxg~hr#+J=x9&P^{f-Z z5M5en_53n5#`WTc@!#T8)$d?$rSjS8=D`F2CwOJxl5=s$%M3Mwj-Z5Bo1sZ)XrKW> zu!1v(5XQ(E%Ajj7C#a=##Z{G-A-52+7yt$u-@*$)z^A~75lQH0l+*a1r-tSTThb;G z0!s|wtYhD;4J3CTf=V7Pnp3tvA_0Na5jiUAiVJS8BC{}h(`X!kZ`aUe-EM$AZ+=B!oP{)UfN=n}<5QI-Ig~;c zE^?xpOy0ILR*Ri!g#n*6rSU3agCMheaNbcbI8Ovrsh(3EU!q2xCcv(#hjyQ}E>xpN z#Cm2?f5DleNK<@)y)$vpHU#sF{cz)$zx20^QVZ~T%jRd+tUcq}D?fSByyMQBf853M zkLLlQ7oYmBM(kdDIaGZC^B^!-4-L?-cie^tpMGuNyvMhn`rU2Io+c&;@H25B%w#YR zbaxh%93Zs**xuGObsaq)*SWAXgsd5U%Mf_MGkd*{5m=_y{6Mv)>53BH@g zm5i*PX7cJ3>NxmwtkKg)n|AD3`t3X>lh`v;z!p;-e|5^gxhNs8H&%dvH$L$loNxg{eT3fUZ&25jdHO7mfvq2trgpfT~7l zvlR`nB^j|KY*iWaBa;vy$q1R*vyd2(px{h$(qfWUb%~z$8XO%0l|gI?vGJVsmO;G> z8aBuH8W$i?so_2I3oVIw;)Z$XqQ0@VRXOSe`))v$8rvbRj~RE?{YpEhxw`0)M#tc$ z!^IusjF6a3LI-`OE-R^%m@K0Uap{MO3Yqj08nO&k2MIFCEkR6CU0~k876&FHo^1&b^Ui$S1F4YD77rgt|SBQl{l2zDIHS%O4xn7P2VE#Fyv`i1k~ zwd~?QyZbv6cx!m)&Q~b?<>sfi?${)B?L0SnkO~W6y&pkv0necy+|5^#Jk3xz5D4>^ znF0xN%JPktsYJ)^$fOg-kI0e#+TDtuI)cc> z?3nR2$RwenJu;aZ*gXxN_TS>ga2Z2zd5R5x~^v<>$Dh}5M>5hMXc04N$sLyq>GZj=NL#SYIVP$XVQkSJ}sB+aIxG-LQ^j{yStL`jDdndfHF?TA%ohbCc;hN9U; zV{4(8v}!P9y35>0aNA0|&4^^0v1LM^X&{LRNm1L5kw9<6r-vvm_-2tvf8gvMh*eZX zThf?~g)m}3mXF9JCov_9Ho|23n5|7;MLr4e7USEEPM-{DXGfUZ%_T?r07Bs6@Vbp7 zLSli(Hb_g1Xk=WX8u}7+@@|OdEUKVN%Y#NXMiGI7e1`oLanyXvL6m>tnoN(=%Hog6 z{Odd!!&9omJK9*HIfYR@AYWz&RAHi5mhvWocbS3xtYT?8;D~@0$h00sjspsjF0+F~ zq69w9#HK?5$eTPLNCa#Hq`_V-{1`d0oMo9SFZnYb1mb03hWf$JH+hYYj(PleYwkNQ z!lc{N>*BWAeZy<$Kcoef9tc5@9$xx+bFK>bp@dihT^h734sQPi0cufkd@w{T4o0K} z1%?+P4Di(k_6EAZ6hfek*n(*;C7q*Nh~yYv3dC7#qg0}f$q`%NC}7yok_u`l-mwi7 z-S3c=irXzA)U84SywQt2#dt3VQPgY;J(t2jZ#Rcgful|IM%1ObCV39Zc7cn!{d zI})2D!7YO{>?f}29{Gm0){99oRfc(f6d|NzPoYU&YHXEcH@K^#+mReDIT{O@%JHp? zoyF9;eud2_lE(TPAjG~AB}RzeI8SxR&n3iUmP!?fOQt6{YB2^L4hJgAhP8i7ng9nSIXH_vs741abHtwFJ05*0$6FOl1a$a&r-T4# z81QSK8r=3=0JP5nktPVQ6uO~5$*+Z_~`0zeNL*pAF#I|(fdWC02Zj(uci?*R4? zkjU(Sv&4F{n*{2P4jjD^S_Jns5GbLC`t=sTOFw`3l5^j4{S}|wwsSK>ZjJ35*&AWU zF(s3mPDj$(qrU^MKI|_r(>c{Y{S%EO;#T-09bWz4(_!+HrZniJv*D=YfF_2_!#1H@ zfJhR&W2k{2nO^E|T95-7qJmCfi{e5<(TcGFkO%}rba)2Q!QTDC#J=nQ1n64OhBZQ*$R$&~*PQNwG0@1QSYOzhAMLX(9I`vv~>d)nC%VDSg!XXAjtk@*EXUR)}xOnl%X z&$Z&tY&3XxgQA3wLgNkPj)i$J$xosps=d($AV42p*!3bqn=kyf#fLX1^660=VBbsk zvQXd08{(@GOBes=>#q8w9{Z`kw!^0|-keo9`c|cn(Oc4C=%Sev7w_Q54eLsgd^R4z z(8w!JaS(Z^ktdUafD$fN9H+XQi0#ydi{f06wYWej2c>cRNRTuSeK8}@5iGJU4HRQl z1ETP@)p9Pur2tF7t&E2~4v!@e3)?frsAF0#1H~-kq!eOAC5>2N{+#l43(|sm53126 z-kQ_sWl767_%{_4rS3YHb&mp!VdtEqRV2Jc*Q~M#qsBBy3iD^Hakx!16vW+;i}buX zrICwOiEeewpK1!s3u22M%0?_Re5VxDJhsn@R=anJ1?m6*pyRuYF{0-E$52K-MUd&C zVn0{hNhpG7mKxRQN{z^YopS{0oZSRexUX`V9CgdgCd|uF90@wI;6sOGs*?S$+DoxS zN9I$uSxWa^M^a7=3QG7hN(lMRl#}5AJ#3-r1L(^B#hNR{lLrP~x4x+5#DtBq*f+ z(*sM-XFQ(=x$Sp>V!AX091w?0=Q0b>_!Bpw|C3o<(gVVlj*oMOpfGwc2?`+uSOZdW zgg{VP5dh&iU~RXbTT(ugF7a;Uzy<&D}5U>^6s8hZ_wP$Ns))OWAi3l7B z$VvYZ$orrt2Uqu2wlE^1D#vGMYA z|NVXU&L&2b9b4}aw~gR8dwt$``!rhcVo~}t-lRmGBNTAL-r?WHaa;E$mkD$Zj z*Bqe_nVi%I1RVBm(HJ!JDSi_8vQ~=fLo$H^$#D^Kpy3jB{925G_X<8R2BRoaBBhPS zAX5;}a3_K!DwIj%evH6Q6jhdLbA@;F21TW}ftYcusIv{o32)4cA{aH%FhMiBVDf$j z*Hy1pD&c^003Y)z0UNu}=9!4^bcBnOH|37|{#b(?ht4D5d9+iLS`3=khQW^3x?s$H;qj z4gqx6^h`u$V;U`}OJ;fw=L8@+HuyQO^U4GQv2;sV&7U4XJ= z#5)m_^xm{$N}hxACbCr26)J&zd9KO(4t1^p{@X~xfdU871YHgaiaUD!jfJeXzi2wio=08R1MD(1U|NdU*Ne=e%dfj#t@e;4;sSGKpx9554iY`)1dWF+(~np3=OJ z99?>$02eM!M1b(BP5~2pH*Ofv#+Mb4ZazHC}SWRgWeo36**UDM}?Xi%7xt z%qc2OC>M&ri%TBdYN};7?$Z(iRJ_%4X!8s9MCPN!9*dz%ds^u^w_PlDbHBZT2S(06n@R2>4PJMW&wGHffXcJ_Kcx(1ewTk@$F>Uw1yN zptnsSx1OGpPDnSCb9r@z9Gojtc>GsHQ~=n<-d;Z`*i~V+U^p6$S6s@B3en;fKKCq|>$qT1!8)*%X+ooKt*0tCYr`Id zFQSS`u}ZpXL zcGi79oKp&nibKO}O9*!1edPSaPR46$*yYIGdeTwpuu#j-h4 z2|#`w^)g(XTeC<=jX0Q;`%BxVF^dZ56=dDQxkonM&F+Ts4GoLh%||AN>1iOgn!*jz zsu^99JWGQUFq2M#Vcc}9)2$~Efc!jSq;95prR0!MH#S7KM7j#4B??$tBCI4-`OueM z?Kwy~zl>zVnt0*816)Qqp2(2q2yTZF`S}P~6j7AYBsf#b%1>8l(5exr-V7t%#%#$% z1wX##U{PL+fPe!^rXESh63(DK`TC7czapJ{!UUm&MJhr-SRkW_pd(${Yc8*|5eqb5 z@RWhgg$ZA;`wIKA(4DFFpV#2uvRJ+(LfPv6$lZ$s#(ocUYx(%^IT$DRLh<#dlW zU!Z$rW=)63gE*^0GE*?-bBRX?rM()%8UM-wu^cvDRvc4n5gZB)u=w>=5+&1LNK<}Y z*ddMN9E=Y$8V^KJ1o#Jh0F$r?og5BuXSlc&&J$~DxPeT_lNEKNsN__f4|0m29>_08 z7o>yaI_CN8v>5#WY9vPv=TMT67K58i>IxH4lu~S?XwjT;fPXV7z+Sil^=-8$CfPz1 zbyOSk8w-s_I&xAME|JgCENsNGyj9r=QTmRAp3-vJ7O8^dZboQwk4PXh%?`cqxb6{Y zg#br(rE5F;_90o&O}cJFYm1>q1nE_&xk`GL-tD3*3fTR`GB=%cN;$(@I#20Q$k%`& zWJDaL>DBs;X)h}JQLA8hCj>YgB$VV#5nSr|b?(sMJ-$FbK9X&M(2!3~d?9$A%aU13 zRUN-5mq_U6{p5dkz$7@9XyQG_MKU%|HCooXi5-J3(*DH{O$m%0c~>lPPNkh-dz@# z^!l5>WpPmRkg!`n@hlokP!w5y-@xftT8LhMs4pp_vFjrn2bK1RXR1;*h5LbRX#eb* z*RFbc=N~RP66j0xJ-Q|RlXG(cd6@$Ny&a#@QTGw-+7(0W!Z@bfA!D;(OU;kxA_ReP zd_js|UrLcOjV&5Fg6bY>l9SS+E0=*FV_k3t>}rXYP7dtBy#icybt0J!ri8KG@K}RF zi3kko=k?}*1yO?28U+fCClTFP-2`?r4Z^CF$K;5D7da3F#^+Ya$_#`$z{U6|4Sm`t zs>zX@YJY%~%fjWxY3cn~Vq4giHW!VSYR1k;jy<^%(N0d$Vnz|zS~5e-S;o}mJH)m= zqZwT7ArO$3g>`-bqjG$}La$3YsICr~T?x-F=~0wIwlBRNwL*K?VNuuhrWpMbwB$iq ziV`o<$?4eXy}ER_hl}u0aK0-v1e_c4%O1xI&bW^{xm^Y=xDp3j1`NnKB0uadm9vN- zn{gUb>2WD71bL%@bA)itNZ#~XZbDQfv@?mM8RG%w91Qy-fqW7=yi5ezV+;awC^~o< znR!8$#mXGP#1RDxLE+f`J`$6HtRwlUByf*e12YAJ0{Ngk7)vI}-vX-s{3-POIF7!9 zH^4xB`11<~fB3AwRwVvi`Bu`=h@CcGiah1v+eh!Z{?$1@+WK3n@H-bXd1Uxe`ltOx zBXDmwD-hJd65SG-=7aG>xpSTM%em~xf_FQ65Xqh^FXIq##r6gu-3f+*ToyR8@E`^P zGb^VEAT2DJ3shiFW_U$5w*rnaCjf{s1p^H!VyW|s7#8_KV6oIFKt2RiznMo)x5niE zfA;Py?ysuM6aAaSzUx-OzU=y(7OQW%KYcz&!TOw2eJ;9GtnPE!1yW+9I=rZ=-#Aq72cMk#qN`%^L;`b+6J_P@ z?YC*rWe_uMsn}4F5-3hJ8@D>I<^tDAtNqk^ifWnhdbtP=k5;jd~14>2+a;ihmhDBqY@}W zFMLjjTchKsSwrNW^>jpib#t$*&{ zUGe;uY@+wx{ADUyS)E$@(D-$M`i$Dp5xT)wo7Y*oucecY-L0dwgJ{yD-PH^9vi2Bs zc=ho?ISdq(1};!XF6c=A6_}$n$x>e%dB_*ofFr_iQFECl*D%d3g-l_Nf}#uDnvj$n z`)J_-kdot^GE}}@s)X3-j-RK2w}5nrJmPA{-wmy;obOR!V+2EXRU|PRkX!gzhCESc zIz%W$O~O$b9?DtCGn_pxpiXVBoS_QZppXwOK<2 z#ZZ)3k%Pn4z%*L%kxo-jYc+0F%)uok3(>%!1}Sn1RwLcwbnA6a7NzGqCt7s)=;wa+ zA-R4r`Pmx&Zj>&?sq+;_xWff#MGY?GD3*0+FamrVg^V^RaHIAIg zU_nR7ctLHEBwTiMe#dYt5{7Yyb~?3uLiG23t_*P0UaSh)1x^-w9AcKQDOvxH@&XJ8 z<}iO(CLCq-IbI}=m->X6OK2Ytl6b$86res^K&%>Di0>%u;KzlXzqsVtjX%xj9^R`zT7hPxom4LvIwO2ee<@8#OHS7-7U=HN z;d`D7|3~mCwMs}*m0x!NrBdw>3Rn=L7dyI>1}+LQCV*2B29Rk)%DEgb;_QJT!}Xvf zH#irlMp=bmqoaesLBfbjLON5@`flgm*>x^%^>ou@J%S-nh57ke@SD+x(!E8tTdAXA$Lm4KPSH1MEhv8j}%0SB$sJ)3$xs0e@ z9wBX#{BD_=nF~h~N;k_iV67MIH;;^d&NRqQJNo(4q>F&WFGLLyxE3v4VC)4#hU`WL zf#>b^ktzkKxqL2KNP{y`(eq2gPn_lj1Tk6ZP-G#pm;$>GMqqhmQAvS$=qYx+pGF*t zZUW=%h+2J?^RN+L$Ils(OCntFc2pViXzB0cWLl5V&LWEx+R*68NmyI$Q5sus6zzAE z7aAwS1>m}@2BZ)VlIt2~#tZKDh;x+iclc{-q9~=jQl+cM%3wBZfA#;EdF_Im>uYF5 zF0m~B2mke$h;sk+9os&<_W4EkZ&S8B<$Ls(_E7DRE~MQKePPe;z@V;<)6~%YebUHX zRL>oDgwXdLy}YPb6g0y?UxcCz!2@s8>(4aJdCgG5$ z^A#9^7(F4v{dB99*tbb5bgE*E%+lVK(#8jAt)jQp(9?uUezGY1oM8S$-*$_OLjiKD z_#)@UHEnf|@nU!Lq{5fhRH9;pmKIS(KrszQy>Z(1Q*G%%HK%tOh~MQdLnlT5g1Wb zHrJiDK^PtQ*#e!V)jV1PlOYWcpB69F@NqCd3V$PZ8${KX(l`rOMd&gNAYapyl1r_# z3;37bl7`xd-GE#;zq=!h>>y5O*E#Naom-WvFTk%O(F*@_-TMaYkxM|nj0kd?MCu%F zny`awaoP}Yo=Vs!E$%?JVl}yEoIQiBI8+ z(VO%h;mvPbOdz^`#$~mhDhF7;4j_r-ZhD|rLmW}=$5IG z>xSvMg$&&*tG6cPA0MseB|>?bV$thUzNc9#A34nnxgfAWKuCHz=q^SW;G%L2AWQ(H z5WZ1GI0*rn!j?es__G6petSYEOH>f)jN1}~3 zNb(&Cv5Vc&Dm+34Q3_L4nzFUsF;dS^bp`CHy^)~BZ+*Z8X-Qreo+9Sh!Xo0PiQ?S$6{Rkia{NCy3Jt{NO)&Kri;iq za=yh<>;kj+E9&+2(k6irRU)}Md z5;YUOhxu}Hwoh_sb;S;vG%znbkI$z;AUI!RcHLX`^df?xcT57s*NdH_m=(e&WKnvM z?wKA9K>8>P!kQ^!8;cf}3O7K+Q48G4ihv>xNJV3e*t%$G`ZdY2i)!s6Ks|M=K?gg6 zE}U9Xq~k+2!Y$E)oYIErjC!<#NRrZ%;r7bX>fz_IB~! z9x=)sHYv)GYSH{8#{xB5X4z3SL|b4b;W0H7B6r{41X+k+M#4&)i^6BwrB*GATRfjw zWQ03f{sh>U0lm(sSz~G9d&WdyeHc$shQ$j4iCGLDigc^_OH9c-Q)jo-L)GEbB9W{1 zmLI)fsf*YJsm89f{>jxZiJDJ6Ec$2eO5%B!#+5X0iNgDCQGKa_!ANpqHXV5H)e^jD z)goc;x~^$ygy#{Iv)-maOwsQy!oN{!K<)nFXQRC`=K-Y(RaG;Sdrexv7orVESsW=_ z&5N#7!z~R-861RoDT?Z@L#dh?8m>J~`o+ZCW47n$`4=;(s+)uY%4~t#K(!aUp@Tqu zlR~pb5m0+|LL%XjEa<}#QgucR=E3vgg#rIN4&gN((d^J{0<_~Ys_}(L7{-)y(Hs%X zFP{LvQ-s$_=e{dK;+Uj*=={GsG}lDMapb4ZI%AXGZMB9ZfX@J=djR9_;bjjsjPp^0 z{6!of_w`qeR}apjuuZv=)PT83#RWT`dtv)uoVeq^p1gC*3pD^yG&HFqmPHM2Bp|-5 zJ-O-abTdiP7cjqb+Z2kk?+#$*o@@M`3zQq~4?48BJ&| zRFX$lqPWET@{puuPn6k1$Tk5d&GyP!(qkyURVaP)vlj_K5=w0UbWofasS@J{0GZ9MayN{OaBE6|}`-bJl-Xt$G9CT+!P?;w}`fi_-D!ByG8{s>P7T(g@ zFVu0mJYR2ZzhJyOviNRwE}3XFE~D;TgtULa&z2CfV8x(3W6Z>hgtw0*`f3B>{{e7YpQENhq!j z%P>C$E?jj1jg!1o{h)ds$+{AT=?X=K18U(BvNW(J#4ViV5pwRdOoL?w>A-&!yL|aj zY?Ki$>+muVLb5r&~PCWOSdQ@b7wsLs%!*D(ZYR#GQv)@X%4rbL+-tdDH0S061+ z*0s#7g*dlUOJrQogwh!?G}_Nh+S~BvopJ1K(S8Siw9cG@Z{KN#&rg0HOdjw*VLN@k z8FIQp3;UbX|8kXFo2 zm>mD9za|clzVRPk{^u8O`S8VC{@oSNo$&pwo2_%M3AY2n7d@q%@9>An@*LZD-gxa- zZ3D7l^?$l#^`GB))8ZdKe3=4Bgb}JFR{uqWR*}|NM|F^3xC@Ox?UNx~U85VkpYA%U z8%Fi&K2@YJ(ZmK)=$N`Nl`;-kYMvdT%VrN=>6OQPD0+Lrud^&-q>OzT+CZ)NGz!cE z^#P@HcGqAk+|hl%05JGd80&5juK-*UaFIgxRzLA31=0bIbK`#$^?3X9P%tgH7Xk{@ zkI0{55T(qCQ2KnJwX*9}@e7qti4`D1;JPFPa{Ic*LHz6o1ks6EaiZZbn_9VSunPk6 zWx|M@IK@-WB6~&{MWBZYp|Dd@h6PO}dzOvSBrr}J@sBAvm3+~{1=EX6->nB(62=VU z)Po3A|7}2FbJeR2NGof#!C6FF*S|6-VU8+Qs#SGzBN!}bGPDe|U+P+(O+Y8JMw-W8^p$S6+0rszFj2Qm+Ek=RJ zeYZu4X4Igsdx|W{aTnAEt!+mnez8Njr)p+wO^*G;;e`Nx!7FgT{->`ldEmuEZhh&z zM_-OoZLaGMb3@~A8D=h(V+cY6=V95xtFMa|BZ8P(BJu3Aj|IB7?|SB^_dIyt`5V9e zH#cAV)u*>S)@YnmW=6g9pB-OYncw!}vlg#iy72_S?jzJK-(7Mbxl(n(-bs=|cB$^a z?ZjJdTxgH+8?OD^3(x-3RX%Ke^8ftM{bzfkra93RN&D<}=scO!myR%wQRvrg3AD!r!ci13A8S+;*)chBW=&xf07_!O$@-RAAY)&Lqc770usM*4E4rK{^x zp^1VZw}LzsCl}=vfoAf8SHwyfoG;&q$PI2m`0{UqBQfC;B3ORRhZ@*}qVQ0hX!4^9 zU6|jH+a7**bHU;73lWPxBzMCKRCj@}SHhJ`r&ccgP~;F;fxw&uXwM9hiy8~OP zZQjBs*u!q zaZO&a22&#%%9)r-ThAzhIuR0rJ8HhR;SIJZh3sp>HHI!T$w${va(=?$(jPRcZezqM zj0TzPq#6(5-JHU4rQU#a$D3t@pW3S5LB>O<1aCPMmK&we`I32=Vj!bg;j^QRUBz}_35 z+Wv)`e~u;^{M`zKjz-gKhMM~?Q-lJ)h{gY|V-&OlC%?leq&>I!u}##FwFp$fy ztid>U_@C?(E-?YcBQ|%s=38I-*;Dt)&8mw0x%(^E(!yszMj_0@h=&CFK z>cpiVS$+1OzWU;`p+uQ%-`TEC_ChyTEni=N^ID^>H0e+-+?w>bj@CTVU9Y>$Btl>4 zn4Hq&=&y=l{7k3O>gt+~0k{GOeA{k0cqn9pv$`=*FF5y;;?LFpPGrKd>%aY_ov*z}$aPnL ziG?EMj@y^Nwd*DFpL^zklTZ5BTb{fBrj3Ua3ouE3cJqUwi6Cb{7)pcj*LQ9`@4N&2 zgqatd_o-bwwmrM$flW6a3daO0@RrT@UvTaLiUe{`J#OD;w%q%zD-IHw7f86WHJJb4 z(e=ug63))Owri`$aqGhKKG_~5xhtx`d+u63J3IT@u9xn-VFsPNB~&)&=YDqo ztv4Pa6*#@+hQpuPa?k5KUq1ibPl^l)Z`^c*0$+IEr>Z7q-<+L$@WC@qI&NQO3uu>7 zAT2_?@SIQ2Ry8Zq_Z~X!#AEmMTq@Hrx4SC*nP(nIoiCpH(Ie}hd**&wW4A{hxwM`l zr##x?sq@b{z_ltR?coQ`IevN{q)?b#CK$3e z`dZ7WUkUuqro%5d`+$0i3Y(j|VdIfMeB`pZr1CfW#;&a}{J51L`Ozcm{8oEcUG-&c zQtn=jCvB)_ZlvLrrZE9_jalOXyrG8rB%E7mv|}o1bByC+?xqV2-v=Csqwn@yi;f(b zy2&^O5i_9x-?>R45vp5ZG05D2+=e;N(tzHB;ZWSsBiceq(7C!Pq#v0lR(AkSkh9p_+Tub55L{CX=CsOZ~RAUYGU4~lb5b){0ayyJa ze&7BLc!I_qFT-OFqPSLQ?11vM9Sa}Wy6AxyjWTzf@&ye}q@Z#+g_&;TV;3dnz&rniPPm$S2%xigbr+}5%Ud^Zy5`XD-G9FO*{82enB@2le+n&* zheIEtL~Ly&sN|mUnJ4dGea`=O+VOvcYdoD8nu^AdR#$Df0Y55PQA66Bl|j|ry8GyN zF1pi++@#Pfu;&>pICQ=0xzS7Az4^w&fyU(<{=(dqZ(eo~9Eh5|cnZi|wB|t4T;F-; zibsC94n~<&1H10La~W8;=z`Bu6fa+M;G467kxSPe2xl1Y$CLsLmQO!T?Po5% z=(9U_y>Q~O``mTs%+{?>oO|y6Mj0?gNnZBo4=;n05byb?9}poJ&SO&wc1(uFQxSgA zXO)UJd-2-O%leKxr<1CpZGQH?d+wZBf60OJf}D5VJ^j=Z8>M0hFjY2O{8>+=LWq?d z-K69fe{N=ZUC+*4yynjUZ=ylS**OJ3E31y(_vGU~y7`&=fPDOBi=&DU4z49r_ujek zksoYGITufD*>cYvcg!f^WfvbPWZflyrWkU(cU9XGusqtRW0%*JCp-|4%bQ zjS*GJFK)%cjE<%nx*Dwo-C$T4`QrIuJ66pYXwy7$k>)DwQ~F zfr+8f*M6qhbs&zI38Nc|=PF*QS_j7gWs*`u+&iGo?^SSt=j(to01D6Zy`KER)$Ohi!JcYkR^WFc%XGLV{Ozy-LVaPu+dvwTHR&E9y3i zJytR4$pCb|E)+Wjl_(Ory64st9=i8@c1Pz6?L~X1zj&^au*MH+U3NW!azz?H)#|hU zcUFVX6`>3*!Q1aPsl}!}Yt>Pf(!b(0ZQG&t8A#tLQV=IyxH@Bc;-pJoO3XEV1DY!=($JVX+%uCyTa^>X*74Kxs0@AKIx3ty>4!N)kq?IHfT%)}_{6K8o z#h<(W+h4x*ro-1=@>$WSz9~!n@8oCao-)40R5={Wcr+lhc6H*rRw~aTMEngGeO{5s zcTM0bPqA~`md*D{{mQNvufF^X#Y*$8xZ=O498jFfZ08cTT0T#i$yBQ3mfSz-*Sd>7 z55RZ5_9A2I7jv^f*j_O;jHr@isZH&;lBu%Ui=wFaZUI)FZ-{oGnp<~l9=w|1y7B;4QXBb z?JuhwuT}Dk>Wq;?AHnUZ=9hSrG?J2b>4k|YLMow#1H9JMVLhO!3!0Fc%p)8#@2qn^ zCGsgJ?I%J8osCeGUrS+J7fJ1IoPLHrwCz%9B&jlVNy;PC`{or&Q~Nde#`Xr`hVcx7 z6tnoLvy@w@CKZpQlW4^VxjWfX2CXm_Y6Fc4@<-7cEsFyD`r5*X&yDSbfPK#YQt#6} zn;?n{LIgtaMR0zcLmPJJpW`|U5!yNsdBPo?R>C$7LL8NKGeuT0_$owwg2DCRVyc0O z#x98QPO$TP6CM_z!w)E$=|DY3EExP=_~^F3y?bjg)-nD8+eT~=8h$4dQe)+r5jR5# zH7N*yJ7je4Z72Tl`x`t%QZ!ChK&)p{YP~u8dOY!!ujBKQGjmMA$wlLF360a z=w0b|9~+@SSjrDW}WBGKWG27YyK?!Qlt>mX3@O07sfh^*K4E?qJ$^;>OU9LvrjMl z5Iy1XCpQY@M@m&Eay76BiG87&#cw%jsO1;cu%qXUfyy~))hV+^4#25HrR_k@bc8mF zN7K0B-K};U1zlbD#Egn8Q%7U$NCD|k8b;P-kMIkq1NepNeH|t$BnRWddxW~_iTuQ* zwC^!FBxNWYp(5|Gykf8vYG>3U2oXe{WX%Mi1eQTjd-2sEwZaHI+&6lvgiHpeK@U3j zu)^1loOkHoCgdG(>klOua#GrXs=sp|*>?VqUZU`a0`~SV=EAUXUtoFr&ToI~OKpJc ztt8W2!v(MIy5`C+J@w?hN&YKcQvbC4N`fwWu;Zb7FFaxTUl=RzrkKVy+64o!1OL(E zszdd4_beT@4?p$X4ovrad$hi5%oNmvBWEKES6}l*yrWk}$1bO?E(s#muRXAtMY!>T zzyHBHPMb{|4-XQ)Irrp~8()9zrPEH^ul3Jp$g{JcewezsB>sxvOi=)U6#iC+^ubl33>>JSlgSXs#XhS#PZ3Iq)Mf32&wwHeV-~(sfeDjf8xBdj|D>)B8U-xs* z-mhSaw>JKzIjT6!1au(X1${M~H9H#>y#{=pMO$;xfkFVXn4W@T{EU-64qYk#ue|ug zm6snJURXMhX~V!F$7t`d(P&uD$xNx9@r}Q(l|wmSm9{E-O4&W8sTyuQ_Dbj+f;{@(+Hv4(pLD$Fok2FYc_9 z|9It!eF=oX;xM=bs?Q8VFIv!Y5CjawR%{k;*|nGo;98jx9uM9__n&$5#;>VN1y(a^ zGWvgUjhGi>f!VkCsX}3u7T4z~G$%9~s1J0LCOHF5mk3D3EeVm|D6?aQ}q{m~1%ho0Xd(LWI!W5iz>BPfQzN4|ggL*KuwgZJu;s>R&N#HEY;y496_mqFX>6*VyBh;d5w2OJG%XClCSxS`}C}8)` zawVnzj@lPs*Mt1*PRaz(PY0ARCRo;o$?duiT{Pnh>kk(HoU;zNW#eJGvs?llYd%N! zgKU84)RRB)i&<>&)+wv@GY1APi2ympq+lCaSiAN=f>|h+GSpTKVT!phg))fEkHq!; z^42FWJokVTkNfD&n~rEmJo}W7gKoZ;Gf&n zwh!%=8;{)l?7hdY+UJyGKSp)`-UH_*Z3ZI-L&inm`i%WzTFlMyu0v5-tAQ)O^_NPE zl7wRi_vP5*3m*LV;>WQVL0Ur+3ZQy9pq_sE{_os)7*Tju3AugFJmur^y6esrEVZ!Y z;`x}!Ku@&srFlE+*L>dChnHmpM~-xWRWtjFeB(`r(e{lNWolL>bQS@6%jnpdj~H`T z+O8;K6B&Rno;TPX#z9KQ@R(tWdJ3fDSrW4@1d7}Utg7=C&iVAJ6(7Ch&Sll!LZB{0 z))=(0*5SKBPMRobeVt~kq1Jl*CI}6_8*nG-v+T%m-6Ia621Y@R5d@`coVP=qLkFm& zd7*qwl7}psAlM5QJ`eaDW)VN0B@{4G#>RyY0(Ub)k7&0wQ9hywtO)vSm!W$u;X?YO zoVnO*;<=*yw=IAI+Ee6br@{qT0}U}!j61U(Oi4QIZ{|6_8(nla^!(0W zO}s-qFRr(2d-X4GdEwpEN$KYXuL)r}aO^l=f5n&fwt|A;<=2XwojZ*eo8vT$9qf&) zo-u`D;s`6>)J@m^je!Q*h1R7tYI1lXeWBEN-KYA+0QkGRRrw0~XP|oPf0(7%;4?TFsBR??bMAv^)fXP2nH5gKffBN_hFkcq~GThw& zieq{8IiKXyHk*aw4NOEJAB>!L-lsqiB$RynYg^B&VN*_w*LQ6tol4BH52%45$xl6b zeQEWHEQA|B=TcnJmQ-RozH@U}EZ2*s{^5y@Pd=4Ypmp{620imfTfX+1FUiy}F0QEu z$-{7=Jn_U0&i*`?=|+IGCLK+?0FN9g(o%Zwy<<9pX6Np`YlR2&Ac`bM)rCqFiK_2- z>ZwgnJ$YkTZ?SDHUliF`w!7-V1Dddk>zC2UE^^qR6QSH2y9^M|=3eGml&O zVT+wfc;fMTF8F`Ud}H4?-F#@~`Yw&h_Wb61*;XDQ<(tjJdV_1u<=D?dIlMmeniwX= zWw3_Vq2js_;mSVpH`8<$sT{-3bDl0s-wEiNoPPnWR+X%fa)$TkOz3Ll8i|Vv^H8 z6KzjwU^SF=5Ynme*53q5(y8=ZfZskSV+0)-cBtjme!9-j%ECD%G|yxlan8@t7!eIY zAPc0B(T5}Rf$816Frp0?_u%CoL;fKCE&n_Oe)Sc|{+iKbs|l<)-rHx-xO0Z5<3S>X z`2dsexN*_m%6Vh^>li`u7HDwXl7wfTeQa;#bbOXeyka!~{o}_row)3u(;w1i%5EJx zR4L&)h@T1hr9M@>@Q(w5fKKP!7p~Kh7tI5z(bl5X2kV?cAK`Afh(jP4n0^g2wf{tb zkmUwR*RDNzIt~Z|J4=_vZvb6EbVq}40l)@%FjdHAw<3Vwl2a1$OOwy)Cs+_E@) zq|HzW5Vp8D5Eq5E^>*g~7t9>jAS;06h6BVT+)t^Jd&%d|2ah!8#95xT5}lF4dm&MlswiqMbXoJTHEYDw8rl6s+C ztEsMRBsHw>aJRgLd0%ejNlU&=)w#PZyx*p?+Q`mM-9m~$#YY($n+9W0`nyO!vLS-RO?J!Vk|3uenrUAYM3I0#l9ulRNRkE~3(x>5$uNzaQmQk>G-D7;gQ&ntU?MnuVlEGZC}GgGa)-Kf??ko$ zfe-~|GKiL9q$FKzmDBPIrSmK#ga~Z$6h=r)KjTfRT15xqBtnJsb*HibFu51TswGG$ z(T0hZ91UjneMp{|5Lo2PZ zhQ6gKx=7QF5;8;+Indp+NeigM0yXt0{i5s$ge6d%g=IlRv*MB(1O04#?)Z8ZOb(kI zo$bh4u@4bYy~;h+Btk+sqNX!NV4yx;px}8?Lnmn-Nl1IBO5u-Ks(wv0b*mFLBRIR; zM9{+rLgGZKnW!+|ctp=fnxtx8a5gKcW6~%85Y2=UXJMwp)KCa)E)hWHcd@DIc>mY^ zH`9Tl=f~)fm{cDO+G{BiPDo{(85^(E*3G|eImUQH*1fQzxJJN*VbncYpw^Wl_Z_+^AGZ%LKqUq_vLSu9bEfQRYGP(!eb6 zZ;%JbP+E@1g%0NV+2s@`FbLK>VBu#<3oQ%JgLMK6;aAQSdN=k~e2O=q=9US?umY51 zdg|Djfq;}j);MA*?Y)ZUT7*R7J}QJ40g=J^#4Mh2tLT8h(1(%;cQ@r^T*Rd_6lvvj zy%by{q=34$^yHD)3+RAV2%;bkP6AIM;sB*6;ZP2m6+Q>{rxRY< zezI`45vj#WE-?Cb7fD*KA;lO=Ncg}7sI90+{D$jfR9HRTs9O-)@EhG*;TO#}<48#q zRl($y$^oP`;!G1xA*-mK&P6J)1&UwP;6cz0Q7+gu%EsX88jE}cmrV0?Xo7Ib-OaUi z97w0CC{LY>WD)zKitTQ)sL8`!JY`7jRmmf>4k3Ao^+xK=reWk6>y40~i=wj*gz8GD zX1r*85s;79DA+E-!rE0M@3)#tnS`;S`dw%qVTV>Q1R65-;FiOb->LV-B}yY{;MGbs z;E+o-)CT#4&?3q;D%zgSIGBtnYQEDDUX46_QKk?&&@}@1aNCzat8K?*Xal570Nn>r zvtNL7y3&aGQUQM*{gZWV{tC_Z7I}U@;!~lc z>PI;*lOc|v+6QSb9}lxg(fl&VgvNW%3$@@b0A3s7MP{M;UY4e3G!?-OhC?1M!xox~ zLv!jz+peVUQD?|>Z_Kqg=gMN1updy!lyCp>{AGw8%RSQgh!u)VbL zXz%5dkvqwdFi8wXG=nsilqN4kk-%(ogeRhu201}r?R3{Af#gj?(6z(Cb!3*(m`Qvd4B}HHr%=iMOJt0;2ro~i9p?&bSWaiNddu1AkHqw#844-U}D%a$c<4A-= zOV#CFfaNZH&d|HETUA3C$)!w#+$wh&389`k2qZaF;?e3AfK7FtKsDCqDq+{sr2bPg zRi&uJ8a&k11rbY7mt_qhHWr$#2Q|<~Wjz|kB?$%Zv z4e~ezs)xlEWssM*KMsGH9@RExa5zjMVfmwM?leg&ch!Zw#0T%f^_2MkYf;Z)91#c9 zykp8hfc6&Yhy%~RVeY7%hkXpXY=Kh93-C*^mjqk~$qU27R&8a}#1E;A`DP(cEcaY2 z{=1S>j6;DZt@)x^0GqhTxyBcoIQ)e9>DQIZXfg!q-Ks7h7T`f5uqmU@x%IUL!qG~* zk770aUfT=N{4rkrO;DB2Jh{%r-s3i}PG}V5zV>oow47uIc_%2`!asO~n}$?sMzzB< z>>Q;I4eC?%wRF-}kZMawKfBusY;e$XT?|LTTEn=I$f-Rp20ZVjsp-!1!E!(VGL$C@ zV!(&=_cAfa4B#LO5z46!H$ax3!UJ&?*pXoc@NZ`eWD}={S%Z+qRWl?3finUdWD7XQ zn2+#SD8O6nIR$* zSjvBDFOM11hBQYe04QE<9xGhryt|@+qz#X>`A{rYToWNGvphK}T;bc@R0#))6oItn zvb^*Gr~}t^E0vRkWgVy@uegmbFU8nXzxrx&p|(V*_ntQ9{k9FrOn{R${Gl*08&&By z=4CWlvy8DYeEBHNQW>Nzh2Mqv0rpbenZ zF+w)?!w8(5)_%&Abx^6??E_!9NMUNAL5D&~zAL1EL2~86DRLyFV1M4%Ks|rX5wS8P z>}Vk`BM#-rg5j|Xlwou$WfY)3;ua#PZd>>tmI>gGfo|nNDnheBV|%ke84=+HN8KHm zqAdtne63b7FcUKLP`uzGu=acO*T@g0+il{>`hu$5p8J6s3fteEp=7!dUk?Vz+J|hc z9fuEpO{iha#m~wyAs?u}o{yB~S9`y-l}%C66ybSrzIu8yMVft$O%LHs1R~T76J0Xl zW0L4#!IR)adaKn>&>ln&dx4sI6oeN74d4%a)2D#5tviDi1p=^F9Jlmth!IGK%mwB^ z3^v9oA;;?eSVqi@{6=Ouc6jD16=PvQOvW#kU_moHt=jL_0t z3+zLY`iO+G4xBxpiY?7KMw7D1F`060u{+o`dGM4l+*FUI4CEw9JEo?mjyK+c5vn%& zZpr||m(I)66|i>}@K9B&htOyqE%U*K?8>B4HW9pHw=g@S&r6S8RuA4B;l-&X@04WM zA)S&YrXf^qjGdUL@LHW)Z7BDk;@UY@E_FmRZ=nAA-z^~7<4ct_bm+huha(oiWaV+I?GBw(1cAm5 zTqrP^u?Tv~>$v^JaH}TG()z?l1Z)s_F#&$bI+#RgvIq+lUvMk+G$eWbUfK%{&c6i3 z0~d@O@k@92>L)q?`0?78hbL})e`R;bCB{T=b|kR8`nmf-`Wx(pn85iEa{#;=xr@ov zKEUf|A39*-l$}0M0863Al!0^g^p5WwEwN~V+kCiHSb-5hC4^~MZ~^n-QukR|P)(zE zm6{F8+=3+SP=eLOLIdF@?Ko$jYGn|)+%k0UcH=-Q@>exnqk|rRuCY-W1zJn5rcRrW z0u?_b)dx|@t0=5XmeIz?wO8eI zSDeJh4(hXtO0s8
    =zdZ=(04#|~7Do+$M#FMIL7e%jCwpbiurgEC9O3BY4MeM$? zZC+ndq!&$8YNG->eoClOng3Z{BMl}MUTs79yM;tf9ne1Q5ET1Pkh@U~+UjYFoSHF^ zUE>$pPe_4LP;K?fs}He)yD1?0Kw9hqafA#FJELhK1#}<~ASb+>OA3a7dHnFAvCJn%{wfi;S)4CEx$*XG&lLH`VK6q~dVT+k6(Ebb^LQpTEHe0^L2 z-I&>MI>FBm5|X`}viuS|_zAI1+H`nEKjC`DbhF4{@BWgYSi#tV>qyH#VF!i-$q2)` zX~!E@sD1GKNYN8YKZ(Xt8^sK;Uj*Sgz+OjRcgNHVhNEV2h|v8@H%zArFyz~@4|$}7XQ!SJ#v!X^~pf;+_<(6WYx1KqHclc)UuQM?PflSSQ962hTnF5;YT zE{JU+p>u4I&_p5}&tEiC#)!NiWKDk}O5s;d*VL?9XmHz2M_RO1kQ+FaJY|?3E>ZyT z83pD42@!Ou^${StEHzVkQ<(}A2CVC$It zMRgap=Pif(Qmf=t$pz+Cd{dFxkCr#C66zMRs5x4x@IEvHH$iBo{U0x@ud6cl?A?V^}oh)r>mf0n6g(iOsAJyJtN5=q0A84Q(~7k%o;Lz;*mW z$IRnjq7)H@9nuS;{|v4nqY@c1P{N~X--)kJEw9mv*6pn<<|YEOeuCUcs|9P&KBk0E zA&~6bJ*8{8_HhL09aqoSVrz)ZB4BGAQ1HI#PtFkh>V|J3h>kJm6{v9*lR{*lGx&G|iX}PXI z=yBoMpL*?$pUXnu7df~`ooi%RHnr>ZmuzRz?g-x+*tP40pKX5NRx5MOoUCsLJpJ6g zryl>YzkhUtO?WJhzTuj$fDRu=5Ms4#FTVwDR_|8MSwd^oFi5dj*#4+c2w>V?)#_#- zf8U+UmGQNmFWh(c5^x9l*eTX(@zq0Gdi90YJCjlCaJOy!2`%)wEq0u?;ZoqpHWW69 zPz-CX1L@N?Wjb6&Yc8jdnHK=QFBh66!zAI zyIDJIpOD$v?>=syZMOBhFuo)voRDtlH{>RH$1!LzT+{%@?6WMvYNHO z2R2!I-v0R+IpbFw3Y=l=@!{^c`n@&#TEDvMB}qNh8K-YEPEJbix)tIvv`du|wCH zXYJqC#JOjE$}5%-n+OSm9Fq~@?|)xC*R~~Ai0{~@JLpOqHy*n6r6(2B##|PF!+8Ql zvIIWch95O$Z(Gmc!Sf`ubJt&c*rIPN0MX~1`NjjSH0vGL2n*1D?qIJBYjE+B~n&^tr05c6K;Xr=b)I}HD?=CxeLJ6Ci+V>#+bkY2a zS7(3Jgclq^NT3akVF>fYEjy>>OU(NX#y!JrQ4JUh7QoHWhq0UA_Cm;W@ z&6^*zy5LN2xWYI96l)X!OxB?K50BcW}M~=ZY5zt4Wc0e zJc1gU7s3%W@u>(Rca1(D`Zu;nnramNy&;7O3{Re@AUY^LIr@<&G578Q`qvJ7tG>Ns z(KmN2xqPQDK5zWqAS14%*bJ}jdNu!k0PO{@KH5dim(~ucH`<}Ltq18Wf%IC%kdSir z>Txb6UZX_Q@5bQ<3S@dH1S`);Z2579AqPSs#;jfs>wq?`IDD~W3RqE};*F`FapsqE zNdfdbU?ReGS0ADW^}hY9&-%1Z+IZ_?_1Op1pm6*olzHK{1`V7RxaFG#Z_S~J0J8l^ zX9H`wUN#>v+H?-$edm_L1iFQ)>~Y#$K+%2mrN`r6LRf>}Ny+DlDT}yerssh^TIlNY zJ}s@1kGgi8MTW^l1tZphKmX6%1hSn zwx!SoZi1MEY`7@Q=;;)|mlS|=1E9eC)i)Yc<88Mbs(K%LY`qdj4wGl{>=xE7g~Okx z*|vY_ifk+Hs+K}h)AQ_xYCkI>-iXRn<_&AI8@9rz2e~Ostf$VnHilY#?w{C{ zy8}G+O*bB?d61?hpkT#Qa>XM-h-eqD{XFCsr*#9jLJdn|t7f-7-#LQz~gcZ%bC>WK=p2OQFeyxvGt2D1mi+3~AYc zu$N)lh3WFk4$?0G?`0bfeqpPPVY4r~4~Vc_`g<0^WDcSlF((_?xTSV%C99b5k_;vt^V1DgX;>B-R`aS3^5%3)p?o@-M3i z%0T$S$vghj^!ER}V&@-h;kRAW(|J?bNvtRz<&jtc|!x!Opy#6la?`3iJIyzk< zyinr>)dSl8*H;V^ z7$T-yc3{&luDkZjlsDKY1a5%M#t`6OA2uCUpW1ls7yaZw=GM6W>c6&cw$B;BQmE_8 zGEY8!gS$SUuvETFLe^-C`mcE9b*uzaaE7ky3A6rKk9=Xjy7DWhJnhN_G^1(kV}U9Z&J9 zJnpAre=+yw`DgygWEWP@9d+fHd102aDZI^4!_cC{_ZL#;=P@+ExnyfZLEd{$C2i`7 zKGVce4Y*r%LNs+uv3RO><;`B-8b5h{@)Q|gR+8)=I6HUsmH8wGZo2BfeZ_^LWTeB7 z6v+k!YL1g{$clj%2!X?*U3$UibtRbZnXD>V#0}d_9&(lub zzXuu?St3WDuLTPb8~ziBP^6uVG6(~D@!nSE-{KErOd5cu)pXDu$2mQ* zEZIp{(xaom7Yl?DGQ614y@X5-3{qWhh*=1)*Fy$cTx296nMd=a0P}i_N5md|Ly~)`wTI+hHeFm_)i{rZ2b$*-p`X`=z;5-@A~#lN5Wp1 zDdD-NeVocCNq`6{@|Kq$hP37drP2p|65@e}&fqWFy6q>rFb&x!&p;?ty!y&7y!_JR zoHGq;T^OmdXZ2jl0NzPB^R!R61r;CtQT+M7WdIW8f)o^h<+A?LeB-Qz7{WFvfUU@Z z$^2$St-tusQ|y{G>YU{aH9VbqEBYWGwuc~=&D*f9Fnrm3@D6wxAPk>dZ~iLN;JF%T zKtN}m{>M1#sjV?MZ0bs=KzT zVBE<%fPeKNO-$?sA?w!uIaKt3tQC?kx%5A(C<7?Q3ox}o0S^^#Oj$Hur7Vz+gvNi1 z(PA(7nF(WMFK{h(`#})w7lGQ9y3(TX3ps6>`bo*p{^ZdLhHX-q62%lr1^Fdp7M*hP zC)j8{80{zGh-pyvY(@}9UU02dCqnPAp~cqhpo`+Cig!rMfAOUsU$*`W$jA_|-O;K2 z-nH*aeD}UHd@;&hHEbvu2y3VTd2pRYaG5Jhh|di1+raRjzx0y~bD*|`3l`QG9H!o| z?qIi!Ci5@(dEq(bm9jZfI$bST$S8Ium$pwy!hkvauSL+obNYYHbW(sEeYZv;V15RR zYw1CE?SVLY=`Y4%o5d~CF@1hnmus|(s?yjMchZIIG=&qFhRn!nhsE*b)LK8$#y(R$$^h)7}#;q!g%$cln z30cke`_`PHMsN*3?ffs{D_eg8=-h3fkRAL%5Ln~&*Ioc|Cm;7=`gwW9=bv@PetMt3 zGs;19?rEQJZB+W?6Fz#+UCZF75eFfZd4mOp9>5)J1WFXMwHF=8WlcX9f&avp0!}!7 z-|XHzJIA3!_db2q$4*|gFIE5H@16m3dej3F#xb>YUVrb5G_HGPSaZPv$IZ+~L!^;# z_L-kJKAL%k5wE`DFQGRY5)CXXm13N*>Laggdjb=!S`jV)hAfNXg$!g&78HPMc_sH^ zNeLUDE}g@BAkA@$Iq7(&N}Vih-M>hs=IKh489vlPul5xr4$P%uVwQt z55K(S!sC17+iyDbgeqZ>S>+TXBVWvT{`sF)8_jo@TcL zYQrUo1Cz^bGKZd&M0nmlR4;RqQRCH%=0kEMP%z5NG#hVKE&WjE{83(gw=Sg;9=irp zl;RN(HU^RJM9&6wtCbprI{noDiHA{3IAP^JzRfM6abzleH`i|1nmQpe^`y$Fo}IN@ zYP=ExcE>F@9R9P-_xsSp@yqx1-M|O#KhrHnu8cBfO1;4i8_H9N~k#P}YI) zj>$miB@76{@w;m;fLWOOkJ+KMbfoa}7q>R(LUNx)c&`AM=HJ$bjM`*C4Xg(8GYNLb zYxh02>tFppul(u4SS$i_!yhUsHt8V;A9U{E-~KtRqV$kGd7(Rw7Ov|}06(3mRIFU= zK@E(0omVtRG`)th=)=PS6JU{_7o77a+P}`OPZ^x0iUStYQBGdDFL3zQ#ywCHJ>!Qi3vcDMQRfIk2mS>AB{`FA2*1} zGfW9%$E#|g8Fpw=Xgnkkuwx(R3opp0jb-icdk>v)`icK?<%+2jXQrO^#fqnHz%?>b zjdb|qihME#uiseUe0%fIB+n0fnp zJ!uM1g@5viO?dOBM`As)QuD}0-yi@b3$if{N>ixTUK19`D^hVtH zg;8+lt!5^W{gx?D%5&}+#>=W<)U%ia0E$6$Yzp0z@ecoMETf4a6fpXNTu;>grhs(k z@(edk^iLs;{|)=$jtndg%i=n)DWlJ}QO(Fg?S_FAlxKjZ_uId*w+Pw1Fky#IZbTUT zUVqCy&p*E{A@xv5Axi#x_m@m-h@pvqaEGr<#^9F0s){hocm*zSf~~p%;`g+5M}#Rda;916kClqCQ*DozC0QT36S# z%`%Ff=3GpH8iL^#z}7~l;)|2C#X+W^lyaL{jt-)rR@6%u1sALdK$JQ8=JQatmn{$i zsAbnNEowV`d=9c@-T@7kZN!3}+Wqk|84@5PNy{{qTU27BecAR6Q3RU|DTa(Gw3UCTU#{))%KdZ4uD4i#KKJ$k4Vr zsnVv3x;!OTVAq)01>Q^RBxz%nJ1?T-TA);EKh@-z4~u18qs&0ttv#D-OXwDhBPce; z0z`wrnK(@X|I$$l((K(W;y^315ShyK4P(JzxrX6{7-&xKsA*1Sw?boN6#Ip_Z6a%p zN;5#RuFcs1bXgWhnYnb-0x?OD_0f_8e@mo$maM%pzOg_Sk^^l=@V|6^@_Xb09fT~( zh&ZZ8oXZ3VJB+I*ay^bdggz>~1-8)@si&X$?!$kd(S^#t{rP?Uo)l;|q!%^Bjg;0Tj|4J-1+D~q!^}bmU$e>!)Y{BtqH?UHp>3plF1^e{j_3K~05ejjrwnd-|U^3+cX>AEmHs(xQ{=>)M+jO@w;$j|tK3dzfGI95g}&wNL2 z6(5l={z>-A@R8^uFBcvsmArIbdy0lS^!PQJ>|GQIrp^I1nE`oFoI>WhYAMf~!q>yP zt0Xy>8I{^oxA~e+kxo^Y+ICm+t_@;kl=$`f5UvXe6*AOc)f?AYHvpqcwNhKLnT^A> z$Vb;=z`}oz6Ot`Of`rs$DI4Be=+8Q67+x!y6H={Ik#ba>J9lFS_+S=;(EM${f)@;h z#E8HMnI9XDh`CV<+$2c|XDKrT^%Tx$Nm@Cwgz#vQX6##%940%V1D0>oiVKD?{l5yP z$Ayou1E{ZJIOnc}JxR5U0)~UBu|k39i4>exzT5#0fiWy7itY^V@etNAJJ)l=9jIG|WI9 zO#nFo|6xoyn_I^;__s&C^8c>c$;G53BQ>Nz?1vtP9*Xzd{U=&PH8a$(n-|7yign58 z%=V6;gtbj&fPy=4bO!8)d zI;Zca0D;hG!ZSgSz>B*D3&8>Y>Vo9xig zR+qmhk(&-!2Z8sZ2`!%qAS4npa*;s|7fcC+66i(&Wo3wF#;nfR-H`mK_m-N*NGY|y z2Aw@rjv7j6Jcr~~XS8dmLlnu-1_NY53MpiPzq6Q=XwtgXY|__#3*)$O{#_q;{v|X8 z#i)VL|IT~An^ERs1qj@u0KXLZH~c9h5}JuJ)-dvp5I=E*k2_nxuFxxo-?M^Oo zz?m}7MyT)gw5E+p7!*w?U^7@$j-Wty4NOS5qA)+)r&KesPy*w1-PF+Z5nixmL}Pv_ z>f$(>$YQqChllcLVWni$nzP3jt)GSIB*M({Op%4m0spejR1SAsYQr8NN;1~N3Y9RE zMP#{E+|m9h$vr|azl8XiEWDtlalxf>$_61{3u(K+8)SfVnbRct1r^aph@I6-e?Uh0 z>AEZkG?7gz!o0-`Xrc%r)R6coo2rgJFN75krJ``a=Ofe*B3ipWcEOUN4{i?&0Pd0)DS@ zBqugNiK}7gVTf6P2}s#wl}~BsYa1Wh`H?SgH-*(iR#ros44rBqi1)BSzqY7>6xvx@ z+sm{%lpfK2s+gj+EIlHTcG(juKEt z;&YXR7lK!b*+*;X<$IA!6w&giv^1h5X;oS7L7~bb>*HYHOq6ig*!Vx-Rq+&v2x=*9 zIbOmG*wI^Ql>42`lNI%Xg`c$-wB354X%awJ4#Pg)mYHy2_SZU@gr z1MGRg*6_m}<--Z!hq;Oo;-*XFitn0`rUN0ePAyePDw%`=dAT&&s}P*x)x@}{mlX=A zT?AQwUQPBYEC(0(^`adaY-%6T@?jg^hUM4}!bgJ8NOF{`2T;RUO-S>j8^r%po8}25 zRvfLxS^REh9pV}Z0(>wI+e>?2KwVEGuZb2B>sF6Y6IFBYDo}*nYIw&8%@NMIsFgmP zLU7)JJM6y^U8~L^i0WmMhutuRv4a{TNEvFB!MQw0wad3@R0$k5WtA7`7T;26!mZtw zJa$A;Mk9QGX93_Nk&wkZ9ix9q{KOgTg}9`OBN74@9F|U)@7jJOm^y&UdkvnyHdg(n z5%UPOdFgi_e&jcm^={Jx{MZh@=~MgWr93;gf8>x?Z+xg&BRta9M9%LvllLHIM_bh=S_OYiGG*a#2&t2+xU>pOas9-)s@bmKA_UBpcF z_$c(&>cv1Ff;n8k-gp=!K?;Qi{Mc?~7Erim099b4F;<@I zLV&I^qSZr;b{4_jfH@eHs)lz9;^xo5?Uh9q@t*{B9iTN+fD#gae$?*~E#1W$%~gs791 zVG6h{P!`1$$(ppFx<@E{&NGToTtj}M6$0x*|Q za+ZklrvbRIJ8M0!eU{u39#>2mdTQ8RFS;vGoww#sptQVR?wULhBT-9OoaJvKr?ELo zL-5uj5J!O43Y3s#(dxQ$glJb~z)BUwu5asL0nrGQsk>kqsG9IbGf{?!DmQ7`e{l^! z2H?H++1=1hCgT|zNH?*>BL$O0m$8RN?I&hrIW2r~Yy_D?d(zyJQ94&Sofr`UF%IP% z&SyZO1Pbg6KrJx5QxlMyR)h>Oi?Ct{d_55ah5`!4yB7~!U@64t1n9A5%6}UIf9E@H zjFj>om!~K(UD!93oGg5(y|`nWd_BD2mT_m_Ya0~bc82`UQUk;Uygz+rufK!*9zbo0 zU?o6&M!_%#b^9*%i#2YK{w&j?ayMS4aD-_~@8h5Mu02%ijjp17ru}R7-C0Iz5YmTU z&~Ze_Q5_`uc^x`kAC0%c5aE2PJhzrg6&k1SXSPp}oQkNwJH&_oA&WqCzPV5Wqlh;6 zCl&au$j&6BI|pZ?(+*CF>F`Bxul7*Qutn*^z%AM=UYLyMg3uy}#@<8&beWA432=!J z2g$J4j(OnPt;h+OM}7)cc0qoY&L2i7UVx}c+oKQV5Cdhwc`?hH@UR)fEl~m9W{1j7 z+Jr;(G8qVuvhA!q%jO{_wvTi0ys@{KuChxVhEtl<>WM-S@-0xn`%-d#VA3h%%o*}NAdrIw^sV|dVocNe0mnDH7_!Maw(7tQNS zkxI?T`$~i~Au+WU(}CnDFbyn2+i3ui=@!5*B}DSvYK|y&jx}1auM*q^>1lZNkv{f9 zuA3luEW;NOB}b$K(p|?2E^9*b?#3^7B{bFmw`u$OMU05@|0tn8f-IUf3|q^BOi6;? zix(`+rrAjq$HPya(hfP__ zfERY-D6krbPY)Z+8u>O317~~UMRN{c1Ww%wDHP5tiJ}7Y{4ABe z@V2lWlCKDBaQ-yZqXRKd>Jr0P;7TIu}#L?TpyD@oWYgYur2gydD!)*FnRP#&akO@v2CFuZEJ?UyWV zDBkQEK5k{IF6JI-qSc|IlvdgT|I{{V;tS8)EXSSP6HQ3*h1o=MiEdCx4)Dc<+l}+y zJFwM|w9-Utv!ZnS;JG$g^XN2AL(f{2cNfGyI^S1~KuqCWqsi`C9Bnul_@jWl_wr;W zuf_A>`QXB8Pmz^zov*xVh>h*g;|TfbLvrRC)uf|r(>fX>16dFyRqxstqafQ^prqIx zaK6|f!wNOf;4W+r{@3ut!Wv)bK-(+%sfQvFcNq?5rG_1P-f0bRhZ<^Rp~+$b`>`fQ zyI@aiqSLldsUyUXR12K#iQyVGIM@r>2cvb@-yKGHKau}emvM>%hI-zr{kEB#c=x6_2c z!h~U}x&0P=oR0K-n25W*6%#uQ*ulHnO%-)lNir-?KdKo#}aQ$>^!4HmzFqF_F)+ z!q@Mt-p!u}A>T%(HrWtjrSQ3D?iXa9wo~-}b|Pwa%g}%!=^8R1wg(6-e7^10BThT% z!^(gAcMi9@`HeiO?W6OZ1?BniV;k~}wJKL7q&%2t>6Deoo-qtX`3K`OQsmeZTJL_* z_G_}OqI}Ogd;bP@A!_*CbNBy63L9Y$J#d!&DB@5`YtI?K(AAHf-seY;Z|r&zN`vc6WLh-u+;a}_DUHR*V&R8yN0j_;eCV#*W;}#7 z?|0rY%}sRnnV+^+8l)dPoxN5zZ1~FzG<;*~j59x_YzPib_#%S`f1^sM40dG7HyWzU z_E&k}{bxFQd+i*!n+uD%r5s4NIZ7>LzgPXEIA8g z`m-lD@#H^n$IP?OKXCd<`u?Pk0LgA7`XsMchY#SCX}PLw_JD|H!$tN8eKYZw&1ZdJt8?g{Atyo?Aek1NcsDT+;LIsVv>LeyI~9jU2!-R&I`Q!~5!j%gnuQWD`_ zkR~Ta5 zwt?~q1{(nGc>To_R(x#DnghLve26XcSK|b`@WRh(#_=^#`;9&yl@A*!>Z+N2k6ZE4 zXP>_B#!W|DYPap|&Nu%%w=aA0@r~ld-E{QS>ebo#%9sUhzrV;pf@_R}dVJ79?sn%} zIrA}P#uT{BEZH^?ngvA8XC}&Vq)1H@ne|kQ6o5ZcXd9uIFozm?N>UL=IHkBF^ifHe z46e}!YS;}-6x~*OcL#mYul6K@JqhA{DEH1+WXf28{7OVlyRU3fZkx)cUX*EgCR_wX3fD3xJO- zT-eYRI74F~`K>Ds5?5XuF3k?oAT;pK^D{H$+XspocToA4kb*!g(@b;{Mi_-;+`P~p zw94JnDM0VV`lCnI7mwsgDUG+@dRVBW1|5Xh_%1d`Gtr8vZ>|gIm8dL6Q1Wlq&Z~+f zPIH9#!ugt9bp6E3P^a5u45 zI$@xoX3tK{3iyB&IF|;z_+4X!R>(8cr~U^0e0Z4m;h#1H3>*y8PWQKWWRU@d z!H&p?^ZQuZC;KOx?4K_1^I9fF#Oq5Hvm1@B`|BO8i5O1fnIO%jV9m*Ilj>bnYoPQ zW$S6ud?nKv0&}NByh7Kx9>C?XDH55Z@R$5I;e$+NwD$aLZ3iB~Z&ddhCiWo2Ubdn1 z_VV5X$p-lvO^B6o>vs;f_nlo*332_@6PsYWCzWv14PPSj%#-&e6G_QP?nVI+oS%ee zf7Dn6$wdV!USW8?)F27gAk)!>Tyr6J(41o1dr3@JUn2^GFP*aU zt*_lBWNr3(MFDolWmk5z2rB={+VehLdvWJWd5V|2b~~?`uA1D^V?LBs2DJt)-@>@& z%RH7oDk?-Y_QDfS+~8+3LP>rr>-ZMWzjRI7QtLAsya5iQ!xVKR5g5V#ev)5%)fdrI z?-Op-v+R@#Q2WS&2SpzW=1Gs6*>~OQv^29=-HmH*dS5Zut@#|-cdWbc&r?P9y&>8@ z;voFEnU5)?0cg>r)fv&j+VlH;<+Ha~#y5tt$N1cAuXG55%+BTd==t<{INulga;f?m zbl?u^aO~iMSiB%Sb6icDGNY*g|ERAmaKY{nf(v@IIu|t8uZCg9gk+k4vY)F(1sh(n{}9^s_*6$9U| zfhXzp+($KQG&<+fDVn5Dr{N3EA1XRkGb{j|YP?csp^jd%F4|PIdVmJsR+i+SZltYo zV$kRHFJRKzHCSUB@ZlIfmK^B$$wnZy(MC}LtSlgBG}|i=A{M${dBwpBBe}Gad~B; zXMDVPEgF7EylT~T*txhY@ztu)kT{)UFQ7tlV6WttT@35qltnX0dwU2sD#6zT2EAGQ zD^=Q0eVGEA8(Fyix-ZAaXt;{9v2=>cosf!?)-^q~?$ZC5RNh*-6E&pTRXZ(&QF-k( zhwwYax=b^hPxlbh_n4?RHnL`F*}~LEF-&`&dn@)A-aqr?{VusUZ7c{sn=b?%d(1p~ zes8YJ<^}#rk|l3TrhNGI7dv0%_R%9ix*`=a&t=_2bInDcG({W_Otpz*5xmZK42dYD3zgPAm3k$gYcyBP)ROA7|J4rqgf(p zY(0PJx~sp$jD_A3##!WCqY^rDBRPV|Q4~{O zjw7ag^qJGi-l}g~zwoZ0@BdHqD{5fC{GIkhs!x25s~9>7dqRY82QH|u`6S$>_^)Od z0&`>pWi*RA)o*gv66gjRPq#pA-l1RUBaZ)%y?2ZHtT@j*f%aX*VP6a}ok14myC45FiUA&XSQLL4zzI zOPn+UgrK{DZo2#XzJG&ai}^jzQ}yop|G)2R4r)+q>~HO^uKm_qwd=ok)$`Q*R@L5$ zV@-F!r+4H)64)oqL5E6Glj9JGRn8}Lu|DYC#+)lI`6n8-{_JHw!3@~aFBqTSbmOrM zQ;i;f10aCO9L#(2(rNI`#>IUXZ~BK9Z#jz3kbUp3F4x%jZ(jyi?cDy^Bw zN0VU0*ht9?-oJRmZv%1HU#Bku2<3n{kDtL4PGJ-3!a!XF@w1mNzx1D`WOxBkC5ids zzx?X^nPp~v;esuP=jvb}cn*>WY(e|a``els{FB7-jl16mp)kw*(JlYu-CzAPu>-3^ z?J1%rC}f-uDd1C*y>RX!n>HTGYr}EKLla!$nydLX=-*!Vd9ed`BZLCSQGaUAZ-Y2c z%SHLgOcqFUqvkj$lO){}ySccWf6m_!5hV%a#d;h!-*7CYsc_#P_=4L-DhG0o&;*nx z*0WV2{$=6Qx&ITu`?b5@4<`cta35UEv*QkXenD*eX{-``pW-)-=du?Ns^-`Ho3DQD zy~{7|yy>R@z=PnkeZ1tH4?rNf`TF06DXzZiH<@LIrg;Q#2V_C>paKvIIE-Y==A*be zIt&$PS7Hhdk3&%76AVA~ujA7Xut|RD_1FIg+Os`B`YbFwPOb|*OF{z!SMl?Jz_itj zYA)KWxt@<-`p-9SJo4+`I0HJkPG5`r85{wm^Sdw>Y(ePj57*!t`q|4DUHFglOWC9# zV1M~<_}KLAcfJ?r6(4b6ih=g??X4GnM!fJcOp#AcTy()d5!!!v!>9f2w$vmX#7b0# z_uAn6kwd6C1{sxPLj0MZ+<*6Ne@YTBSpv+V5)L96UYu*9g;8!DmO+U+<VJIz zZh7C5wmfi3$a>e98{|7sf3hDvw7{`RzkmoB*w8y-`65wg(f%XhJYA--fX#vGiFC%X zV{$4tRge z(v|3yTfezcnpbIU286rZ&ZL;#~}JW;F{LWEz4)kFY(Q*h0Rf9jL}oVq~@U^T=6W>VTsUpyAZIqzId zj63D^>2k^#tJhp@%>3tMFr~nF0qcj!~y z{!5k%&ijXIoD5pL;F-n=gUD%l#vyzU;_=77OdRm%DSY!=r%Ua`U*Th~EX490gs#Iq z{O(_UZ1XusF;k4=NOplO4p@NJ&WB=o1gP;H2aKAJUHZRbSpd;MH?8aPOaBF{k5V6u z5xyBp_D_5)E2i2iCQTWCbe*Uc3M`a4l8OMuaQ~*2D(nI~`yb zWE_ZG?C_z(a5oVNDYTF*A378}B6;D6_>m^SIdIO3sm#CgF@SwU^{1a-x#F&sV=q|w zjrXqngX30?JZ{FjGR`^mn2XLm`j#6`cK<-C$hsPVTS{9FKH)gTFi@i zsb2FVWhx0hW&=_&J;eCP$Rk=JxO+ld@`a2uXjy^9SSB3gru@PL1p@t zp6JUG@vJWILtyyIXdGb4+Dk<|h!sY$){&Q5!#HqGM~E<`JtOPdZ_Ff0fyo@YPb++DfyYwCnA2y)-YF(j0NnfPj-Zz zbI64@TR0_)O#p2`K$&S@>P8{tN=AG{2pQx{Lai9CI}3w<-l2y>Mh1m9FX&hJcJULa z9=nP4LtG9KiX~Kb$59r@V?o$Tg1<=O_mV0<7?Ip4twcQxiS8I1A50CRV>^`ei_8?d z^y%6&h`%d!pd5tM1WQ4cbQU>;nJo zgUYP5W(0g_!rCjKh@cMzc-SweP&zoU9|?f3#i(A(N}TNIqeHELxOMaxx0S(2z?kLZl1|weRo7&X8yT7O-ygQwH zkn93e1D%>qN;pM2k{~BzLI6MAUrrvtKNAz)bOs=(@7uwmJ`TzWJ?xG!n+O(!OLG3O zQW5GA0vN}53lMSO1%L(0#13||gM>c(mTAl5HVO5_2?I=VQD9Ao3bGk0Q3IAq0!WqQ z%>^K{0GSH7aIVELUNC6qj{p|ex8adoD%O}R+~Zdh_b{6*!D>P7xrb~ySJc1(6bt_; zO)PLIl3aSrMy+3^G=8ZZJ6JbV=^Vdj^-R$R*Cm&(zEN?}nSV7`q_&*Gp2(>-uC`p7 z(+hDfnO~?|esXw(B(AGM#31bmFXXOD!B93*gc4GzsMe z@+0F`oW{!)(|F7gN9X#PIqe(!*$mY0_w`|--#Pn_{_&pRH(e9#)I(I}WP zwLDvX?2+59zv^9^Pd#Gu=|_Eg>lLtxrkj~}Sb1r*IQDzBS59P=f*OW>n2aagv= zs4t`-=a1JWaZ10VC#o~jwJRQi=%k>eeuP9=h9q$I0!TvosfLK^p(@R%0zmC<^N>>D z;ITa>0C_uNB%}#b}pYI{6`--kqpR*ke71e>K8|BJWOSVV)!BW zhz#1mJ_pP~Dvl$Ol=(u+!eP}=Mv$>rQ8_2iO+p|<1&H;?)}E*kDYh)d8Z%#<(p3MGFR`CK5#C+Gy~0HWh%kD zd+2={8reOYPC+**BA_1y@D)i%bH5{3#!htAmSifSo2d7h4%E~A#tCph=72$-ZBsx5 zqg;hL-0_W0oPtqcyEMTfk5NS*N|m!hXk#H4B_sMmC!vrifKw?@rr!bt5(e}{CFf|E zO#FjQAh_*cmy7c2NWxr_DM4wrbV#DwL2o?ys=Sl93Tmp4 zl~uSc6uHG*XQ~Z)b2ItLx|#jXQvt3{IXrsgdMd|{^*lxP|d@A6Nx3hBusT7XB#xP!_#3`Kun}T;DVMM5d*p(#1!4by) z4C6%*Ijj#{>%A8K9vpaEFT?|Z5i$&uUC_ZFz7Px8O#~6Z5vTFaC5#S}4*D|cu_n;z zFGmtL`2|Xh?$8I}qpfkoqTD2*uwc!7*s zw#2?R{Mh+BaNzU05cL0_nYQnoz5OH0zkAt?NyEKM`|?50jQ_p&P2MDYO-QgHvK#K{ zC-1%F++%Pn;a|do!~}Fqj019m(u!ysYISbv^aXVaFCYxOt83V^L=Pp2UYYKbRCU#U z(4!MiM19SjOZ}JO98QD4g~zwVqej>cLk$>Ga?l3!08)fq!!aR`jSFE^AJ|W&bwi_) zhzQ#a=>VDzmrPh!k;GPm5l;$9!d^(6Q{o`1Ky@fV@#dvB2$Q<;(9P$HLAIQuQ2H($ z|BqQiYUqeHoGKlr9{gM6O(J_P;risXs-dEMOuv_^+K$Mza=D79s5<3Va3SF8HuX!C_dY zLV1>KJJ7Z90)61Udd*SHgt}G~+STJ|@)9PpaD)-S2U!ijwENnCN-&er_=~LW*9xAz zi>PlX_M|O9+FoH-+;>2*b*(}I_1S2k2?5T{vnO9ad*@k!eFU0E_KKsmim;5Igf;P# zA8oz(ykj<|X{_wqLpmjAwjzZyZ?RrMTtB-c$Rft^3T|_4#I>l5E~@eW%2T`c;z8Q_h>GD0ZoFRA z-*;=(TeI+e`$Tj6J@xqg@WPhUj?^kviro9(h3>K(ltr%Y=OrOdr9Vbvv=uXtYKd03hm8F{!V~oO;W`oRR2|f!Oy)q}&SPh#c$xu1jD{moo zsVzu2jRd$ZorR(|T#@V1ArV;R`isvxAEQ=RIVy<=e2`urGq>2X9iH?I7X|%c0{uvLKa@6)JVZ`7(up!tqf2-cwQU<5ioy|@jsyy{3=v>w>GX~1+Zqx zCO}A`cp)sHxn}Q=CKWo!kqyz!k5u+TgOXpK|CV2b4GfV&zu=xw(B6AR44yLzwURN|Gv-2!Im=lZSlZg_KDc3`|7f0EPwZ@#myu z;uVQPIebb#3IS5Wl(`y~M|ogBpdHOfMxDf?Gr~J^opuw3t5-a~XyMW+d7=Ci9y&;A zh5QsE-0nA4kDy-*O&&o29|ht$zs_GgQA^9(`$jpu zVg-}oo;yz#m3Y`MW5cBf@YgBE#L<5{<%Eg$%$8{ew2-n`)qp)N8hN30GdHq)Av4#V zo2JTm9crQd{VqXByvSOk_lgkBRXG=65+6G8JD`BWfpe^i+d#Rch!oQ4IYi3Q>BN`w?RCBoD*2Zn%5 zh7R(9da;8imlte`*b%WQ$cQp*@?j4P1p<9ZEpcoIIE872@B-eX#qaX0hcXAJoVN_c zxE}TD7FHM5u&zsN#-eP5}t}7LzPBe5Gk-c)gzy@aQX$^r;WJM ziH089I;Oi@5T39Jt(HL@lB6c7G=&d_h~NV_qa)>e$`WL#(Jb+RNlCyW;=!oGB_b(} zFiC`oSTccUgS$RLt`f?%vY;QZjauoz4ydgLN)r4rD4ET-PS_R4mitq?6HelYszccI#Y>)szuqhSlI;`vSt7QGd~p(U^-- zD~{i}diVX0ngX;%p}p*g)cxBFXp&cd@;fcF5BiD=-uj~_?q@|4bJqM={Vx9%LA3MX zzkFs|6D!&Qv2XXv(jzAz7JL0zy<7mQWzXiS9PuejQ|chq?V94l0x!@)RGe+ivf+W0dm|y6Y%!&aOKkA znOycY0i*@6t0PH8nWRAO1sckiw(CP+Fa$8MJTrA(X^@?i(ditO|?~(L>+i=B5*p+fraMTDNg{WcBP4k>*f1 z_{?52Ej)G>Ld`h$_?Q=AT1YOWmf8G8&1=-!n}tbDqiUh`HHi=kuyK(FUo#6~jo>+m z&Cs2=^kpxY3;MuG#Nl~_msLK7ow$f# zn1D{LB(YnmmM{VJ+56mxz;pmFfc8jO*Tf`6jEGPJAz2cK8l>r!u24gKQ23o)en&QhD524M&_E$&=6hTo_#APZQV)5 zI9F}^?bWb<{VK#iMmhS#8%5X%QsHtyi2+r(?V$C`P)aO|rUNs~mhXOI>4?v4P8W0n z6iFfr$U|7*lTnvV?%( zqnyU?#HDk3{(0K7pkpv1lB95O3URGmsHHl~D5+{hb1Ed2@#edBA53|rAI+RnJt~|# zGu@UB>|s?jbqUW_SFI|k7^H45j|OEC&Pusx}SAiPk$FBg|x5Yxlc3R$hBEFigG#7%@F z5=CM`oaC2mm<3{G2<`14Vw*#Q1F zi>8k#>=prWx>f6U<;!)d{6$_LQhhm^bZ2^5KtN80u7gB0F~Lql4=@2F0Z&316%q+t z1kVFOl!j2aV&#mzXv=y0J#C`VnxGYc8*gZ3g6^Glqr zf$@K{#(okiW5mcfD!q z0pw>}ocBIx@b6`-+Rnz?knCB?RJ8_#e)%?h5i3K0;MJ<|f~^L9({0XP5PTeTe*hn- z9+V5H#tDNkzN271Nl!mFd&h}yc>M*$jN=*n1Ku5_C1WRr>;ln~L-E2_p4|P3hjyn< z=(qaojj7_RRu?a%@8@*H@Wd0M!%?X@ ztq^%&za#~=L@Jlm2G2~vBe}qnAe#`fV|C)3jz=IaUX1qAsqUizy%ssa=^R+t}Kw^Vm&;MvF4fP508*Mlg^z)Xv1o>V1DL4 z`V2^Glk<$wlw=f0}M!_8Ca;GYjDba%J8j4kg3>oGlQ$fL`C2!W-ErM?5m@Yd~SN z7Y=@20A}@*7`JQmPQLaY3@QV5GX)U4Kuov(I;Hh$&V_V)R`zifF4As} z$&_OE?h}Qf!sNpL@R=R|^}b!=1cR~5-L+e1>>u zZ)j%sNb-VXWA=&@$!J;ck?8z_khpX})Oq=salLrUYYV03ougdEHd~-`!NO^%fh_3f zXF^rPlf9V$ya&m)2@1K@bz>_MT1+|QQ|LpK4u@HPc9?#jxLcY2Cp~@&Qlc74Gt4((jog7dY>v@Xv&=zMmZVjgK_5)i8(+d z9a9E~sYe*z7p8*bT#=WXVN*Z^&7kmdR^p^8mpn+?`^=%qL@#Y^6wuco!4Z+P*#{E@ z$}t&M&pWRNKEFsgK+3SU@XkYD>Jtpico-;t_W9Y{KC-;+`8U3%p0EImU)%>IxAz)} zygDRPF-}?k6RY9G2X@~1q-swV{g7@QAPHiVW~x|WrdS5WYyF$;&EzuIoSV0>9l?6n z(9mz)_WWBuv+a>*m*hgERe0OJMmrwN5DNDDP@hQaW$r9WYC|dMBq^bKTt-~TfqL_T zLLc;Tx-*y{0Q3mItbXJifR9p56FH5(gkDw@I0ANB#AFc|iKBx!CIq^9M%Q zJQSYP{L(5UB}|p?c}szt$G$Gk3s-96L6~Q4P)#d2k z@{G7v?k!2FNrnx&?cKUenS=;+bl4{n)p3`OU)UThOM@3{BJGUQxX$3n0Ll;ej80e1gGe=sKEGYUO+!b5drMO0cZ=iy<07| zhKBu~ZU`?#fm|U4nFDx%JZk{X({syw;1t_|1059T*FZhOltYArZq8|ph@3Wp;G53g z$iQhNc#z^mV(R&qf)_NRW?;@7fycc9SOA&02ipQ%|NZXeE1Pf8xcv?P^OQ1R%V=DX z?vEpAwUG%6Hx4|8oO42`fmc|Z@Qs~MFYWy)ze0A8Y7YKWRn`cNlM%1G^4de^wO7ny zP%D)K3HjDg4bIMgaL?h_ZhP;yb`e*5a5KxuB(0ZTK-Zvy(B0CBsvAmPBexFtNYs@W z=?yQtkXrB5>b5==EcDSn@z%lnAiv>;fvdnF`e-6i+-O!1ROnH>KvF~RdBmige|0of&XCHl9&L&wQN!E~BDwj-bKh{YZ4?M|Bv&+fE)h~f)DlTt&7dFc z=||1alq6aXg8`-t(zH@$!emt3ex$MOA&H^d*uiU4X~4AJv|ELC79|(q++n~M3uq)m zSW^|s1!ah0z;lmt#5h@%_5pmb11!bap6p0q~e9lO5iI10YU-t9d&C0#zCGW z_AlsrZS`lct+Rt9BJ5pW;w2KmUGvW)@xa^2r&0IsethXTUQB0Xe#5J6{}t%(3JB6`oxc<_EW}!+rN5HJVNf#f_>ZOZFIMaFX+E5 zOOib3QkS>gk={)RdduP92%SCh!h!HYhOZGe80k!*Q$tEnNVwYlKPuUfRRFkNBzY@D zE$|gdP7?<_gN>ZF(hSi{G}5vC!zi zHihK24%B(G!`kn=&dkv+(cGV&y zSq1ZMpGI58d{-6~nH7NZ{m(4F`_7#Y?OfvL*jNx|>*sX05mp@MXyZ9 z#8e0B)tBeVB2$4SB$A$@L!+v|8PjCj*B71`uM~W9(-T};` z7NlA;9`}1-GYW*GzJ#mbx^SDwh|gmQLD48kXMPQmuDC!Pp%`B)<)`GP=3#%ylf-Fi z8y)H?g>&_u?Z}DOb@LnZ)6fqulrTIff;Ob*hmeZAbdrn0c~~i@UEOs{mPjgev3iJk z&^*v&tW!!OjsnjVE=cpputALh7oTUQB1yARyf?YV7qLn(PVMT9H6fcd~W+upL+JcZruq%q*f%!a`cRq8l-)m=>qB< z9_p+6bjMNeT-^$Q8tBXB1$t=uX}TEwv~rO|*Gtb07l0DQ2rbf`O96@iS__>NKv1d& zpOg^yP9aahktCyE#i*OqLbl}MG&Kv=$XfPi}5YGGHLLfXao{&i3 zT}FiEEeXEp2l6DL8KK7fS)OX|ljPWQt5 znBv&6Kc|regL(Uu`2NDf&n>Yi=#TE*2|=)-;HvL0o%HQpHZ-)$pcVdFeh68VePx9` zYj3^bSzJbM`SkX~ui1Xor?>s~-Ot_eq!DAVSoKc|`X{mwR`(=RepkRF!~MVQm3 z;9fTNwWQ)D_My(mkscjXNq;9y5~1QI6e2PT(tj-nQZhZA66o?o&;evfD!>W;Bn-Y) ze1tW=K~t%Lubhs;Ch4f2?35qbCkAy5ag87809`%o8ETl<{ z@TE^AI*HV;ORXw9)DZ7h$Rf&wbrT{djHLZ8a|cCnN|lH-%c9?eDqolD)RQ_SOP(o| z!5S^*J~$2aM~5e)e}raCD;=frly?G5N)a2STz;3A2*w7LbSpMGEBk+F_88CZSA&h!oH3o`h@ZnWfEDogKcV0J!HrfZ<1U|<%Y6XDp?Q$ z^#X9_4#FvY)70bAylX zKz!ePp+vIPon|12RE3cn@ z;l-`n_h`5n1>3dtN6 zZH#tE>!szVN2D{3M1VZP3X!0|X>}svbYz+Q9;5{{#*ogQ(?sakbjQ(h^yHjA|Ebnp2?gmPu)22_;FS!m2-#=&#mHu~8r#f0s@>FH|+6kXyRu4{sG4?@4D9^trL2?BvZ8 zB%T8ED0zHD@|YqYL*%4}2zuLSCPRc`0Utqr8W?ZPpy6?fLK;tNgz;yZHL6WkD`lud zd~B|hj*W{L5it{#WN&IQg1Uz1qk(-GAu|y~N`dU*g)%hgP!WbrWC9rZ)7J=N1)wHg z=+3J~872<&CriOgl~M>{4sf0>j1j?+h+IspO|n@*c5R5ur$6I;>hIY=AzmZEpI0HB z^nO_%Nb+(d?SSJ2dha__Z%HyQ9L%I3Fw6vRTVErATq3{NzgPsRgLf0-Q`)}$2Vc42 zc)pnM$;;pI%=YhNF2H5`;V*s#ZYV4WblPMw1$M90tN(rSRLP{$N~C0$OKoKdQpk;3 zc)n>%YI|;(Y5eq^vHMg!k)&2=``s_e^zDQb#3^6{}2$M(v zXGD@U<5KGwUQmXniVfzkQV4iPIgpDa1LpWfC{vPtpjq)TvL87~xjOo}(5OuOf=$i7 zh&-H{mhtM9nn)F1g*3URB7 z|Mo%6W$)|Lsy!@3G@kDx2N@K0T2f~0S(F(mnpX%!xslIyb`Z+bdj^@QoG#fkROaDdv3C}fYAlD6$%Nkmy4~PTr%#T0*#QmTA z*gHP9@gF_;#QkXMJ8SFwp$~HZbl*~~GL_cgs}l+!E)owUEGu~vbR zL$%(_tfeHmfM`HbL7bi5C9Fu~L9ppsILU+C1NsHr3O2{F`kF9Xn!38Fq{>hA zN+H=3mU2=9_@idU^%MHf9EFLGlKQbkib^6Z<&uvX$2iv->%VZvRzCt15ay;ux#GZh zo*B)>_?rnK3iSRe{?8IEW|3R&P9UI6M9!4T-^t&Y}Bh6v|Kp|4g7Uufj- zspNLrGZr0$GOElL$#SD^M8FH$*TxicrWHJ=oHeMh!>K23@Fm*&F|ZM2HT2i(Wr~6C zFSK>42Qe=I!UD7`zx0eVYd`lh4(}-VM*T0m?e8fH(&FAH!m1EbHErEs{B=Bt%vS3=aoReaxrY4s1xq19nhnj5CYtuyI!Nyq=_B+t zbwdxys^29-h*2*VNb0}~VGRL(_Z>wCD44_lzCzNP8eP{BXaWja7NZBL0hy*Y%#F_B zq01R1i6_^HPJyoiv9VZil3WP^(!l0IxcU;MPZkcQHjoq!r@*lgxL_Rrqrgl-DR7!{ zB)4%{o+f%pi#k4e4j&;h9)uqrtq8 zsf0NLA;vh@Ej7cSs}TPNv%sNX=0N;xiC^dJVhanQBFLQ z2(lVFvk+OBUk3lRaYdSCvj+SwD36~*C>K!v z`h!?A%bG&ZXk#+SGQoI>^&u)<$MleO8Na3dNHlUS=1xaZZ)TjK?AF~igjwVN`C1ahA8KaMc2-jTz z`SI3qds9O0vrfY%$ryGi8zv}(DNrLdeY|;! z4EV$$0=-_ZO;5;7XlqtaI)n-6OgiIAf+^sIwodi6!_k@;^+pH*T_5tAgLa{b!sIvN zimyO_=eL=#u93Uh&N9wRCBJ zT3YIBt#@tLYV*!+vBe99`f<=Tfq#Ef0sV*$%OEhF3xyc$kVxbtEp*KE&GcV7W?&>x zkilg11Nc+w;E@i71a_i8JlGXzY9JP9GSpXTav$}Pe*myDwo@yloJcC3jFpQBI60n< z@yZpUp;*e1k5G&xr(KiNR%}I*F$%9WY**Z<1yRJu>^WVi3^$Xznky!6g$Q%=QHWYS z3q?#Ec_NA%%ySy$mNZy`B^ed;um)a;4yLH5tD3QpMnZ@w5efkLN3w9zv{MG1I!H?S zNE$DYYDO4&fySH$KSo9cxS%WrMYM!T2jCyd5Lp=c0eK{nXTKZ7q2=G&N!_R+tkGwj zMF$W^-n{C~ac#_&^{dQHm>?MZwFgDP*Fd69jTzpqiye*aCumve;e# z{3Y?HJY_OqBbW}_Cg=|yTD%Zs`$dB7`si0&AQdo~!8HTy=2Krk+Z&cLLN|AeIRXa! zshj*ZwrHr~i%ZL&|Kz)Fxb{D;SCRa#lz>i73%%FNwOX!=>c+_KfE`%o6dH}@SldWw zOKL)W@=I+#{bKMMjh#Xrfu$h+$g;rS!>#lq)Ho34NVlaYGEk&Trh5``&z*QdCV&rj zaP@a9^bw|c0rV&UQ6wYSjL$PVje>nj4FFfoFOUnM%c{{JUL*^ENm5CoR;MFemoSmS zSWXj9;aNV)Mc34iu&z=xQ5Q;tuq0%uY7hF&6Sc4=7UhdJ=|~00m@8JKs+~KWdz(8@ zwIU1g!ueQUXlAAcL!fE;hEp<{63#y|oz6e6Do}LbRw0RPiq<6JadP{qNvJd@6v72* znQ2tjw9ZX@W_6VS0B=&-_n?`Vi!z-c@`KzghL zxsp05#JCXn_ZKT+EHqQX)DVKmeuqp$YX>y@p#3%s@xuFu_h76Bor6OH&;|X#y^P6U z2Yw!?pQ6fiR!XhPW25&tfzmc00Oap%{RH3N+|PAGD2-NP7QJ4>4JHz4+IEP|vgUQE z70c3?VxV1NsNy4~P13eGs^cV*{za(1Q*RIODVU1+FB6M24k=^!C{ zlBy1>*m-MMRrT9_Y26tfnQl?1uoem0_iE_5m|m00Z>iHNFiFTMkh+=WyK~78OV{#_ z!rGar)>2y=(qP;?}Wyl3@CYUY2aA#3d_NM%3AD-$&RZ+|B?53P%X{)Y8n8>F=sxyd6WdAW>mwA(d++pU9{rvFX zqH1`8KI>O=S5aUHk#}&>_Gs{GwEf)|>TCU=ceG9v@b3;Kgy)^TL0P=IL)|Syvd#-g zs#B*kwtn4tA*Vv5d4$htu%)sDyEu&;oq%D15^yBI9Pod8h^6z^P-vms8$@a}G1XlOI> zBcYJNMw(X90jG+4EtA@<>3|6BnHJt!b;tbGywIq`jDT{eAz{m#l}mgTsKa=BIl!6v z@(#!hDR|~ukr)dyC~ONvE?(%G6e1m`mZ71NGg;uNgiu49Ww4w$P!FC@O!0wcEFg(o z)Zpwuy&Om0p&;wPI~v;72Ad4nAW=3i$k8PG!Y^4WKhl0im?P92kT|ci(2yI5>)#6i zHlc|p9>0$z|M9uEac@W319IIrp^(|iOT6YN7b1cou<4AqJpAaL_`U@4hVk$n2)|x^ z0C4{77t(*k$@uVddrcL3O*eOslA}g^y+1Air|_q1nR#<*^MaaI@j^PnLU+jUsrq0d zbiI<420S zqmO};q*Ut&h=wGDBWxO1>V}0C2Q{9MH znG59(2F| z#Cg7w#DegSX+3ei7G(KkEwU_OV{17|!dS>xN8QY1SN1h;w6P=+p$&uZ;sqPI4cI+{ zOdJJT@6^t_DG_DlNh*a%GImOw5O}BK2-rQQiZ_W8N3E5lMbQ} z`<)miasUfDiWlB|KN8cdyt!fJB@c?d4rjLav!DF;mz;adt{0vWb^(UVdOdYeaXs8S z=;U_eG`L=#@ycuBKYa9#J-eP^|3%w$#!vGa*YZTI{uP4yd^&-M=L@d-!5%)H@X!l8 zcP%ad_vP$^Yx#1y)rBBwS2P*HEd1T^-92wPKK4T61)4F37JGM-Azi8Upum2278UZ7 zx^PrdBZvX~A%*fD2vSxb9sesS2qOoAlQ=CX6Ik^Unwmi-I*~Mj=x~?3gh8i7Cb%ODZ`PEd4|Xd#+qm zw<{%=;ot&Eouh{2;x{Hj$mI(2`7QB)5z#O0_MKq7-?ZrSM3mZZT}6tiE0qY@b5s-R zLk@v|cp;BC3dEyNLxKxvX{ZsB%CICDPCSJq3vF01-YpA(Ig63(CQ{f9;mB3O@FA+? zZ6%l~g5E07htS^Mq-7M*a{1x#C$Ogb`#l_{A4dNM3TuLzOpg_X+4W zzu-aXn%MG22sMWFbS(e~tTFIqRp(u|{4uL{zH#Tt8QE$ho7q8Q>|dTgei861JIH<{ zRouRyNHlKupGN-c5A^N-@y0p5PH*3i7na|8)3)Qj@!U&Z2dg5X&R6j#Pm~B)2lfTI zwtQuF#BnpV8K#~+3uX(~`#Klq7kVeEuBU!iQ_u6=kj_}WwFn}Ro(`U&UE+j=Fo`RR z$BGLIttg{VAgjSq2dIT|8hn9lAr2cC5t2QS*h=UK7CbB*Lq`UJef|sB>k@*x3Pk}O z*i#50*W##C>1;kUgZVK_;=%0-5iVQ;NrYpaBV16<6(bz4o=R5s3x>M69MJ*40=0f= zNlKsJ5?X{E5QdQj^`0xK3n|GroJfR;5b{_vPSArcDlvmlTApu^oY1f@v=Fqktw2kOxgahN6=DOe($?_Ud*QP3x{%VdZ-AcXg()R0JW5kKt| zFM0Lmh3s4g{Du5&CPLE(G6%3A%nsraPdCKr#Ef$mBgvJ-TQWXy2%qK=0>8 zv3P+&3r(6foQ}}?MejAOXZ3Y`q{ed_`50DT7DRZ|M=ErXp&fmh4%pCRa2k)mUa0~Q zBR^pi8gK$E1xF)-k(ASfqb*SS6E0afG*K{H5uyEN1E!rbB_~ov5f9{s8U}gu=O97n z;?0MmMkMSIWx`}nDhfFerW_(UQb^?W+bb1G#Y?j!L~`j!Q0V&2G(euqEri^bMxaLT zx@jVX?mJq@lG949lF6ILN2mvCW=Vl$QKTaqy5taLh!oX#R%=!OH4*bep! zwO!AeH3;%&<}Tm*$);wbbhrb80O4gC_+WEfB8B!M6k8?85yo)9gH(nC5)Pdj`9mL3 zi-c}|>WB0>IoSwaj9OdRupPKMa#F(%+RNaN^5`u|ygJXHYES;nJ9a$3e9U`Re*1zI)+f*&XgoAI z8M7yAs6)#idrEZ~MfyIV^q}f)^wtdC2-8VXkk@GFcf||H8$NWj7ZmtVuQ!`Cg@G3s zoi+>^#-czfXo0l19Eq#p zX|XhJC@-8I_P(WMg5het-ZxDoZCH}d1#18CJ`J#6!b&QMtcDz2AGcBfyn2>as~YwJ z?HnYbQ-B}vKkL*DICD@4HK+yFRgs*>zkOU{qjvGFv8srJ|&K@U@A$4 zgh{GGh=9X&8gzBooaA^;aG{J~PAaFPo2b`8!d#95QImCGQW$w5#)3+)XQ>!ryfoP< zvm~vwEzM!YB`Rr*P}Fo?Y@E(S2y;+os+!Z3Q>jFR=Y6t1Ab;WJiH;ZY%HF}hBuZ)= zfr9S9JiI4Yg;Qi`20Y?CO`1gNKt!$1m@i=-sHYWZOC-q@4d#2rQ8lx)IyJ4c`!43w zHOtIsq;wJ~ga_3D?Tm6!A|WGFXb*4bBF`lOhT!QEzfS)d(xO(mY0uc(DJbFxODMTIw z3$RptKc}P4s1|vkJ}6CSd}~l#850??cZ|0Z0*1!MvCI@m31lhouLwetqR*%v;s|$i zkCTu#bsnz>)XM-t-;SwdC#=(43x!+=Bc-yBza??PN+rT+xPVCAuE_ISN?A88GY)1| zPSLL{L_*5-pnajnv(e0jN+Efb(KE})lSC^@e6$+Uw2&v2u-aR)hXO`yueREh-BvTU zA%p_d;Nv4rlC23aUxj$c#Dp?ObdbnLWcN;^hD=!7UWh*MF8tS;RePMg4yv(3AA@n) zj%|sRZ^y>voJ|qqpb%%#2ub`mRZ3epFkpQ(UG_SVGb$a zO7bglh-5ty`=dn)yk~pi`_7B7^6Jh#z-6?rL9E88+M7Vm7zM;K07fD_)PGXj^}^Hl z-LnOY0G|@XB+T>}n*sLCHy*#`^uuqx;XirKRyimng-fS{E%UIz9`Bv=_X|`8#qxb) zWmxAg*N1E5z^c~0tuwCr{N z7jAx@FAqy!O5(Zn<;27h>LK=)khW26MtDBIAYp7vG`XaXMnS`QH{*qdnl_x~O+0o% z!ZdrrI>HX;z!aWqkecla*#hn|nOP9IcrBB8q3tg#n*t$FAC9n@V7F3tF2zO&*JzNI z7j*ZD28@MJL$Fz4o&|)RE3l@D%$Bhi za422<@qe{#+au1qm+us0(Y`TUo^}o`v*CYd*Ir}d>yN{eM8!Z(XNQVdKH3N2eP}JV zQI+5DKdvq^+b0^;t?^Cbo?QRKy+?m;2gbrPRIcB;{nv&PU|4Ph^`<0#9e-M<`r_=i z?=1h?AFdqpUzTtGPJ1FW07edJT^Y=%ZF`v1`h^)GbdY|O_?o;x7eh`brO&3H;xeME z5?|==IaTO!CXqly;Js1<4oznmk%B^_u;M`{P7_%jCTWDY5nV_5LOf`UFp9DyH4dS1W+)OOpg~r1 zMY0AvbQ8G7LPZB-`zOvDTtRO|rX@xm?R!d^UX!FYvdT0uqkV>3_D6**@_LPQv{(di z1S}BPSA;n}j0nt!zNl3o-=`tyr*KDL9}MSIARk|ohjyWWOi4!}I$*xcfs=<%!X3$6hXtIBq)FhiqgqSdQJhAs(w>{72IQhya z%TuWaHwT;I75I+4#bhXpYV_azkIs(!*wT^5?}Hb<`KW51S0}|@(0o?6ZPOi~2Bw%Z z%d7!XU;Z6xh<7*C5J{tn{5GY^6gMcWQ?aK<2^~8kyEAo-YS+z>Yp&D6|dBiCwoGK zIr5|`sXe&YFx6=5d@u|pVPY@dlI zjLDEmF+w%+J{)Su-*@rQ3rLdijHaed2gB?`%j*G^G-F5Dz6b@xSYS>e_5$32BuSzG zNMtx-JA@a+2;Fu7=NaL1nj>@|H8VoK0Tdk^K@ddZL_`ht8Y#|umioFQj0o8XIknXQ z8ZZH&4|;mpQf8kI2rmFi0wWJo8MuP396?SU8gEb^&a zci;NsJx}k^AXyNoPu^>&43H8L0Y(v;^4;*t4{AnrmSKpu&=sR#ju)zX zc6)*Tp01iMpYBhnQxkzYyIlvu2N2s{CJ;mN@;D8U9;_(XS%|1iE6*XJNnxZ0asgri z)e+@_Y=!DXK+yuyQJ#2`2$L~kU^xm6sJ#p+4x*%@Su@edD|;$dr&Gj^qdKjcjJ&X# z4yuwA+iy#=O|&d(b7O&GpWIBYQj%P8p;l4r!pY+l`S=LhQ?AF?pJ{0lNyVh;Ll3>b z*)K&ArX^I9s7T~Aurx&mDr@pW+ASOr{jnJnmjzKDfa|kkaVr7Z43MVPcX2D7!AmWs znTSRQSQk%(zuh7VDJld}*1^aN)w6d>4$^o=biDE&s_R;;&*@fPhQyd6W~tXZ-?@t*aYB|rAM3u)-W8P z3_wnhD3ss#+|v(3E}y;n-~Qj9y7cf*?l|Jw9Y=h6JA-PzYtLGpJAb^J5>zt(Y1pjt z*Zc!uk%vJxopvN|F1qR3-}&~|Pvp{eBei^x!v0NyPp?crmC)Yc2-77A)2SyAD2_0Cpn(3E8onqb35*n;1pikH zBOlqJAdb8Ruww);43HuW-d1V@`93KeP;IE&$N5nf_;(hFT1hxfe08XKqL!eP~gHZ%_Rs^V1;$*QYf8K5@F$aB2@?x zNirnpE~BI(9|s1l0eGl@LYhs>*cl}43Lz~`jNqo2_ESyHhQs7IDtQ`q+Vg^2X-oq1 z#S7^LHkN7RWlATN(@=v#Pt3&xXzYLoDKFnP{x_)iLesNP_k4kUO&a!lnt(`5fM7cz zS(K|U96|zdj5?ZkFh_v-f_giY6o(i5Z3V%;4FN8Jt(pd-c0ufzMt2&Trp$)wXSqQK}#gtYbAkQ@TK$JmKEI zU<3nx!xU(GU~IMkmO*+=CnFP>?>5?#7sLi3x9wiK;fH&U|N0BR_POo6AOhbDu6S*Q zGwH1NQv$8Q*%w~e@xAYT?$(=*+kE;_AHVRQ{qW)2<=)5ikhx=fXT4GmoSd5Qb5dun z3JL1k<^o~6iAuB}OJKi$m|Lp*K5hWHQVw~Da4wU|MaYy}dXbw?8-N#R60|yOTbgtl zf9p7BZ^)1-rwP*y2}2NcOu9_sYfAsw3J3oQcopJxPbwaT$cghq$eUxVBykDn zU))-yMYB%xWOG_iFcCq$C!}ZwC`hwY3GvocYLi^zu{iRaqZ{C}-c>hk>5ycA(pXfLmM1>%1BWV0zk#0T&_G2kv8VJn0t3SwJ zJ|(i}5cs2GXD>RT16d88Tp$&M=O81gIFXo22n8Sv>3ETngyMqE3oT+^(BRO-LC9F~ z#1F`D;LwsJf0}0mo#5~&dF8mFjJMwLf}<_B@4KUGU@0h*u!oZakobVrcV9!yX-p)kr)@_=<)~NZF z{xDB8jK+ht^3t|#4_$ZlyRaR;dgqC%SjO9S;Ji{XKX1BjHIpeOKFZp=&v%J>f#xgw za3VpDN##dPH)_>o!)S=%U;nPXA`^<`G&kI~SZ)qFu@`6|v@Y=i!=%BWR+kwT@6kI( zQV1{bLX31qbyXkLF&82uFC;0wm3W~9aY2F6U__FNua1n^wPtDw(Jc54xFJbukW(-o z2nz=IU#DXDOw#uAM?DBEe2J1 z>QEIUQY8~dtty{OjHkMs@jnrg>Oel0nPMwdNq(JRA$%nfA#a^Vfm1ykb<$j+6>JvEl|y`uSZI|N*G>9dyYiB;IDy@6c|rx#zJZ8#RWNS_A&%P-TJ=i z^ouAYZ)^m5P$mv^im~9qe6U?^B~r7Op?i=>3eF3?F$e5HB6K<0QW0U$9-R~zjvy6> z6-f;EfqkX2#~~)eiTbFh%Ixn%LWikj97GGG01JdNL<)TCIqQ*lFYAXlU^oB{j2p2R z*xI%VXkDD>M-T)r11};20YA zs1Q0;jwZpF9T^v?mNI`W%{sAsk^gK)3AS?KW+$S7gWp-S@tXmKS6hx z!u@lUuWk$Wf_JshoMHkK#$-sxQJeQ@ic|HAzB8!UL6NoSAe}dSA&{?8U-vW9Pn$fc z4iT=29wj6)P8Jlbl>+XgQ5ZNHNtwh;ry^W86&vfRO71HC(K_;Np>EH+xK{65+_y^}X&9I*&Sb$SyC*Elyz93HN z#lXJk0J7-rDa}okkg1BiMzv2p7fr~Hkl~=0sgi|xXLuyM@R1K4s`n!qsDmWyV2{Oy zWqEiET%eHtfUKR{ue$8*%p){X=tJ(LuN`_|pUKcD2#3uM_&Ta&Fn>SzjNzc+v}<+m zGb@K*v;FN~dRCd`Y2t8n6)&WD(^lye8A_#h#2!!QLL$H)M{B(UR!XZnQf{TC# zVIm_Up);V(gj9tv3#knf`*>cU7x?wqJAf8g4zxCiHdZ(EGix**!#i@FCXS8ZqNHq3 zQRISg%c&SwY;;hR;asVz069nJj+8){T*U~HRB9rm!cB_zYJ}QSc>M&W_6l>wLUPn} z8jGe^fL!BkOyOgeR&3`5l=EC9@9!Z;lE;@vQ}IOVG-{kSUKj=vWl)GO206;@=pza> zr>;{?D~*bfMjKLasCkF6Nry_tA&~W@gHXtCQ(Aq&hJ%D>3erOs$~ew7biR;|=^%{I zc)?3Mvaf;E;)OOa^VD;=fRLjhJd9wvFoesK?+(J<7zaj^mz#0GWmx$C4$&i&PAo_Sb= zkrA&(s#B>8G2n^3U~FC-L?JN&2$jU)HLJX9NEr?L3nk=K6AHPU))cLrbpP{5erCrf z9^S=jOtHySzSZ~h(`+(uxxc#i6X&0C_}zD%tm^r?rlrP%`>DyA)jJr3s(M@Sr8x-Q z+vOQ!1DTMS4s#n;3-90J%-n&xL+@7Uahzs67GpsiF;GM6zuh#b(~4+X!6UW zd?+XgnCvGE7OhrjK-Yai1&p92*vM(%F>#$5Ff&Nbsj`GV%m|!n7;Ny2nV>8kW+^SW zjatL!j;?`I>a!j%w3@`|7-lz+rvjx=k&z=JM1pctaK-W~>IdrQ_-CTovUgKx{1tVH z%2;T%6iql1NAUuY&KG1? zyYkqBuo^9M7GoO@DGW3b%4oC9fP9n_j+ie=f9Hm%p-(qUhfUKM0<2;(Wn=<^eiXD9 z{+GRwC4b%-*LgvvL{>4OFTc}ihw}D3dSy*O5CQX{h8PR69YhDFhRirLvM@VHa*E!D z5egu$Fh2xg^TAvo)?h^<_-{JEQfO~p%GS25O2Uuy>h~{b`Dh~C1Zrnln zP!=_mlb!N)q5Qv2joM0A{TvoPv5e~RNA92kOqP>DTs8dZioZmEzB#(bnYi)&keMlO zq!u>Fw)ONG?H`L^;h>{67Vs8kbm&LIrpBoS^3H&8IXWaL*NZoC+E7e|;p^ zh5E=pks$Dd(wWrgVT_KXRvkv=^(bQkc2`V!UGw_+Q^x$F&S+Cc%XN!fLfxy}%<+!Z ztfAv7dsPiv`W#%Y=;+uULf z79^j=fjwX)1Z3RI1xwUc0Tv&;3n_8d$I!}UL$ax$atK4<_3gj z2WAcASrQk-S>zeLoFb1-cqDPUq&UJf5h740?H(i|G9_$NG)p1&f=veKfKE*~SreXs z;51CJ5P}04BEm<|2OcC2c!5_W;Y6BvVLu3LBm@!xSVrj}E?yd~7Ft?)^n0J*a{60% z{T;|tE+#Y=;BiIRoC)Z~@!(n6+R;3-c*Q_{LI^0vwL zK`>iRdzkD6Z&je>FOY(IRxARW1~t}-5amV$(dka$^lpWNeC+b+*JBtQ7<+vrph_hz zL^|LIk&+Q!5Y+dhN(huipSTVW)I$o2gZZpBa%mRL9%cf zPY&S%FHk+Q7o?M`N=qaPqfX>w*s_}nKf2|xN@}Hz6uDqo_|oHT2@B*lA}3O}nxx$1 zdZx)3wLGAbM2Ax%5mv5}G=31i+Vg1C(i%(+;JLjt7xb@z;6&~ZB+C;a%_qKxQM_T;xzd8;Fh_ASN_xG zL;?1qi>kIhv&`0pD<66uD@L_tYgo4w=12XDW%FAkTGG}wHq(7{<1t^m^Arh-3+#;{ zRnYoN^2bjuvR2JKU%zMhMKu+_`<>=T1Eyi8zf8Q)T}Hz8-d|!VND?E$v(Gs#f|%>D zwh>zA9qffsk~lb!(LSfagn&s<=+%dXR78@i5kYq@HKB*-IDZ<{56GVq3O^I6Vhh6) zp@c*N^%Yk30`rm(0jr}q3XxoL!C_TGMWRy_FlI>{&DFw^v}{u z*{U#TUv>wy$PM(vn&Sn^$$id4tf!erNosQCbPVfpkC8Nq7McQxrNO=2;R`m}}I7#yG-EJ=CjKJ3BxM zMF+AP+yOHm9U}r3$oeJNp(vo@aw`q{7i#=ZcLw>x2ngjn>a!2T0ep&*p<&|!>!38l z9d;;b`-8v2piew&J<^|Vcnvt0tp}hnfC((mmU+kB4WB*Mt{VYndpRm7v_24%mqdtz z5p1xF#i-ydb_8MID?m+Zh-1wfDpf^!7XapfIfDIeCqA%?m8g`7K|yvQxvRQnAqagH zZL)}s!+&4D>y(Q(9nC8{vRH_cT`$jEhbh)8>tK@eyY$0-xA|$@4SoeTgi%3;A8CrT zVcLIMxW`c%Wwpb5h|)*Yo7^#5N9){CeNd^dnhVs~2S3sX7sLxmg5(J!Cq4n%syNt{ zRMa|HwVBx}O7hINdcZ6zVE#x(3A@WB@LO;_qH%$CQVWw8PD>vBlu$Z~Trf>HM?QvQ z_6s}0m#}^gzdd$A7y+(9CmY-@f*m~3K&XuUMxoy%aF*|9ij~khb>DL5bFa{hJP$4z z$A?HNr;!tmNn?C0yyRosh@(p3kbdJeHQE#WK#R7E9}GywJ_q;sunO zrBDN&Zds&L0Q<}uq)g(#c)CpH9io%Tg+>RV2|JgRxBHP2hZpi0=;DREK#5b50B{)# z!<+)p4YmjBTdLKB|DG=vSs=+vx%-kNkRKsQs7)-8Sq6*?&puOf^CP)@=AGdMrXI52 znRTj+DjS}?66k3+fyd|4H#PjrKim5I7e5;O0a1aT6xCcgt=zf)xEv6UGCFX9kbky( z<0SBj0{yOslw>Uh@Pk8*%+vj+}Gh(#o*1R=? zAex{e9hdKzkkBUf_kXs1&$nSWui)<+?!Q`7!&b zQ*099m_#n|f-#)2ef_%93C$6XH=*20sFh~jpO`|bTfc`XgleQF+)^ZUll36_yF2O$ zN~cdxBSbl29*V>EfFkJ`5gwZLuC$P}L-{c0M-e3DP}}SAv^^RyjTsOYlAke9Lm$;h z-#h8j)WLi)$hb?+Hgbrg>G;)Q1^ci7<+D_^=9+ay&lgCih@eM;`CN!34w%IeeH9u5 zAr=JjjOQn6@TcDd`*?>u)Cc4>!uN_1&>mjUL}8~1;eV99g(199q(CHj(P?DC*BoqR zgkr=CycWZ6!(cs9)~Oak?jPdt)#yD!piPF^%d@xs+3bkpg{p#0;k-xb`{x%1_-wtE zKk7v??p~SU%;yEFCtN1%6-cxF!6RE;$k$r4R$0+Xl|XWVzZXHV;hN3MoK6{SM+6wx zET>&XqiJf^Gja-Ng%tR8%u#qAd+ibqN-6h+Cqft>9jm|iIk$fKj~FD-NYwo7ARS4e z*rBLF_&?a@4fZ`Mqsz=03l^p~F}h1PANwrvCNzB{snCE(TowV4I;{ne1u}^c#&I#Y zFB({d2nr7~oSwq&58)C)q=u!LeK5dA62Po55SlP}o^X^aZW6ip{I+0e)D(DjXAbhp zD4lMeR0%|qH(3_+D{msC3b76T(S*@*q>!f2Eua!gUS>kb#aln5(5WQPh4ORcrqyGr z(-c+mJXykvHyuU^b+=2Q+5<^rydG$4Y%vYI*a=O{%-99AJt4pCRy1>{xq2{zoHE54 zZk9wiJV$09)H&^|3aDk`Eagxp+3N@?q*D|rgmEPF?glc7BRtwqhvHN^-Idgma1dox zl2cpUG?BNqq85kJnZUcdXEG9234(O*fDRXkEUb(*A#At%2(kd%HTE;+iycf8;*@^G z&_F>eTCGV4DP-R?CWF?gzV{FT>#O(^_JY76h3qw0p1t#|l_O4A`ut(E!+(3`M2e?BY*Pr4;lHBqO1o!nDe{z8(q~z4q#}`^rLYM{{7lrC#fZ1QTk%6>QlL#GML9HOILL**{nT3Hq$ViqET?>8%dY%g|98Q6y zuo&_9f7Hn(5=Qxw3+Ji9br{PTl_Os$FhIQ^tW$n_l~zI|#0%v0qfAK%iHvuiQ*LtZ zh9a&W`rPf@@I10I98_kvBa)Pd0<(_~tCCVqg@W;J6hx>-)yEP@aym-#J?OMdHNu5v z={8xZL<0LnXxnM<8r$@l>!O2-&>c|IEOTFpBm==-ri3yaY!qnx4?B3iKtiwjh8l$S zS+Ht42xZtBg@ReLoVN09UQk-dh4R1m z-7oMW4oD%pC-yh@eE`AtU@Z#f)w?zL!|L&~d+57g`2KglK+B<#(Du~iXnwRwkGs@g z>hSa&9fTf)Fr97;OH)GkU&08ZMjuZ%ua2BZDd$ws(bm1U(Yqwwb^uRSA3>Y2Kmepk zOa~!_kq$1|d@S;1IK-F40oE zV`r>D%}S5TsZ4%ClvC`QmyYt7J(nvpx2yrm=N1`w@R}UDaHcD5)qhVCiGX3g*!qeWK2Y3ejD#*0k381Qw-{%!y&#f ziPTKAa6U$aXA>w2D?kV4gDnW0101m(nzCmZiWj6AbHgTs{74@bFUQlJTy@OS9X zcg3Gw@U6J@#^2|Oh!^aZq{+qFUEqZbt1@Z|FVN8>>|KmgI$FXJ=Mz^~?MzW!c%f65 zX5b=fMFGH((4!j;B~Jw9$ut*E;fM3X8k;222CdBKuKm$oTf`H=8+e#QD z?}%L`j2R;wF}c)6q2igX7{cIr>5s(*u92jWM54L6*pU}1OQ~@O>L!$sNJ?v=fj#$x zux=5yRk9}|$~p~SbYcx7HwJMzJO~~ZYCK9Je%6y=nAB<*X%e;^=g1=RYA|UkIc)Z@l+nbz7iOXK_SKG9&&F{f@Raj|w2dDveA-0g1_{wpY zX^Mf*$}cUw1U`F;A!M+`h#e%)(jzVb#@p?qnAu_Zi=R7|b)o2JTb!ny_kI4}l|TAq zb{v53Ttu%1Vk$vtsCWqzg#`0sILKaDp8e?I+b=%%S9vK17fQzVqij|j%)C&pB;BI! zR%(_1dH%CwjRLsUFzKU@-Ts~XKF$M*Q;~*Iyg*Z=or?l&9gHkMJf{m0PSw%6r9XDw z5{_LMVNTZzL5ToJ#;7?BE=7_!Ate}L6qb-k2@^pwhj<;nN{H=HI9Wm>6K)$bg@nQI zffq(x9phs;iI1tof$khBvraW1!Bu<-LRF)OC0w)`%vL3AYQ2z@P(;#Vt6x)P$B?bQp zH=lc$sKKj}!Ws!TpS>YM>0mpYy#Z3-(172Dvz$xiEIL!;A5KYy0@`6sFdRlmE&yMU ze!6zU>5D&pOHwQaC2{bnXh-ODq!Q*(9A5BDL2LnXImwgAVwOe<(&-08P?iF@!XT%6 z@p+PX8%9_oui6eZ5Qj1nCkv<00mRYli%dHA^+?mcwpRf`AaihrkR_=ih~?Q||9JTy zy=TTJU_O4g$ij#4O}2rT8{z!Nx5F8r6k~x?782cY%bz~=`28+Y69E(s{$o#E`PG#x z?|O+0o!f4>_IJ2@tgp&8mheK_Y7LWU|LHOf_B|4-4!9T@jDmE`sfVt`0)}g? zHd(-jB7(?K8_|A(raqK)Ig6x#TKP9p!Z>hU4Tgcig3Sq31Ge__MA!!59d9Si0mFl( zBvmA8<5iSo@L!V`*1XC>X+<2Z*sxW?&RMBLt7NZXgkg~6P01CHLJ5h87y8f1-CHPA zI$@1YxU$rkShs-?TO))Lc8G*WQ%{*l9wialPa4MPdyG&`%YdJZQBIgvN0_8&j!n0W zhzJR3-mxIkm@^h?uy3@dFQgYp(`2DScq427f}5zJYo_B6(vM^{m_ESyc6#QaS#cVJ zf-v1p^x-|xjT*csLarpcj{tT?{v>sR&}lm|#bDnQYII?ATCQPFG;+YdmX`qg#RVim zD4fb_=wD%ouZd9m7x*I2d)t2JIb7O5igqVbU>w*1%<%$!{@&e(pRn(U<7Vf7NyB^j zj+7*1W+Rn0m%#}}cEkA!TLb>$+GC%3@?K^gC<<(+95Mp^F-ESqb9Vft)2y+QeJ!dQ zBZbl;A$;nItyf+8zuj`<@5ycDKZIfjlR{_$$=|iV+(~{l%WnVTrr+a}6M7=r@9e24 zzfYBhs)0~ON@vV>8CwKxh&5OZ8fE#kdgVf7?6c{x2}cm9zD!b$Cj%OU!H|(11X! zGGxTQwyvL|Mi?KfSL`t9ld(Zk#@xVTJNjK9Vk8q5HBOBbIr z8A2ZD^iX`y!xyc5zwsNL8XmY+3}gQJ!3LVVP>V*Wb~wyRmmM)SB(=kCGBntCLsgu- zP6`De$tjT(P8VRk1fl4lf6y(gK?vXn+XL)O7Q+sr13Q|+0_j8nf6du-$I&?h9Yj-w z^miqN0z?pgFRO6-q{a(j4H1NCA{2uHh5+AjBNA#zn2eL7$*v@<14%sHFsWrjgx4(L zIsgqg!V&NSn-{WwVbPDYUtS2R3vWXZ{x)&2{j$4Pj``1gO?c&YqaBmwp>YIB6%SmXk!!Jgp! zefMm+XyY-redUkHj-Cmmd1J^Gor5{#7eS5Ogt|)ysx*!Sl!rVpSUNdHbKyWCz+33uvUI#HSdN0i zzGqo^f!2=CP1Bb^hby*gRZ-sW9DT}ZU_L^<3#|Gm(kmSONOIk%tr2##XHq^(mD(@L zg+j8qP%nH=VRcfnbT)}{thV;-%rcqxAyv29i-FSyVn?J^%(oNKg!w}B0WZ+%m^H}q z)U-Vms)4mO*_)r_-kwm%pTa38$e;Cci|xFvVx4BC=?*#=GWp{>;e~`?HH%cic8(B1 z;|l}0NaTz*A1#95qtBTU=&#F=qOf;~;xvT~xXlj8g^9$bZ%u-e1{Hyt6QlM)xT>t;r zd-GsDkL$b>i~ox((v^RvQkE3YU*jYbFZ0L5%0!ZrDwk6=nHkTFmsF~pc#%9#Dt08< zNgPSsData%1q8SQ;3A5YxPg>KisCMbCJF9TnFK{iq__Yeu><#Bf|Pjg{GR9OK40JO z;zA$=+KSCvFB<3VK5xJ8_kG>Z)90M-ejWUcJI6RYGxzXQul(|-4-jieB>E$tojdF1 z+1nqRS%2s3)6dQB-Sgr@4_wBm{?W}F1@&`!k8|D!t)*$qEMe)Yh?XP>?AzOSFT@tptp!nHsB^i#L;JONk@lKqF7@FQ+1gtmBW zNMX;ueWBMQ`5lf>=gG&eVKq80&cl4;i^W05z4)5sRMe0|EtUpZFF@CjEL_l2PRU$j zYA7xkE&Z{3rk|$ES6bt`8Xu;J(~%~~jQ;^V2`_Y55IzKC8q^qADpO3+--%FY8GuR( z0IprLVx57s^5Y55sQ}!FM`pmb)B>%O(+S^fh8ggwiOTOUj zw!SR+7p&7Q@&FN}>GjKb+VL5pHg(5jlIHo*zhJRlqZ+6Xd#3~YAt^FXsIM_@BH-Oy zEw!5#S$FP^8eE{?=!}&tUQqp=Vp%t&i>=rx+393(rSY_?Rez*TLmN z>da}V0R@>4ebWpwD?bruz3BP4mB%w5>4$%hCpeXjwJ{=Q<~H6r^RLg@|BeqT7>)UG z?1$!l{Db>HeAby?yX|CN-&4$Tgy0snFWf)(UoL;;V`u!%nok~k=4tO>%hQY2{iB;U z{oWIgUr(q4GX&_<#uo!~y`lOKsT@NFEY&mjI6ImnXQtUsk79xch^fW8n2e-O-8(C`pi%MIPZPA zFl7D+wS@1uOAa#0QRdvtwp}x<`}oKevtRn+$?SRlwcAf(9}kvL^|S zE;8n%D77i469>2<6Tkz}AY|m=KcIxra?BT;0)QNK$&`@NL!=|r`*Kipax4aIK(f%g z06B=7v~WJB(J2L;8m0A|wlXMMT2DP>l~xTUaMeVvI{kI!E~zA6g5+~nWMhpnpHfaI zI>Q%8zVMu%zz(#jFEgk73i=`VacxVEzbu*jg0U1-kB@2tD(Zeke)_bcsrFO(X-L(a zlHUd`1f#ttMp1^EvDf1W_WKsxVT9PrBQ=CXqMr+qV^hl(Fu#z`k<-)=;-F7~=P?s1 zhs-bwW&)+MH4Oe@2OA5d*b5wG9WdPpO;|>DLAMB!lSs6N`goi?mkBO_7i1&&X!<9w zBC5eLWap&ys zT{QbokDvRa&(7WYnA~mTb7_u9cwyv%_5SqM+KYT(n2M*4>3V?%1LLuWJt|7a3 zybCKhNv4OpZ}bj^wZ2O>mDtfFcCe8G+9CSB!c4*VQTp?+ANcj!>baTwzP9F~)8Ea0 z9ox5mlcIb~O7)3t8U(Jnc{b=E)Y0(V%k-XmX7j~sf0}ny++co^o5~!- z=kdf%T+E%k>f9G8Koee|ov1b1ye;roPw7E7$20{@oBxzncdpJJ z%@H4h24gxRN$~}g5nLiI>tHEUluijFxK(BetwLcS3G zF&%g98SlF6qWA9G^Wy%w7BT0NayRASIi>!S9@=&672Dr&<<_$v+Y7L(692Ui$eiDq zncrQ1;MdNX#VE^T=;xc!`%h&Q@GxdiQt^T}AyGDeit5ZIzCgoBYowuOL=+YX9i#`P zD`~fZ-lwW_sap+zUvh?goK_g3iqeb6ZXQekSOn~LDS#m(j1;zj6)4A!C^(9BP^Se@ z1%1;+2JkU+ykr85R^zn6Y(J&i_>r3CVysqXN7`U@A@+DW%DJS}ng^)|uk!${)HRpp zSV?8Jr|M5j{#XO2^8CPARey-%P#<&ZE6S-pU1m4=!s6ogsr$E4$`br*k_zg_6^Q;R z`Ee;q8%V1lM8@Hvok+xjCr=^Lv1@XFkd`iYeT z>AQDtCzg@h%UbZg+vUbvLfo2e1Pz$$R5NZf1q^E=$T8HxJEB!9ikS;m)-x2V~eB|Eu zrv-{exF~99LU&~}n5u|#ACWSqof_5_C;?W;Q^YYrPM40#DGJ73ux{d_PfpSTKrXDR zN+CHFM=0MB`K41rT^=r|(tBi;C@L9Y(W!?tlhVZ_ zoHXXCU<6zsB5*u9q_CJ5T3CUi@Ir7tATK}C0QS(f zPLngaI2b!QfAf+Ifti^bZ~R>#9Z~>Ph4}{F`iwk`u-#Dr?u0<9&!{}hGY4*=GD`K&f80@93;Jh@ABlF7p-y;#zMv7&;wec*4eDq^hk`89^(sZk zK?h{cDicvM_6u1o#|uG*#sVHyB7+`EV?+!gW&w_emo-v71O)l2g0cv>gIZ1>O9PcH zbI2L-vw(D|P?e8G0p!#W`7pe2;W;ZfN`ZO`=c}Fwxkbqc%qbf0yHrL;7Qr%~s~#Sq zeR~dbOMe*fM;je*w-C;kZ>?7d7ax+WbG!W3X)5(wSM!KjL22bwyBafHx`-f3 zE%?uAnkYgIVtKX6RQgGa~Xz<3O6YN56J|< zCPhbFIhAN+3=jrvJ0zxp04(>l$H%XeJMx+jtI3l;^x!3giCKl$DxaOxDr|q2utqRh zXzx)v531+U$CM8Tap$%z%s6^<^QC<7MCA2xcpGY1L!Zl_?{5ixkpnlLJ@DG>D_{QZ zUc5=~zUHO-x2h`gH{P}X*Uz>o;5%}!;6HcQePxMgb3#9%Eo$BA0}#*2HWcv ztrA~2>WC56h!;u$p?IN$@sh|Cap8C^`E|Q|1ay>Rh$iKzdYW<{*r!x!KD+Y^((A(1 z)|7`Yfv=R`OTT8f-N=CsvQ%Npj^pzBfx-px2j+KI8?Xzpe*H9lkrblL$Qnat#19gwfXi*A(06V-)k`EeqF?c#+Vfab!`fyPk|7KA230mv{y zjkw5EskdDX_{-hY7epWf`{ISnO7hIr2H@nMyb7GxJSDRUbxN>9NrQZcp+h1R(VgQ2 zh!KIzwN#aGvy>gMXavDjrQ_OGNM6?_b^sRgQXcWb#}~~<5_G(|zYh4IlS06TL?8%P zkLvR&`56qq(K|pZ+D5~Ff=&LY(6gSVSghf5RDbA{jhon8<6B#9)2Q39U%bX+Kmn6K zmug6Fd=D}Xgqi$HE2or z%;TTdSsXY>*GNu!O_>tuboAl~>7*^wAfKYx3*^UkK&U=GUPxx}p{#(QO2d|c2&C4{ z2cRYjKv_^Lmm>!W1!x^1<5!PbI3n_>*k>qU?l*zCZV%IgwNQx$0edu2v>yrh&T zHP~@9UQ$&hQ>5S(4O96kJ(t))zjakocS%k@a=xFI6Gj-)$@BD2)M}erH{qpu^;?Wz zDfNc}N+?E%ke`X45<=H6Xag{*nu>p7k&E-)X0pbut#nH(N;=h?$OQItAaelPG~jSS zFq~8Jld1eH#*Cw>o5L9w1?mOz0d`vl#FX=9XMml)!yqcWAVzQwDHK3vID*qA#E!7f zmL4Z%2OWgfhV*QU7j_^M0UcPNslg8HlGoR!aft|`ALtA12a}}b45k4*0#nE|z|gRl z>6A~biXSO~1{HbYE2pqSLeIh$BSt{xZ(AzZ1grV8JtFWI#?F4>vR}UKrjH_UG+%wj z^UHiesDZ{(h8(?~f>YiT^p!7vgq#4i6aatz4)cYZ6igjb{N-DA9CO8vjZg0VrB7>- zV6LSeoKAAnz41SOdJQVPxQ2F{E<@TzO&(&E3>}n=pkt9Cpix}9VbYBHk{QjcXht42 zk=tm$uXri|3E*oW+9{((lBEOeOz{FmWO9@nJg3X11N2Q{DW``#3TfjAO1hk5XR6Lz zTi9MzEhiKaq#i;Z74=9ZdBUm7>#E8}EwPCfKIoqsg+o4JT^NO2t9$vo&G(O7-}cnk zTMFbi3a~*jk%!+s;7>~^76@OElY3;Oi_RhgfvL;!noU> z*#u458oluc&*2431`Y}Fva*oDQ}|GbaoreXn3xn^5T_)#?1%%WP)R8xgWf`ZPf{(A zS59n)K6w?jM3d>TIbuPOpBlJCA%@{JG!bI~dm+9iu5HNQXDFed3Ns1TQ+0>lajtkPc7aOF zEXsLx@lhe9ZsQ-~nl8JV6-XOBSlM~Iw! z;lQEd2!at`2zNw?W+77W2WkjFi3_@WCrC8{REnb6aI7PLU~nly7JwHB7hq120@s#u z>6DNn(mJM!>ej;E0klW8kV5eSMawDIRiiLg8#!qnDODw{tJ-OgQ?xsF)2zP9%3u2B z7ZK!#s8jup{WlHxlhQCk4f(A{Y>!SUa9Zsrg44FZA#%07QL{LL%*G35jWpeAz>OD} zfaf`Qa;`ecKuS@g24!k8lDdqyPRhCirP-Rh`;Ib;HC98+gzy5L4m!v&%3%jFg^h^X zYJ+~-h{N%Pq60F)_6G0v6d}ibM9Lv9$O;JKKNJ9EkSTXTryB&&AnZVX*$c=0$%>4V z<=^<*`DZr{m~T%^17zkPv2YkVARC}RpfG?IVg!v3{XKb`4p|!Th$(=LJ_s&6z2!E! z)&lpe{8Oc}Q4U>+a}y>|O=go1-m~l2%eQUbny9ig5|wCXjxRa#1YV#S&}_mBVT5uM zH5TXtr*x?5K6ERN>PI;pbLf@fh3dj|b`;XVOKAAu!DL4M!F*r@3@KBh2~!i3`e_6C zj#pJf0oPIm<#YrdA+a(G8xJD{?V~WthqPESc(<(ZoVDy%;P{&fWP}S1>shr&F z5D(n(zaAz6-&NH>PTX;m@77PA{CxBEZHEg=vrg-0<=4js95Fx0AIgY<8K#I1X`GX3 zZ;O#%7Hc&~imI(5M4wa!0xO{HJDOy6zf3BqA1X~l~g`~v`Qs`WOkR!DzwaZA$-?{}6Art`4FFJ@vn?5iE zfc=@YA1yrA{YeNxSlW6R$tO=B-W?LEEQOjJIgtpml=L2?H9 z8NkbMSmuu+kUVb-qBeK{yMs*m{Oy?1bvUU^AIsFCY~5mp&7(v~n!D9DNFkaO6)&Vy0|F6pRb>hU z94`njWbm(nWp_0oNbW2UArKVIDKjCbV^Lw$C^WSn1jPl0Q$oRJf$G5!jJ0TD!7Ln65d&^LmV`bG*DtBcL-L`g;3ZSp*f09;E(YBVXhP z&39hE7cRj`Ji40(G=m9E#Mji@2|qebCGDirG=^$IQ6MEBou1ze(wvG5dK4_99a0+k zBr|P$FpaAVl>2Bzdt`V4KTzkl#H^fIJ+b)0(gp|C0Sh6vdPX zS&$Yx5CM#7khHOb!wTd8>B0XJVjzI+8vnbL9CXYhtHlB~4X_C4{!;KZO0g7*8Wes0 zxYj$Dy>Nu6@;6V-ZXX!}5m+hkn$DW_#27u}_NJETz@fecE688;8kaiC44VMp=mOxX zuwUDv=ZC69dEqJ9FP??f)fD&;Ysk>R5()3R1QMMdzF)(OXo$I%ye+l!j13(r?C`*Ejoo4dZ4+K15G(qnq7MO-1||j zG!cqHBoA0O%7Mhn;k0CgxN!j00^taC)nV|K%cp8{h3!eWu&ym3x6TBlCU=t|r=)!L z$axavT%Jcd%pG%8?mMdK!ft%=O;$Y-xC()rPQKXi$Z*N{{YT~(EZz@6G@9^4vi!cJ z5mJ;3V=vHPXf_pKqDhc5MHbM#r9RT%( z=K=rN6j4J?CaJiXyyzXw|TC9dFUt(4&1QF$Si31JHL}ES?q)_(48$_4CIqF&<=5R2O z%Lu>z1i6BD&*#BvFjD}>hxt=rKx>wT-Y7~pE6m7%6wC_(bgFW6;LugsxY)0?(0b3c z4zmniEY=C~%4RNyA0M&C;)N_Jq zoCXppN=~pbnMuc-4AIH&WAzp$7^wm1&NE2O6Hu%4P!%4Il`dSn;-Yo!ppv>gPdhxu zqUVWPDI*N}hrV6tqb?jz>x*4#ER=q})u4CM_B{^-Q8}Yp;eXv_N(h5Ac5vIEsl?+1 zO{D!)OQTU$PPIvzpBvj?DRc@Lbw*j(-rqceoB>j>UA^OUzx1tdwWo*!R)d%M#KolE zVTV#97t34@u-DPNN!_xbw5*9KLBR;`;0+6ufuOK*tw+=J^1g|8pOPe7Ak$W35p;(D z`Jxp^rIE)2?vU5W#znD1-s1@`;7SrN82XmQk-zB$1e&3Suz&~Y_>Jtw0ek(5YWZpy zy9^x+MWQmvFt2mIe=BKg}EH7x(fwQcGt_tlO_!hi7>lySfz>=+J5@H|Uz zLSvv+WDr8rrIn_Ir?nR~jJ%M}5(*#{_}eZRO@r_P12(;m!P{sjhtBJ++5(Qk|85#I zuW~;{Go=ko4#f+NL>4bAXgF`!4@ws{7QQ7vu!$TzHwzTZYP=ng%;h6sav^g;3mZ!) z9sD^{M|6r@I4z^2E+2&=1q3)EMHikcXO16ie9p)dL!;`MeDDdK>GFL1v9vC^@Z7eF zft>s_BG6jhY@(?PRZqwNDIvdFM*dYqJ;|>egt|+LN+Am<#15SWg8bnNjW_y&%Gd>2 zK^Yx4xyc#_S-68ADMUcKO(UlW1?kY3kqzb&5?1T+1$Pa-B$`8DZgZe@kkcpgl!!-@ z$@oe`EN?!EW?2D2eo`H-gd&c}e}=K(S*eLfs8{;^3vwcPwvr47?~GCYB2mC-L?AoF z40p(UV9O&P8BIo6-O)!(t5x1WFL&f3}2Tb%ipXVhWCmCd%>|R z2fJ|u=7~qkVDLsmHY>yq%l);1y^RISQUuAv3x2_b>Ll~9-!}Sj8DUwVtq^vmGue(1 zp&)ocN4RXc$5}2v_5uw?gA+H=H0eo~u_-<2IGO3aq?ZNlYv@(NS=bI%rlX;Ap4UE~ zYlA`@0kAL#JbT5Ovo+WBe9vP?4RBb)x~;QUoo^2kr>sqjG8hhDfJkSvDKvsmgY>-QtMs{R<)>z-*)AZ3DH2=={>$zlrz1S3L{_)8NVLPyFhba& z;k<*$C⋘GEtPBG2(G*lmVMyMEGSruu05^Q;%PjeYQXGF~yyi!;l{`9}E->f{sF6 zEqN2BX;qfJ?p~vu5%}_G`$Im$+m}y&1H}t57HGg4FZBsX)h{%Z>NdDI!V2k|H8$&~ zvIrv6RWT*bi$THKqCO`C=Yab7nLj2&qxX790geCxB8Vx-F({p#*nu!XFE|7I1tVvn zxq|oXfb&5Qy@<_7D=@d5SMUh#5_&K`i*7ss24fMp1r)#$#53$SmkT7KQbG61bAj%4 zf;ehJGxkD2m75k?g3kc##pfBu*RF&|xZE|Y;BthE)~pHwt0)uz6AA5CKvITx-AfwW?&EJEFM##|e2sAX%uHUR6B(w!Ve&R3Oau2@e6IOOZe8I8T6Jso z_L?HAvvfSKew57WcT)=0=|m9ewr8wfcgAYEEkwYQXKaCWwg^NVV7_}j;ExO`oPz+2 zpDB=E2LeaOYLeHe^a7a0j*cMGg=g7JFr)}rY-@n4P)jLkh$hu?J1P6fhb7;qVu2jw2i~pRC@;#+nebgQ zqfA<-r92k#6O8$MY7jnLP->GL`>9%~DFdA6^+2TP(1bw#krz0nfzeddoIE@bFLb^L zYlH<*>w^}V0BVh82=qY?IR*7&Q>RI%Bg9f5&1v${9C@K?kWP;&z$T#vgdCxUq{`{R z=-BB>yUiXsbU-FT(g+}bYzI+5M|DQ~oMK8)luYr(CpGVgv@wO89)G( zNg)FADMip+R`Y^F&ue{@&)rXej5%l;Q}sK@1_?=f%J^k@)5c3<6NTS$Dw3u5Ln6c z06sI?JhRya7sP7dD&v1su#*Q!6c>mNWMu$KlnR799RRa%1h7fIFxl1t_^;tK!|%a& z#I*ps2KH-L!b*(cbrq);G&qM@Y;LF?9|g-DnT5u>qwht9fG|biKkOhpHrbOapQx2=*7ZTQz3C z*0Z^ZY!}erb#%KJL1^#rF+C!KC2|@sSh?Ivp@4K26zakl4y54%h@fHqVs;qGVvI6` z2)G2qX21`0w+MpeR2Aa*GeQysqirS>HE>G5=7@7CM#SW$pW1eHb;TDbg$Tqfszfj7 z!B`MAbU)Ht$_oi45H@}C>1SWsxtAz|9Y>yuGXyp4ZaUojoWCl_{9AD!)-Nz}pw+1z zy2TbRw9c|P#9V!co;b1tve8~WwDQwiIh7NsTl(=M$v^-fPzET167*uDy3T1791nctgvhgGz6e1C92zGM*eG7BLnryu{8m@ zUVOnjzj*!o|9sQ?$uDZ4azLI_JV+c%u(x1VjG)1MBa4+A*R>f$LTqTlAWzMpeej%H zQyU1+DaL|j2Otdo8`mFmSuyWYRj4Svt$*PpR>Xh{5eCioiG_24i79r%@nHkvxGug8vz5 zj0Qq-XqMG75kd;dthAVd23g9;B8Y($(t61WeN2pt@Jkq^Z@V4tNJuW!059n9e56Wa zAOPkdc@Y7Ej`AIOy&F0$-=(=k-IHnLj!L%;F@~UnbaIq+U_&6V4;OT@uz?VhAr#R4 zNO+mrJSA_|t>p}!wpy=v7B9R-e@6aSUy&tn>s|MM;xilm%O}sh`L4}GpEZZmk!Xr= zC^1+6Eqa8vt6lmH6fe-a5+GwBM6XeUj}z5{sOntmFE-K({|o$+pKeu|AU`HQu>(@N z@Y!drxc-_CEG#U%&cFGE@6XSlvxdN;&_J+%=8BuX_$w-zpQm(T;ro|u_$ko%!TXQ5 z`s?$rFCdEu7|frwV#|{o$wyo1!u;*G{5!Dd#%ulsGWF{pRjBlP`}RKf@(Xv~{q;Zm z+@*gXHUWg85&$j8$yHZe@}8%*Tr&5{uGIEDUApk{j>jI@e1ZUwxU)bQ57LT)N96o#Xmqf?3Kqe@31 z#8ElRsg(jk7@>G!%qiGM2puFRuuo1qwFe2ghybDK*g&AQ$U3kel6+bnEt5to!OIpR zq}?L-K}$0t$f={|QA`?nH!)On`ie7&8e|shKn?VcNzj2?drE4BmBSPv2+5wm1hA`@ zIouFJNe-C}^Lr?Po+z|;lo=v`7vwSu7dV0-E5K8g$W#b>n6`xNK;WPa{y}~W4ZDeA zci4PLgxZk^Q-hRyd?A*C%m)@V9KR~FRTW=&YyLC?$$ttTXszzd_8oh!xc-*^eCoP; z?t3(*1bl!i366N17~Yy6;SjaL3s{b{77iMxt!DMCn8~6BIHGqV@@dbcH6BZkb2{m0 zIW6L#+tP&@{*gn+$CdQzD|;Gj&o6Ac?tMTsI`J4k_Q)9`1^?}M`O%=n=KD?rLJg7& zo9{m!w?Don5I(qS44;4D`P((HT(k0q>wYnp2at1}IpX`ypMUs)6Yy3A-!#}>yW+Yl zfBt(1_j2FdqN8zpjNLmPx#Xf_QNvOI)gQS3BxD*PJkW%6>M_p`eSy#{1~Mw;t|?YkRh)8=98E3BpcVQT-Smi z`XE>z+>3nDZYH$TWcHa(QYJ@ktL&K3<0Ik-X9DI^s6Is~BQHeGC1c7h4ponCE#&tt zN+HU$jS0@19l{z(u^BlabQzC6Xk*g1bCRYNx!Pe)(*lF`6sh%k_GxiMt$@HN&|w|a z5PAU=J{>Q51aUL1hVTe|E) z@>_mRY;Wgz3wfcp&l9sVPR9c_QxEx9__Jh4pIprLJA(N^`MrdmO z0_zfCJ{NXUNGO3*4$1Z)O{H-tkr}k70q7VslfN{jX%+aZnfV|G&9O})r&-oWMhM^I z2-T9^nB_R)h(fWz56Uan>=2n+|< z0gi}H-e|d;{@eLS@f)BS&}P&=y)BHWq5F{{&}GKK(&DZ(p$0L6F+Z!GtE;M8C5S-J z#i8Vg^OtY@72&zD^x!MIUU=@V=U%vL>vzBU!gF^vG*sd1S6%rt8C5=ry|D28pyfmN zpM(?Xk_-RtD+e(;_?u@?4VE%h6=mi)ZMy!SDbqzjJ@oPLy(c~Woojoh3MjqulAmJy z55Qi1)juwLh8v#y_9nUXH+|rlZ(Xk^ed(V!Cd*=LW2X{9NcQipbEYNPi9sw30ADPD}YA9eA2ladej#i=Z zKS(~6=7$*5LnZ|z6gAXoF+$}u?l2LgHCAKu*h6h{IrD+mSU7Jk zkaBC`-4H0^$UrEm`a&WOqna%UxPV^Mtbjhd8#;g&Vl^PtL?gLs?IQ{!1pkW^LJiSL z5%xkXg}e_sOhIP3l_-tjK!wO!6LFfXfYVNNsM_)9vK=INR#M9`BUFSMI*=2|Zv{oh zgT%@OrX-QF4@NnBejxY|I0pO^8o)KgOD+Avnu8LwhmVR-(3;%k|B5nhx$FM3H(bLd z(jUUm%U}9-$x-+QXa@L^)Y2UADqd)vq6Zf=G_s3zF)y@U))>L|0t8Y06oH~Rh*;xH zABPv{*Xz$aHg0^uqu7De5Zv*ctbO~QVLB+|OoFO#K>(DY{=@g3NIh}pDAPmq8?XCk zLKv?v*mTXmkdr6XE-WxArU*#y?zsK8Rg2*G_19k6zu}@| z!GElSOD_Bg@>3O;Tzlou0^J%#pSJ=Z&bjAn+7c9yXCU3W^^Wt`{g{K!E6+byv12sF z3+-?I!3RzRenDwiAdlTX^}PH}_=14zhc}X?84TseDd;6zfw8NLE zQ#gk?OB(GDyg*lqPYHn{0k}tBumH-Og8v9mM(BWi(1n0Puns z!CN8+fHV{>#yE;)s4F72kkp_$g1AXd=uY*?1erb zNdpyIvSUY3H}Skax(Tw@BOJ;MPe)6du9_aIer-Rn{KKY+ylVN|-`dn5TG3|^ufa7j z;vg-722EjQg38&e?z{iQ_=_AwMl;aq@%HNrPdvFX3km>j@cjDg@!wv5TNVWRFt{b43SOC_6 zc}dr!jDjM|o?N6c`P!9VyivTM8elYd;oKEpy#9TKq_#>Im&&0;5t#scd~wJ*l24l2_!`3whv5i{n#ZZnRtgam3zV>kAcpCw z!FHNi;e4>YSYS#ROCyt}dB>XIluJ@1%CH43n&2p27z7juH&7&@sG(0u!fFWehdW{& zge*i5-Gd|@(*g7W5#*5D2f#Op0RCEr&;tr70?!dnKSfgtku_n}^Z{9<29c3>)sPbj zUf>lBt`mykLi#?3SinR87qpyaJFLc!)ZXuWguGyL0OaSD^wR3gfLC8sfnz%GITBZ{3l>s zv--i!C+pPJN`7x1(K3kG$Em@QOapuf)FJ4M`+0JQptRWAbb>ZN$MHsKst4ZIM%e# zb}>Q({hU#S6jnYM<3C1NAi2(efS5gf#eE zK2}mWlOEwt0R_-sbbP8UTbSYnisVo-@`n^i!v$x2ia533wlMGl9;XK8efKqyPZfkCbUeMkFH^5IHUMOQW1D>%9(Aqhwe--Rkf2kt69z7HVC?HGQkZhk9MiPo(A+~U6M|VIzlQ(%x3IvX10fId zkv9Ev)X0nB0r;>|OoQrkRxr;~s{R9$!6H}y_!U0;zM4m*#RXn0u&zU~*@u}$6hRGK zg<}Vx23oNfdTq8Bw2)03tYPkG$Zro)u}sy2fI_$+*dDY`tDrRAq_GHv=p-F^A!@X=;6J25 zjz=IZxDazSr6to)3;fkG`+GoQCRC9cwx=gm3s+H(FC>#wdJ4n-Kz-Q}^pqG5bSRIq zG__BB(PI!Tf8d2sMo~T_FQ4Oz`VFd(c^2;IIYMAPVs0RrIxxp;j^2xDXv= z*&5p>_o7x?W-FN~vYbuJ7LL~ztfY0Y-ucM=NJ1(b2(c98DVmwvJF7zmK(`u@rMCPZ zl#wM23M)7&K@9qHo4&$nEQPo2h2_7zqfo-HPqV@NbQ{)SMl3Ld5{e+wchb>94S+v= zBsnE;TEroCaC{+|^zM)X_`uvDMVVh0dV`bXeEsYH2}m@|&p-O;St13HghAt3D={U6 zr4YdD3)^0}r$Ie8UMts4{V{e0)9^2WRCnG=D1kp; zwy=OcD91{HmT9~tNAP9+Hbm#%^9w`@s3dFCKv8@<>(5(-Ybe*Eg0h@QT)1|{25{JW zV&8N<4+E0xjy(3vfoF=&uDaqqF?J{d=c$t=4Ddo|j!a?=vIVHnOQd6HxT^2J_~PB% z2vXqSMj&~Jpy)sXWWnXv-c`SyP6%}b%ws7ab1g-wA!$)VN|UMI zi?7s{#c*x21@x_&ZV+^I%nvUl6NQl%9rtW^D1`zb2n>Xb$CUOxF@X0R zVvs~7yy{togI~u`27*ex+bWKxV1DC>J|8KhAlDFq1LJ>KgR07cpa=wLntt8$$=;ef zrUV=TFYwm3BgY!q1^l&$v$l+8dus1ct?_@X2Fjb-a`oz^1}@XP3SOl~jE+A(bbkn!6+cmF5wR)CL}=DPPe;vfVjht+LBgyyfZ+0i+xhIy*<4?LhLrucBc zpDj;Z!cbpV%sWnP*uiz9I*t7l061V2utq)I?V~buKuGRFCbBNU7xcni!oam!#l|hR zzHoOmDPmp_^$>j^1*nD9=dZv7@SS9CaIG@E36jR==L-gJy5W6!JnCniS_lH=r~dL1 zl=YB)P8?P|3{D|1P`?*l19P%CM zKpqlXwm z1cy(jC?m=cgj!lRT4{=s2k%oy`$LRpXsFe~)6S!u%n$_fM8^RIb(vubE7TB+JA5I) zALb@W{%WlvFgv~8xRvv$h@KsfEhRf;=gD~#h;9Qiy|&|7aG^Gn2#F%!V`uikbd z2Lh*7@LQ5ukKo|)atH_hLkHLesPO_Cc3A!H3)^?@+_{|o+xbWF8<2O=@8zMf+2^T& z(0Ir|_CmJU3uC{Ctbv&$2%-Z=nW2LvvsKj%VTT-qbUD3b<9iIp8X#}Jugy3D_LzW5 zmq!5xK|mQ^5FDB^upPh_Kmd5L9g)>)Drb-n_~TGQmg|Or`oinLJ7CT@ALx5>i{j1- zNzczSbO(pQ!04=l*!~{=7@rru0ehIk@OSrb&)ny?_9uX z9sDAxXOd$ze#G`W9*PUN>JX&Rtqc_nGL0ndN*+s&tc>;`B;3&yOcs z+Nak|3-*^R>MsjAMbg3C==<-{UpR#h4Evs#l=t%3eH5c$5l!@P^MoV3z>Pi#9f16? z2uL-s@4IJHL}0qPiOde+2+@IK3KIGhRs@9!diWrdj#QPY0aDXmDtf#{Hq6dlc^icFFdhj{aPS*ov~e6ssx`u;E6IAh~ObQ^QEM(_yxNX z0m6PE0s_PJHc!~nV%StPa2`*kr&9E%1;hW zsETM0v@1^22%|3rZ>tr|*NEk;RcXv=lT&Rtr?mO8l*|b)bnjE^8dwT8uAzpQ2Bh&C zG4kig5{3-4=vFBr6GoVjf?xD01EDO1E(gYOAEmTSSYpg?i(n)IQAyunHS7?J0Gx*) z+GNyW8@;ebTud+mY87J0C2$J7ke55>J)RQ?D~_0CH7Rt!iC%&{=dkh2%th<|(euwd zNG5bJ;R5-V-u4JB`38S9GO!tG0G$`ylI=L9FBB;tq`%PPN;W}Ex({7a{ZSiedrup~ ze!3(nMe3pBY!hCNbJmJ`?*0Q%)6gzt=8w~xZ~j+n&-^jG0jkqG6=gry{Jts^>>HpNK&b1uoya|2xnG76bu{yYKiNlsUe1 zLyIruaj56ut9#k$!mAZlHKbqAx;*Rx<`-Rg*-zCc;;!F$=Gq%?{J^bW{=d3^sTE#! z(Xr404+-^LaDk#BLVHs{pj#G=kL0V!CJX`}Ax9Mutscv%X|^FmNf4x+gkQNzp}jBwuRKPe8-I}{Xq$Xkh}`Ge~D(ZI;RjZYDKf#yV$ z)7YkaN2?1&pa)?B(7DEORJv6ZWC9FUgSuxwV%m!uoI@8zVCz(RtJfL}s|}k%V4O%) zg_)qJ>=A;Ugp$n7dx-f1lITpep7USj#Mg zv`@PS9i+bPxx0gSkOIQi7ru%%7n1(@_5YkqZt>7V#1t6y=N9cTiawOTp!Lg;4kUGh z_QBBS(%rpJAW;JxP_ZV+@gpu^F9>xF&fj?KEZ3oA@t^KRZBK2vIIn5IWPo3^;htSd zq{z^L_{*Ly@I1zX(&*TB;Is2VNOM3Fd{{kz!`vu`0;Y%no$<)EV-9kD0=_7SAac^i z3*D!*D04A=P=xZt%5aFeV1J4os*NpGps3npc!3reNLORF%g8Nu(e~Qa+KHWUh!?u~ z45SO>`xq(t0(|oYdx*(X)ZItn0%oY9&w)-Zm%b0^ppMK(N*b~N>SG|Z)Z1u84o3*s zaXQ(KATo%&m?IP~;E=iD;02{Xy%sMtlfj$jIiMi-kp zX#*V4hVD(h;k?ftd*n>v2`^K|UeLaI0`tjV2j=7`=6lgGkOIgCbZ1dRz@L3k1$VX! zNHHI{gkUiTacA&z!+GqFbbRXZa=eJ7Au0XO-wVW%?QZ(gjqeX5SOM42Gs0&)KkHV3 z>S(4E{}oKZt%2f=7ykqup5r;#{ zMFgc>LfTAPQ8gr>KFx`AJW%E|YVbn2F=Ir~Xe+0haiBZxnUs8~`6W|2J!5r&xq3(E zg=DI;82PomWO%_AL0v*=$)gbbhYQMBD4IwSEYR4&HpNH^qbWf?n4hCjfG49SSkQ{h z4gq}SI5rW2AXCPIc|pVxQo!}(pmPEcY^|xdb06Cuo)=J?%pjecdHSiZoPWko?%Mq# z9;A95r|7|dV#TUs*10$t!F$Fzby~b&p*fcr?Z+q}Gi(3L9h5;-Y=NZG z@pi2ApeQ3WJHqo+<2wTUwd;kKKNKTWQK%sbNhdVn(8EX*4C>-OD(e7(5a7p%$htO+ z0;a06vw>$NF=v(0AhOip5i-4RUS^>H2vHC_oS^r$9rv-74edKSEQ?|@au#6@@b}!a z_u)Z$^08|YWj;8g>86o&`P*^lWxvF~{({UykiEc~&Aw?K4Z8+H$l(I|4oBpX4oarq zWmJ|vm>w57{WP5#nS;Ksx92$WNU#`XO)rc=;g~X~DJ9jm*t~EVmx8AQajjm30Cs5| zmZ~zg{Mf~8ro^i-UAo?TfwBpP7B#RFHKTVE4UnY)wxY%w-*RYHOeX@S!5Gst*mkDZ z2pHvvP+xGq2KmBCmy+r*Su|Ka4}(IN1eu8%3&1bP?F8n)HKlB$1ZyyrXuXH%4UoNl zfy-SX1f&CSCPKl%^VrbP1Cvi&TUA}Kg3rY>P%{S#5h8~gRNEMFPCeaUL@8=K0M&9t zCMBO^qy`oBBg#q9AwSoW^5t`g(7P$&pQhzUp>o9L{_T>IpUXooRiw8JG#`ETofKL3>|t zIx<4~FUA4_-XzUCVkHzf@2)LNVa>|3F&S(uAmd6>DQFKh*c|~-Xg(6ifWI0v$SPgl zrxpb-WDA(62!H}bA&3tC0z-2Fhzh0&*+eA*H;xD{(iVBbC`R}IuNi?H9wYcB*bHSD z%+VA=8nJOtU7V5pfYque3(!m-P?-Gx25PEZf39BN8A#`LJS2Ak>Q$lhQKnP|f=e&H zKtzK}ic+ch;l&+3C_+s~ANd#5H=Th0KukQmZtB}1Cr%-r_vTfXw;k3b3!Kd^CjZh!Dq=7yFn%W?E7*2K&KlE2;1 z`y>77X$G=4dH;f6!Jz4L#K`PK4K>iz;}oKQF}PCy>O-mYsTy%fvw{nD9|_g%2d*Jp z>(_mPVx0yY(#J{l{!M$6NTuV2Y{G#AF4@%7gVG>_UWjb`Co?&yAp^N!IOyc@r*=sS z)+G(NAp>`Xdy+e}CZAKm@1UboAl)f4N9Z6zE>9^}0ouWB@H|DR@l;j0{5HKdJV>h9 z7~2&3^W;YCo>v#5narfRkZL>5S0*`C70;=oKaz_2(Sf}U{wqI%9*Liz!qc4~$j{eE zn!D8L1ho6Wo*$9S`lTdzO++ehKV1ESUC6KBYIE!WIqf6^j1UBBoN^R>w9+1ZK!L_d zlTPamU#LCjrBMiOy~Po<`G9m>zlVewdFPpgnREf^ZKiPs9oM4p3hsnpsG44Na#?H0&+?xk1>2vtrD9vd}*BK z(1Z0#$eF@7Sdk^O+HP99FEZ_NDgV* z8?86IKy`*V7`-t*Bn;Qvv9T7b}P`7z;g+SEht{!CkK} zf`AL;)XlybeZp$<6yYO^?cj+<;f17Ogo!K33ON;J81sF)N@>kpb#Niqj=TVggcqQO zPyDxOUI5_sA3Ok{JpSONpTG2%uf6P-uDbk}Zrb$0`@VkWwyj&R4w#Ip)Y}<_L4Ih= zQw8x;H4xLgJ!c48?Ai6=!w+ou>_xwD=BdYganlFEIu=J;`Ka9U%~S%??wy%^ZEp6y zub+MIZ71Dw^M}8F`zd$b{Lx1qxNPt4t<2!%gYfMf^&pGFHz57&0ZcRgIOXq_$7X-+ zoY{B&?%YrO?(DHwY(M6zZO4B0CCWKV*n;bT z^g_RjS<^TH9BcvJj|`sR&oTH5(v3EHMW#2k(S@{@}-jy!(uw1Yv*trA<<_?A3Q6y#TvBJ zzQ%_fi7a3&Fkio~V>&w@F=r6zVg?)Rr>9)b$4403_P2Xr8qD667BS$U=csZW` z?)`V%{E@HScIxik+hMmpT=zHmM(?qVz8Hae9mIL+`PqN@r?c<;(Eh*w2d{i+{r>C! zV(#|u9=Q3reWV-ya_>hs@BD{1zWmNBx4!eUFMss@UD>*7FZ-Cx&GA+yS^|xVCdxtE zZq0ibBV{O+9)wJXO2;APNNEv4GV63vr(VJljU8ed*oXkVLHm|ZSiuo$ae<8mP$EbI z)I`RVKp@;8uTdYS2FC=;!YJWz04?y9(nIn>VO?n!w2pw8OzI~yxGL!LELlhamk|+X z;5R{JqQk@jF21lKF!~^Ws~>8MWx*R3OdQn12&6Kj@gIG)+i2ZIikZ$18JGX9ciUWY1kH;f_FaE?;FN3U zUfk7wFCJj;+`il{-_M3EyWf4yOYgX1`!C$IecN6U8IOI+iT(Wx9^NQ41~p^}gAE5@ zzj$HnO&M;1|0!)FvF>FmUa0LbX5*5B8cMx;=pO+*{1=zL#A9F*hD}Wz94d-C#V4- zYD5G8d=Uf_hp-4dK99?Y)20&zS4H%h`-0x70fjp10ZK?wPN&plAo!`sAN5OK`T<*Q zp#w8%pq_7gVn=kc;PQSnUdZ=Z-(D}Be4ojwpN3Oppnl3&D20?N^?-qflpLKptQ^3v zl>Eq3j6f!D6;E7@w#q3A#RwsVH0Gok7cFh-lvdG{zPd~uJ-8sl!Exu96~zlsfT9U_ zhuTaeQAv1&)V$E%^|`0|t5+OsPi5tY+(8?x_8cDb<2z z5YT~L+b_HDf5S|8_L7U%F(`h>JHENg<-ai-vMZDxPd7bV)NG8Ix+`9heCR-h0CA!`~$K&&~Cv@l?=|{`TwDNG+Ej(cS~UaMMf2 zT(RR9zO(~9^2=Ueut7U)tv9scVBn6$E7h*O>K}tMT*ZV^ zRy4@l@693vam-d)h8HN!k&$rHmbpAHT&y(+;tmW4*$&pkIsnp1F&Q>p{j;~;@~_w~ ztK2rOKSq`X(@ihh{&6!_}&0QuDZS_1g(+vqt3@u)e@3hQa)#>X@BGLKEVPWM)>9*Dt8TK3l2DHVVo?)OaC0 zVqVC6q%2;*a9~zaj0N%&bUx*T)!~Ju`>EPA*bl(OaL`bF_LXdWAs$2=`Vzx^zb5krC>&b@@KV zlaBq#ntkedt%@utBCvuNF5i9YZ$JI*>v=nK7LjRnn^t;dC)K3d5hFWJeDC01_E5U_ zYahAt^7m-0%PVrcr~!@$76s@E?hw!k?nNPJc1RShOy1zkuph|P*xu{WHlDA2gy4cl z?mvNbYCNQ#k>zh%0q1uLW$z9zd}|wSFD%ULf0j+N@45YVF4=gDoIKso80lb?3$zzy zE+MZ8urFbaTv#6jp-4pX$O~pY?#LIyH&fqRa`G+Z8zUtXg%R*eE*)~5*?fS;e-T9G zhYmPRV?aniVUj;Kn8=PegeIz`l@MOgBqawRXsBWbnq}s%c3vPq0~>?}?}I}%WvGb`+SY=+Km$ngW=5TOf#YrERvC!5#Pa&6~UifBA z6VAkE!^E4peSi`9AP;~DaWs&es^LwYz9!Gi?B(m7}Rc`0^hf&8M81yZZk9@BHBGKRID; z8(Wg|bIcxKf)?KrcM*qbdvnoJeiWXH9JAES)_t@8!__YlYj|qMe%e6d3$!%a9+Olv z&Ph8jz_0!S+E*un7Z`x0s5qi{0bu8V2$l}*m^c(DNdHY*XuxS+%5%$2|HrqUxfWE- zieJ%z|G@tcL<8Qwn}qa)!wrkk-Tm@ocir*t*f@evHTVN?B@M(0V~u9vg=7ZARAj&; z1xS-dh)i6d71mKTgK$k{8@A=HuEKHd~^xxqj?xv5X%vS zx64@Wsiv;t6QMbEL?<4t6k+Vd>7>(8Fhf4eWqbnBeqPXL}ARGBgn$2|)*Tenl|Y%?A&F$K6x8=4ku@^|#;rN9UjZlQ`!= zGk}g-^)Ma?w>tpEcC!bQkH&4^a27Jz3g(m{OWeCEvkMI}= z6G#~%^1fzX-MFeu$i;vyvHg0$)=m&zq5!eoK0R^wX z!w3RW17pB5=|$(QM!*~h5~2*OA>ui714w^ zaw@=Ie2|}<2#JMdJd=h^>#ZRT4LgT&`a4A25n4WSY=@$QDW4Lh0en)r5^C9Jb<8YC zk09>wge3?9HPMRwOJxxN^_j40{MTrop+485fM#~yJ{^lA)0S9;q#01Ctzx_vvWT&u z(6gtfx}Iq}0v+iSbjHPWs!WC;L!t~spEdS2tc~nofO%^Y?j@=*?B~7!>Fg|PC76u* z=;lkY8!{O+p!e21#Nt|KFoeMRws4!Wz$ssdg9oyh8WZ){_u}hy#wClar@5K!+n(C| z^)r`h{=ghQxERNJ`fdFEF#G735598mH~l(1e}VZ9m#r;}7ZkFQvx(>bdsn|i7#f3- z#*h&RLlm`Vz~7Ux)A*~iAWY?On$p&}YJSMT3+b@cH`6^ywFwd)055h9BgjTLYvqmC z{Nk>c9t+HpexIF7eG=TPG`7QHu&qJ~{h|P_U>W}sZ^i`oh%yj;2nGu4#1x*KlcDnB z0W+|PPQa5(#3mfp$41cm7s5?#8>L0~pNCXdBPsuvC(CpBLCH{F%W41NiMsl;?_uUD z?4YrI9x|ZqSOyVoo+>b&$J2v#U@y7oBg&;PbxHF1fYBd8K13NX*B9j_*rM0LUWiU1 zKSI7zu0p8VqL8Wz;Src@mQ#ex6X29qK?-q%7eWomSA%gddMd>}t(tlYhjUEJ^D_MDUgE!&TvbB@5lla&;Y-Mm|JNPhj^hTvc?uL z)I$W|IlvBp8~MWvt2FNjbHH36*O0*<1&#kb?^LAV2}_*HG{`;}whk~55^E-n9nqFT zpS%iE02yEk1nh+tU#Q{kvM6)Z3K(EFFOXM+0eT7<_*D+fL29>gF!!M1q;L5jw*X+x z0J4dI(0T@i4;(T8rCZVRUla_Ic(mpziTe&RkM~R0f2db?r2hlp0({-sW{98Yn)~P8 z@xj>>uhj!3j=*CQ^x;LQhpQK|GpFcloSXUeJ9o3jf!`foP?K|u%^-(nPYb6DgbM=x z8D)`Xpp{-$V=W2h1v+N+#OlA*NmH86t9s7XxKyQHs_^h5pDBC{eD)y11UHea1AZDp z8;-W@ewB3O!&fQr+yvyEJ07|A3qQ-OA@C|N1ww*U0>+USkb|-KSUl-ejDXq&ieCfN zw|wP)Co~`*keN~&N2itcFQlk*g7zVWnsD3gtfB@q`Bn|=ivklUgcqDPyFdf} zm>8WGa?0g7(qKXgia7LWRPq<4j#5>_tD-bowcc(}r0H^+c8id9PD&9eltEgg;O-z3 zU_3$xq;we_L)1W~w;4g{r!^T>c0pGF=i@1IWI+}|6xen^f+sA=P2`|MtOG@#%@myG z&}j=UHx}SDI;17YKybJjUI-Cj5fmdx_6rvjYw+e6#GMtAK56ACkOEUxKc=@Z06~D> z;o#+{mVvQtplfFokOiVX{NTlBpL)!W?cdBaCxJ833=i9n>dg;TnIFR|sU6Ee#onO| z{sCblu;Lu%hGpZ(;5m$-H66A)_*{IJuibItp>BD&JTBx$)Wd!C3^HV1{RjW`08XTB zyNvVkVp$=uzg%^Dc?5r)e3LAQ{_)T4h`m6|p%u~C)NcF8XXJ%q0gdqcxGOzNeT^KG zLUl2E8C_Bxxzj}T&{cMDIF_Jk$7RS4&>ePDPJ8$JXkrM#I4=vD2@n0&=?TV9C%@3CfK2*rO>e# ziWh|N!vQIzX-zU?BUmTxt(qK~?dVZvCal)zWlAk!h!6qBg2zRTDMAF{g{4eEJ_-{X zp^OC!DzbAa4x%sx^5`a_-?eDMpRTej*iV;44LN-?I>}L{-}M|@Ae1rTf_h)WuyqoY zArf(9AqGNm1a<)|K$=pylDvvR6O(K&zzcxJUnwtW-cCa@&@VHcSi<(T+fUN?+&BzX zd5F6oVL8w{n)%#?J8Fl?*64)c9gx4 zwnvMNjZ9-s*GM{204z{`+K>V{lRnrxWAHz{ujCTg5IbuS=;_y7l{ah(5HqJJAPHt> zs2{&huPBM}JpJqMu30x&N>5={a* zr;|BI120tjnGi?k1?u^MyZ|YTLzil_nLCGp5F24J_)qKz`oehB2#U-AzStq&q^=pA zW;+WCY7#c!r%VyjBYw0|Nm% zgcuacS>A7Rv>ub2!c)W-QXvnpZQEaNyzav%KfIeF4Y@lv5!*f7_rqA;gcRuA*M9EjUU_wwfv`bilN-hg zA#FQUa8?ESGmf@2IW2`246Rb|fV>UeT^s~g`RhA&Ju074$Nc4xkjQV)Z_^k4m+!s0 z8>6GWt!*F!<>YztU+&)#UN@eU2Jn>!BdEZp0nZ$-RPvIR(RA?DJ)5rnIdM~Chvw$W zY0^XD{74O>8uEA=Y8VM33N5u2uqdZrUIdB|b_fw9Ka>&gQ#?!XLSoG$Szts2)k6wX zypW>Mgc?uq-+rfw)i82LxeRMQ3#YhLau+s4= zWb$K2;3mpwKlH)Si#bc=#EBHZ4~B;(NE?!Odx6uy_ypd~3%d4CSMg@{x_nAO^>|sF zggUzSNzn%#-i!N_Ugm5MQd~(%C-YQ0DlQK*N4bRIZZI~3-Zs+KiU8lpqjX?U3#;Gi zzt|?+#qkc(n{W8g-aRk=@qN3$wWIs_%~?U(DT|mCoaD7OMGE*aPb)2i$C$^a!-TK0jp6D>H3w277_A0Wm2?9W>OD z7w8M=4x=E`VDKZA+&Y?<9w4XpRhrU8yx@(pufFo2i(*LKn*IwOo{pWw)laz$CBeX_NCV>3aJO1t5 zYkOR;9Yl2>-p;9rfGX8<^8fJXF@H<|`;Jtq%b^spN_!IhXMdDSyT40HEPTi%3-dDv zp84$hV;c^4Sgwc6a|XJXeu||zOEs&8QCVt(flx)cyexv~a9VDna71zz@j?$ezzfNj z(0L*Hqyc}@Apdw;ys(HA7PCM#JcOcv%B&m-ZqI4wv4R8gY4fBEdn`|wqIjWLAg&}X zY*B{3pHdVOcL?AUJ4hS>h$aUx4?zgk9T7-otO?1^Bvq_|ZSZxuSb)=ZcsdiaRa->c z5$8#i!B#_~2ABmiAy$JU)bbbESda(F5r;UAzyz&p^M0zx+6^P?o&Rci0YD2WWM~bL zzSc*+AQ(^v=&=wWw!`wscl1iQl}G#3_|0!zbMM#A;xV@EnftXnU)DWhFLX!KVeZQ3 z%AtY2A-l|w!(6qrsKw=HXCM8>)Boo8kN?e&{^`!0JK6n1nxs6cqtC=IL>9~Z;X$H= zf0zt1Jq{=ij%k+o0kUv5viAe;uDaV;roVb!opVNvg z(6-6XDJg9p)}Z|Z_|1Yy>|pQ|g%LoJH(VEw%R*g>l9!C#$d{1wvudz zW=)V8B49-Wov{w|kwJb%t{tIHPSOxf_tAfb#Wl9OzcR~~cf7PZ#tIL1^|$w&{n%beN*H@2)aekmG^0E-#|L@Cf1#nd znU{9%{hg1T`Wye@gumGQ2>36?IdG6?i{ZfIg@cx>A5#T|*cFb}_$!^;&j=x-2wE3S zF7^VAJorDA(oIs7Q5I0LaG?#@}U<7I$R&}aM(mUi&Y zVA^RM_+b9+xBkwC3;!-#s@f4DuXP*_fJ0CPcscK!AG_t|UwP`u_0$>02}*mt@dSJu z(l*>(IQZJ`>#zRD%=rNf1z(>015JR3OhfwG9lxW2yC1Xpi*zCX`t><$)z9PeVMPNA zpV{3)`0QoTAZml$jun2>6E-{PRtI z%K9~f`AHX<2Av&BVJuaf%>LU^^9CBAeWtLc5ps%vT9ALL-6qO_Ln%#~Q}cq9h4!9h zq_;Wu2m+;N4Wxk1B7*QLhUPx<#3U3rg%kw!CW}fDq8UaocPOlokbzjk1Oe3JQ^H;- zYeE)*@t>ULHDY?9Coi=i0^93xU=(UE5&+x$ce6CqK03ny2@cH38BB z%Q6R0(2P-#zqxnb+;>17sZ*3lrHSv%&Ft8<`;Sgp_pAT@_%-XVMxp&Wu~`6o?_0>n z;VK@U$3Q@**Qc&Mne6&&r^5|l%wBvuqW2D3p2r;w4 zk#bna#Il98t9f0*=KD_SNN7kD1;pPXcr_N{cv0@wt#{mT{rk1zfSryUwKQ}Hn#iF- z&>Q)DPAzEcdftkwF8is+9yldnZiE$#cXVyz`ThX9qZ~?D4d<^S#H_vVpayC?Z`F0z z{LCu{cl&t)+*}J&@n9Xt8=7v`6c!9bl_t;HI~%tHQTiZa3i znH}dgP!faD*+1WpB$A<=6|^0lv5V$(V-@ z()x&*0{kBtA>B%8O3e%Di6R1X0mcHIG%{gFCLn$Os-Fel8rTM3=jVmtLco9@>Fci@ z+VRlI+_4eA@9Ir2q13$$S13nkVKK9V@RdangwtDo4<{u?c*rn@_$wRUVTSkpx zzv>JrkXFu8p>A45Pn}0fhO7-q-mn$2()m(Klq;}ozo$0@ddbpOf$%;hWrNe5J%`>B71sLs6oVG zH&iTwq?)|yBXm%wMFAQ7E0oZtEp?{IZrk=O1^-7DsGQ=02`}^o4yT>8LT`UNZ57>L zhZi`I$wo=|mpHNy1}1~vzfkYxF_6UVzbvNw_gr9D6?!LrK~R`KP?Q{O1T2N`KKlTt z}_n~u{s!>dA4uni^*u;#+txfab!K-mZzWj5C82m zzy9x!|Kn5E?%B1A96gF%YI*{mP1K=>*D7rL-ykNYu<~H!$cQjyEcZy|N5Wo^E6M8@ zhVIZEM4b*~7sLkd+u||S(qY%rRPU3fH@l$sVKP7v+7@r^imlJxDQxvT9KmwI?67QM z-~Q*Wz7n@Tu{#9h`+u7f8F}!|MOBH+PBfuv zE@qraOylGg3`Xx1B@KG(5_80b7pw&2Z~Ec~a6|b!F-2O!KGD~eM<-Uk@cPqFZRA2$ zL#rz6jtj*^sWxdnR@6sKPzdDDcG<4{O(hff$^9IR%$3P+o3s;stpeuAxpvSImQAlzcp`#47@Ob zH&U53g7(!l(%?e`u^Nzb2|{AcA_Ck>{Z(!e6g%!#0}5e;&_u~Nl*(8DsK$ZsrIjKcQv@YdM&%E+8F=nvTLngZI=hS)@oOo)kf8(8p_6YS9h1ukOG03 zG@v;prBY}>^MC+JAX1E)6Ad;RC`ky=kjhL+IeeKZL8bb6-#22Pxc7eFr<9yPS*cG) zk^Ah36X)D}Bi=Xm-Vt%+re9h*;htxBMdo9>{3?pXskODW5E?X=H|eGSaU<$xziz?# z-lZqEK6B1R*TM+I6iz<-QVsn1+pYB!l#Hn&*oP@}UDHquSuQOX+oybIukbwl`m_p~ zjiOf{(~!o^dm28;;(zJ6Agu1dF4y=X)_s~+5WAI&woq#b6|lDodwRN z9v%kJwx35>x`4WHfouvH7ors$P*+^~OV2+a&sAUC*F8((uI9hmfAIzX@Ep6LiWI^H zBSDPvvUlf>hLrq`nzJ#aUWS@lc8;$r&Z71D@g%pFMMXJ?KU521q^v5sWr6r9(MqzIAZr6 z-VplvtNxF>ZreZ>5F8waI2e0#&H*EM5H5V?^nq==n7qoW;Rm(}iXjf@AhiXYU)tBz zNA*u`+kWMBU-{4}7yiMY9EtDfUGM+!S8lmm6?)MhXfFkgLWE|03D8KM#L;N*eDPYgcr(>q|@xM*J99X@EuV&yn>HQ$r7yhy!Mm>nNCT>TCIm*~vKJr(^8%XZm;&U#AU~Q1 zx9mLO?q}cq^=)5#l#sDT=Ni=840)+vj7^xOJMVq)U%lr8zxyZa-ur=1UU230Ted$P zBA`w{9%BTsmnNjP^)P=kF+>0gzm4^HWcYl+;Yhe8S$DY6i(%gp?m)o=V`a^~KhxQ{f^2oiA| z0sII2F&sEma5-)zubHLqXNL-vGz9=w!Ki3>%Nt{0z{*&(hMn%VZ@p7w;74fi-kwJf zkgOfM9(sP?cIT=j!hi0o3;M&WFw2l31rTz>nJtt6&SN7GS-=Y5NyhPZ@V_+Va_HKC zxg9~W9e92RAg;gew{;J(P$R57kDf647xrrrw2cw0n_`3rLGn?KX4$l!irmO~wz2S> zCeP0oTV{~ zZG2}t*PASM8th1fc;{%EVY`EMT7!upgr! z<1X=tryg+{YJeT0DPHKOtz*Vs2rne!EK&gEi4k<$Ar?W9UxU5Adny`uA#nucG8|xp z6vM5gTyK#9BgBIgClYe(1!2yi@CVA;f)wpOWSN)eJt~o{O~LOqTvO#x4s^qR?S$5on+KgrYPIA zML<}iQp%J=X`+K}zq4CFEblAqTUk5et06k>jr$`dM>dzFN z(OBTz*hFP?@zdKjpL_PtD!A;ibiiByICy4cHE4tG!_gOh;x!6Kpo#1$SoFmp`IiK>a-qj6v#~h2?qJ(aw_tL0=%bR zip3}p7x*v{x2sgLbHONw9r(#;A*6MH_K`CWIYc0w*VusHy5&Yw*QV`NB>{Xfg_|O$ zX|eGIW!OVje4A+eM6ivoUNx6#1LgZGad`F(P8vJi8(0xkfHHuz5 z;?)gMLr8%FrU_9)Y=nmDc!a#mWt~C|&7qX}NPTK6dIglTZz_?6b=o3{&JGJ;AVj8& zqT3(V8aKVolNAlN6hH>OwChmgg&=jVD1N zQt+QLb4Q>|xMXKYfQXT8;uO%thI8IvFO8lcz$@v+|9INQ9L!ugpS|qPcufZCBbFk| zcf`A-?K>g_FjXv$+5hBYo8S({`IHQ#%iNHxzyuDZVvP&VeLYiTbGa`??3;o3L2!%d z0WeHlTOMPP3+nL#;B~r+uxK^pTji2vD~Xbn?-{ZPDdcwvO^~AGs2?l83#T#wO$z+z zhJF3$sX@QHkCe%^GrTanEe0%*218XjIE_H_Q_JiwO&T!mS-jvQr)Ur$g_F9yEED4d z2Mf9_0Qk$A@OY__LgW+dBkINIJ|PH%ieDq=APWkhuLaE0>Cn&tp@5J=vSL0YmC4ZO zog(NIOTkl>2o7>8z<1CYAtgf!umBW*X~68$lp)pO5JR&pysI9vLed{R?1Sq>3ao3x zl{D?My&QlXBDw&n?1JI1q4c0>;>vg{0FN0G>hT3Ll`ht{oJQ;;Q)OrPB7=WHJ*1)4 zb-Q>yDBE|Rzy6=(1xCx&G3g+(JOji*4Ll^jyPA!8A?-6xQ4O5BLn(k?-GT0-!xK3I z{_0=W6e%FIo`@5P{>U!+C#|{k!e1_A)1bEn8Eh;V{x;qCZ`5=9(6L~_f(DA<18ASq z>~uO?MtCBx5#w92#^GGja0w-d?NKgeuKdhD>YG~V#yw!*hnP*8-=-@Wx-(zs;tStG z6u>ru;l08KHWmO?z*+2Y#%oyGCP>d9-=P8a>jPaWu;ENuG-d>mh>mVAU^_q@e2RPS zI5rR9O8OUN*H$=6t|V?3mRYbDRzd!`tkIP;W)(pc@*|7|Mtx)+J3k6AUSB?0?RV+a zP`nVd4}g!qCYs^YvyuBap)kLiN;R7ZDNt#eVs0U2HO}INZcV@o&4Xl)pphH=*RYDM zARSbX(l$bywnXn}mV!$r+>ms

    c|E=&|M)3!w?EW#(OPnWW?$GlcxhE@3c10RB2s zrc(w?L3d78!+*0t*dct;^yUKeq6SO`(*$;eJV->Gp@yRlJN8Jue}PpDLW}MmFJVHK zSGts)y#v1SW_aPFZH@%37o4AX!F?oSq#9Y#Wpbp`6;p@>#>4w*)7R*gcinuf zF;WBX7zsf*o$lYiW5*-d2>A|UhC>DfS zhogc=HKURl7-}tj}HZ_6^>`Is^N{$q47JZ%h$j2kp6<|H2N)tfv5M z<$*B^h+l%|;H_sRkxQT<)bQyIZ{&_#tBF*8j1Yvw9nyU1`rpp1q!FuQGUV~l`*g+V za~^x-g}QL86Pc3Zhb_5^r9jfg0=}d)GL-T|_!q1n4uM}YzbwC0e)pjbG{;diEminQ zC3C7K*KLHc2`SgEq?YzzbWsB>69a)(Ek^KINbMXW*Zaw%30jN}2*=+H3@m`K#+maD3sBhc62}_VKyBAr18Q z-*xx#!TG?#mjBn9D@eBJOe{9p)XU-T1oCb9P z=hTVe05y=3_2?s4wg)qp=4n$M@?EzdeI|unVc|2C)KN-=0{;j}^;PtkNaS2w$_V-P zmQ^OJ3Pf164#dW>#xSo7Lj+{y zmCz7G42S3;1-fU=P(sdWfxK7%XOW``$n330iXlMMAovdvumh5c#e9HP`n1)LePnGG zvdNF6Vsa%Z0L^?PzkgxQURVxbUg0{SHz4c%DEL?JP0%{x_NfE%2mTcZ&Ev5#XlvEm zOZ@}DJGmgh3(QJFz=rw8jq9)!n1Cea@buJGcwx$NB{Dt4C3{C+X!|35Tw|m~{7;Wa zpHgZM?MM*mYxKT0ax1IB137Y^+R6($%>_=7gL2mG|Lhg-Al3{-6ed)EM|rk_*N4Lk z$XfF3Ff;`?P;7t-_vGv?(hcTPz`8_-INR6PDV}?G| zr`V3|cN3(b2An@ZNFWq<5a}FX5+*>yZWW}ee5!x{V~>61?DcEpKH@5b&IPK$c#eso zYR}MGhBHn-?CUqZE5<@+2mV!c`s()Yf8vQvkQC2wE{`!h6*ct3@%NrJKBo)J?3L$`0r4*c#yK$-It8?u+iCDB+1Vro0CrP@ECmbd zK2au>fzU(AA_W@-A%z$hA(SdZWC2vK&C0?HIEy$IctPx-=}CPOQt8`# z)NUPgUI;sgIQ$xDLJtsuLXGhzc?knn10e?X&HJnWp!c=CROotzm4^sCE6KrM!}0{G z2mDdXI*33GFQby_ZXVt{BtV3F7xR(6_sDH0eB`w(%I5cCK2q$3EMb_gnw8`gG$}xK zFai(8q4zI%6rrZrTW_ZGjfMbn`b7p^^sF2?rHj>RI$9a^>CPw-jAj!=f^5cQYxchY zfM+u5$O|Rk^u>S8HmV%#n@Y-ymG8XmC<{kzz8&TKar^B@eddCn7uqB+ZM=dJs8Cae za8{hAX+k$%|J#k5c(`T%8ZYP}FT41yx)#v((&pf5N706;U%2)ic^F;^_pLjRBJH)d z558;X!+eChZ(qkwN?0G8?>O@F*Z!KwrDO6`InR9NVeHz(6LtnoWmKivt9*MucP}C4j zsDa-rZ7B_@w}%P#(W9(p22(V-k4CCx#!^uG452v6kle%+l14)c1pw?ocR5tifcc_^ zA({~I$2thek7N-|Drp?iC#K4Ruzv}C^*aRg5C>i$C;%Iwc1!}{Z6K&{Y=?vuVmOc+ zoDUZ$HII~a5Ir+dOH)GDxQPzDx1KBxQwgxZk@tAApQ?tV{qGQrFEG3ZX6>#C3=7Zs zMn+VpjJ}~4B~Zr{D9E+4JC>Gym{~^s>ph@DW9cq#(U+ zvEn=^2bz`33W$&b6tQpbE_i{KK(nENrG3&eYi@S+h~fqM4qXN!2p5DG(v=z`%$f^!J=11AqcURnh*{KUM@Q=hOW!eD>;hfdAqJ zjEmDWG-ap{_&`8xSj7AvK>my~5Bt6xHHk9J*P-*QHGbiRd+t3^fO)ERx(iMkKLws0 zNTr7hauuo9&9zV5*hde{oFe^WjXnatAb0`m0ECYZDix|`Q$x3CG8t+3)cz_`7*dd- zv7B8n0(d>@>|;+KA%#s46EC>179%8A*xu#R@bwlopo|~MrdbR9Q$S^Wt$vGcM{rfL zR!aHxiyC4Gl(iTNr~)^N||p9S~-{G7D+! z%{${9nZ@4%<_g&LqPBwP1Nz{U=HOZm;r7zrXZ?cZ1G;!9F_-4A|nlS+Ev7cQvbVn&0Heg7t3E+}jm3%0hJke2zo z_NupoLT7j=3+AwRfvSW{vs)EieBLii6)sehti5}8uqKWn{aFTH4bdk@O|uk&kayg1 zWa^NeVOzsB_(5ZONc8{huKO_@@E1W1s+M8=EaZar^-#LPmEc)2S?H_dzL_o?UQjx_ zFP}laJ>`Y)n=+j84Hqv=q=4QWA$L;>m>0qYimltqSY(70 zKu%8JzIZA%+B?b-S?dm(90i39wjq@dV)E0OZ1AxPoD?ax~G5|Yp~4?~5D z8v7k~r=0pL+OLDHl7jD|o)`1}*}72$_8?&??AX2Up&uyj%wJDDAU)2OzJ` zV%s@}w&rgPRzn#MAb<32Y-U4VGJwyEcus2gHsdW92pd>>(--^AGL<-C! z%JPI68=>JR2nhn?7vhq>392471R*@9s!&6(mJiN>y}-4KGAHi9jchmwt_{DBJ-&%z zev+R0aZ&Om1epulb6RPSA#vK`wR~1=V4Sln-$f$P4zF zkJN$-b|Tr!1f(a{K!wl><m(myzGa5I+)uhITma%sf@S zl;;Cl?935f0MPIty}}KLmAycjmI@=pQ}n^h_P*}jOV{6ncRo8^>}l^x1Kn39P`*Q= z%z|xh#Nl}HA6{~ITywmBj;k5uqxZ;tHKqW}`Qt(n&7R%o+_&Q&U-f`>FCnqfp&C@1 zC%f`g$6U6Aoyhcq#tWHc=Q$Oz4$`O8DMAgkIe>W~xs|3HCQU#v{S;a6To5l98HKdM zL(aS{k{lyjAN`v4xG(}#?=DghFFf(ZTx~>j6_!>>K5u*BG{-5}t*VFqm%Yux|Bb9CohmZ^>C0=LWk3m2^{F7$yuaYZ8OxYAq5Ujm;Vh?akb5fWNsxhL5z?!4bT*rD4CVTz!A zV80qC{vz0+POJ4IgcqXG5Gc`v%-Oa)jrqF=DP_Ms954pfk3^ao!5!DA@}z0)8Y8U_y11h&&gYa+BXdH4Tz$-P`F&gDBcrx165fXG{^5|xLK#L}7^#p^`h zdexS5?t960M{bt~=E0a@#b^1;^OqPH&_eJu(l)B0(y$kKA?#4yr{Vu>tf6(c-b2-S z^F9=(Z7Wd0oOz)GJ?!xGQ+I+P_>JlK)zc9IFQh+s{HuELK?BwHkD=1lEhJzjug=t1 z^z_#Vl)zZ*1z^hK)nFQM#DLt9i-qe4MfRz?|DNLmfTVJ*c)Xo@l176cNl)2Kh6dw2 zXAFl3`P_PVeUANi-gy+O7>F;Z{;W_t{qQ)2wE6a#n$hG7^-O-#kUu`8;L8(J$lY;9 zan$paa3EZe!dy<_;X=)rs?!LIY2$@X5IJo|L>xyw)%37(I&~#KL=I|h*LG@%`H)r- z*dJR+nnxN;c%dkx+Girst!0i;hHl(uf6y zZIsZ94s0^W3W$x+_uOlIVX_G9n+pW{I=ojNos_Kb!YDJY%V`7|2xgX~2~xyrpa2KR zFYmE;RgK10hO(H^L@rJwR=43y;N{LxL*}Wjgi)_R{lb7B%mlafr?A4F-#>BbXWzZ| zhI{2!QivgM@To6i;quCnz&0AYWDRgF0gLV1p8em?`0V8mJ%e8CV;2y6XUwgwo~eO{ z(F4ic0LFffdTck#P+% zo%Ncl-%jj+*E(C!`<=&w*C=ybbIq@xxBd-68%DNHVF$UAy!JtYLHJA8{jY#-@r{so z@y`#*!(VdQ+p>R=efXk=!ZCzk@1+<1L*Ajlj;zcwy7sg0xc1s#pXzr#&uqI3J!L+B z%{#tu&D+0l)jKY`;4Ogvxo5lv1Xd+p@5UTgWGskluXrn8PW!I(J&7Y=z0eAAnGI*P zTT>oXuL-Mpo=WQp(OCAqmSZ<^mpynqeVK`{SeQE{E2zGhj#-jB5sY3Vlqm+GB)UW# zfg_`Z&_S9<hlBfZP(xLPIg*jL)r#9Hl%Rwrk$^U_pZOV zAaBML6dU2SoIt4V&FkjssnggLiBtpa)PKWQZrgm^+>dHxRj1XbMqWT}-PWs$w9WMk`}>yK(-;_x1LZz@<=f}_et`hOS)V$bsx+6? zHU%0WMf#2~qacTd$D+KK6a5Bu!w8@`s>^6U@rCoxdA(kO(+1v)d?3yEv`=rjOW5dr zCycj~vptXwtO<4TP965#t73RqJ-%mTyhfgQFASLsS@j-H0msC{>g zNBze`J?_ky90&!6Z+&p-^+)Xar$;Ys*(w-kUsF;Jdvt`cHUw70VvWdEy!}BlpW1LS zl^=cQ(|KP*#{9&Nwc#ESg;bn!zuW_Lh%<->-oLi-xJ$+ zzyEv@Q~XHc1sk?9aXGQy<7VBwbZxAAvL5};RUYnU{H@e4 zV&GpP=RJ2FfA-)w8oR$-O!hXH5)IhGX~E8%z{FHJxBJv1j0G)8>k&_QfdTnL-@TIi z`Z1KQ^k)Fp6C&Jw`;no5z-HlL31J*g0c5RfPIMrj*cCnbIQw7(qJ_YcvtXG`w&9dy znYk2nICIT+AG(SMt7ph#SVN^hy628#jQrE!e|)e}t|yFgF|7g-7OySJpa9=?m7kOI zL!``@6^-zJa32N#`5mPX#gp5A?idR-5}+7F5U6)cn5HO1ncT2|nqnW8DB5LDrg)@J zQc433FI20hSknx{p|t-%J!DbT5RfO0;V{y{$QLO_&x$}60*83vBf|V*1c)G{F!4fv zi=vRCp|r`A;$A_xLP0?fn1)-?k2ov064c2H;}Rq zhH^bDPbGeRgMl5I2vc}1!`bT(V_UrbNMZrkfkKbrzx_8}_dB`E-1Dq{T1EsC04S!|7r%1Lwby+Oj>tIww#Rq;@zE0$MtBasoTw`b?Emn~g>i-?KG!;hrVLgEWH7HFch^X5CU zqm?#~H%Yq$^f?=JpOF`|nRaJ{2sN2pUDa=1Ky$%Ga+M3yau_ZJm_|AFf@43`IbKLl zCq<8chDyMM4EyDms6l3inqz9~K)4iTD1$(=kZ{e_7r%|0Y7oAv6Uh+lp}HTD*E#dF zykzr&bKcl7v*9X0r(m@2f}8~f0eRoVAJ})?^7u_WRbJ30Mkr%J0flBVFkv-e0%pDD zA^W$~8NpRSHXAp--Zd!P%p>VBnlaJ@v&Ot~u~@EM)T80xfs>BDpvp>a3g`>(Ujmw# z7^8CJ1>_*S_}w-_ek}Cj1qT;oGW22tJ2Xpyd2Td`a6!ZW(N^_4P6w`+@)GFu|S2sN+Cds#o+M9~x3%k;0#Udg--C?EUZl!=Bq8RG>l7?Xa&v zHG&Na^aAOX$N5~TP{q>H)nEAPMOWRBvAV!nmFy%^@aMNY{evBv<^;%xXqNqgH{AE^ zn=aYPyQEc}ClB*Hs^=yC@c`N-W6$m*&fLB6%i4<-GNK-whnc5}Nvm0K<0REGnKN@c z%R=cX-D^aTD_OnL>l9EnjoP5~%Ejf@C6b}l~u=bzuZliLl3 zz+}9Lw1m2VZu_&Khwfnf0`GJ__uSVJJJ2F!CcBb4pup1xEd|cTc~0x{d~oet!E4=^ zIOKPCQc@HkFjva@YjOd3Op*&Wyy1t0Kk{95n?<$t=in`(6l=Aa6b!|xaIDjn9}qQE z$ucO=$mTLN)CpcsOXKem8hhKPoe^G6RZ;PX)*bX2;o0_u^m7bd@uCnjMR#5BOUgb-SZ z{PAq8=jem?N(}{go|0n?&2V7$ks}Up1RCbA5>}A=2v-vFx;0Ey1tOXs$(Zws=LMir z@NS&{+aK&c_VS%S|K2?;Rrvjrc0aXM&L2P<#6Cc`&EnU^8#zzeaPdvI-a}RUcpWoy zi?obdE6cbk@q#11{><6;ZI2PrVBVM?nz-VjUB7w5mS4PT%Z-ofS=h;s%k<2OiLdyl zszH2Hk{%wvk^N&_c>Uc=?>uRbJY%X(rV0hvGLZ&At6)nO8XnD$cAGvDlR=H&j8NmK zbgMBH5ts!=Mu>))NN1d=5gof>&@1o%_PO91sB7452;A`3Q2dRrzfZRRsfV4S@PT%^ zql2I2?eZ8y8XQ{MNTCA}utP4W5`99?tO5X+bQQ%;ehp2baUVrI`=HSD?w9I z&(uEK4Sp?97%bhWS9$uyZR-#Fn|qIMPdIsykVK?B8pg{lH~)KTXghoNK(lb$(Pvi} z#+fIDfOe%3@&HMx7GdrH%rpLvK3DOT2JlfL6fca|RsodMKs};{&I|1l|8V&QmD(dj z1JID5<9}g3%?TyNiVl_)(gMe<@B(_2u@Pv-X|&azV<6--yb%1SQ#2#mp$AS!UO)~P z6yS4;y%1MYDJQ|MAx=XRMFGHjx?$`EA`YAi^Bt0oy-=nBdg9Twc!81}3PAKVZtRaC z?-1J}^%p&dSyHB%681hN+<-SJvF7js_5!@{!T$b*6+!0}lmR5xX#Y+BZtolZ?OvuH z{qv73eerGv^gy`A(g;{j!fktCmi_c%7EpH2p8Fnrc=J8?-Eq$YU%&O9ORu{AgmW&r z;j6cD7gh>P%tA?KAMM$}e5cd~=Ktlb+uwiN(;M%5cGIIfu77mbl@C4hdpAAxrc0mv z@2`B4C2V?@K%gf^=5pkVFZv~qpAC4% zH%_h53BvPxpSk!mZxfQoP2@NNuk{Hy6mB3iOQG`u@B%4tL@!3*kkNqy%B!nRU31=s z*D=Np*tUsA9qPf`dW>B=9$}wV7xTVJqKCGD(vR9wW?lB+0~-lm;0w~=pTj``&>rLm z{X_)TfZLp&2l&GvXNf5|m5V70oJr3TvrtTfd@K&x5o>O^;kS+dzF&Jt5mj4Bt;hM% zg&W_%Rm^b(qUVn8K3bj^MqoEq&tG0lQSc77hZlJGQOP$vo)#|@7a&OPTV7CDzZA+c z@j6GK(eG}w@4TQ8L&?bs&S#Jixmw-W@G48Wee-QvAhRH%S&0|MR;`wwmHs^poxVWI zJKe^JgIS*b(wRzd^cCLq+eKfe2hN1y$|qq|{;bMD*zw_D`)VUV8-DaaoWtQSBl@ZBEO z>Y1dhi7(!>@5Z}jBd}7N+qE0127PEgKA#SLVLX84Nb3qOs6qM-p0wxm2#t{j7Kka) zZ1902(#xucB1EPqs<+yOE1%LyYra=I;0bwUICN@g19V{d94|dM)8Nkz+f))9h?Aj_ zLT7{^k4B+`n_64s#jE4+G&^8yJm)xUnrH{S;c^I+uG zC3;?46LI8_@!juVrhStV7XbeOe{2D^vp7`?(}eIIx+^ttoV#9n*ny)ML>xg(+%&P# z2E}JD`=uW}w_~zaxT~n4aZ~u~JNKQ(RWTNr_%z20FM0|-Qznp}=jT+&frZc>wo z%2cZxb0d?Lz;qg9T3}iutvby(X(`j%^M3O=OPR)BynsO0kjD0PYzM$Uq!2oYbzn_f z=^%}%AviC&BF=FZ4Y@KFy!I`ABrY*8G~bc%zsH&pIOxJ!>0sCg>We01BY4B@M42U< zBN{1qCX%vx4B}(Q`E?ALr|MTQXkFW(!3%<1;1!TGW-@>mNQ1Y`@ZT%j=&o;b}mY<%|I+3R; zHKypikUo{P`eS-4DXyf`Pdc3KkMQv_a=Yq^UlSl}4~Ka58#Q>uj#7F?^&8gz1K~gT zz!cNwRS;Gf4FpYcM09FErWmbNA^JY3(BmWJDC$uOX9o!Q&`2 z;eyaa2m;KHFqbCc5P}FV6j`uE9&%Wt^+)Z1(UdG_2nCR0EP(v(j!q3^;ad_3)kv0V}76*WTs9uCJtLFq8|w&1rrcX9$n;ml*ybW7trKV z2Nx&_g{{6snoBrh4#f7c(IM93!^TjuH%UeWek9_~X;f;5Zpo*7QjMIZp1v^hLYWVA zoGF*Mo^6Uo5am1aXf2`V4A?vq^{^)%yAgkga22!@*!t-I`t*gJyB=ZIP-6l67LdaW zLKP?=ykI$5fDFp$<=6b5q{zK(yBAbq&*a#;^6sDeW17SVK{RTKH_N846&9T044tA)R70AJV64&MF*% zX8!1ALYW4lhU|=FTOdq<`4E#qo+ZEK6I2i8Cq$Un@#t-yohA^1{8$Gtf(n0lt*8N$ z!4p#(BP7bu7r0S4apyyh7lO|$IL2_;tCw|(2prWlo}8?sV*V8a}z z%SBSk((R!wKOw)nh7E2-#S1ieT5no7X)u2-4KFn194|NwO^3};jsA5yHv36yAPAID z15t(`>V(Mw+aO@az`^~StUuZwr3jqz_MId9GK@M&-O|Z`9!zCBIh4sZ5a&xa> zETis$2^n*UUga;YTsOsQ+W@BVYo-}nY-MWkS- z5ZDVf1Z;!bL>Y)Czyh3NAixyDWM-wpDW@HF_nk+=lB%};5>VjFX^f_?iAhT5pR0+o z)J%m4vO6pkQ{*F*G15*ukL2270Z!-9yYYn|FYch(ID)^WMj3pBsz3GEh?p@nLJGlu zfp+M-tiMj~G!Jt1<91Ch;rBJvtNpl4GaGZ7G*CZkMmbFpO^QvYHW08HLI>i4zDS3* z9bSkxDNVi6gb9Lf66=6I5@R8~Mtm`7=8T0pouLNv0+zyJd;u=N90)HY4K4zV=AtSSSzEs_@B#yyi4nS|XpR)x zDGL)~cVi&*4psfDbwDNoo+NL83M5is&l2xb1MCzdW5-vt%S3s3g7e@?U}) zT+g(F2es7bU0JRN0mSCLJOXVt%9dM6CIfrlQ4b&tdMg~@I5h%R11=yj!urE*xb9yP zRq4g_IZF(0qgT`DdOCgIOy1h`;FlRpKlrk}D`t#JsM2I{R1hQz$ z>7ogs@5*1e3+VFHfIh+K(6neh#znUaqEW8V9;~k4u_z;PYEJW8BNWKnUo_bQ6o>~Y z1!!ghc}KPCk`mM=U7!`FSYLWWlLqsv^`?cd$P11~r<=4WLpLRW{n*+oQ3G^eYOmh%!4zR}-0P2}!h?3L5K5~o;F^DY!%|-l> zRx7mi&S3`ABP6*LKwZcc{F_GZ-tp1gk{?%>@LO0>wd52Hj-ids*eLE<{*m zNS|Wih`K42>-IJ-+O>vjf%f?4Q&>uz;;={_ek?M|s*_7(7YO`?|F(m81|Bh$hcYP0 zPfy#RwP^i!UKw8}G|eLle~>Ic2+~;GV%co*9Fz zVOA3|1GqkA`-m@p`H#+7|HiYxBI60}7mX^JuX6ffOjr^eX|szp*MH%69=!h?yQey2 z8^!~(zN2pF0v??2e_`*wr$2k?+cJY_!|8gzr(FH^1p%|0Zu+AEYWQ z_rI|3hua=}@SLkJ`5$F9oUMSeuo`qd{ct=~8woc6%fjM}nn_ngx2Jg`9lg}#T9pdJgw#zK%esaU{sO^YU?m-orXSU7Sq!k86h5WW#acRKO? zl%7Y*H%1x#5Iy3I04Z>!q*8t|7eEO?G@|!!8VaC55j}Lke1qso$0H3Xj6nGi$4DPV z4RJatj^Ngq3l-{$>EXE$Wf7!plQzt^cT}ythnR!*i6#jD%_gL(p;JT3qy~BrM9^3u zd*yvXzMyDgGC&iFDS+)^4SFIPhWZH{poan&{C6jk^jUBu0Y*^Jc`YpP@lFBMsTef) z@8!%{zs9L-2b&Bq2zjJ;!3&zRYI^}*5ELH5f8FuU5-`mU)m}*+ z`3sXZRY`~~Y$m*Gy!{D$Re*V5oS(*zu_{r$#0Qcei2=iLZe~5cK%zZqR&D*HH9c$u?*Z(iP?)l+|ulnJ>XYsg*Q7}WOP*U7T)FCt%lA8?>62@hz z-Bp*pl^yngDX@;^0|29jxE8_=U%BaD>%vrnK^7dyoQ)RN#y?=AUw(WP;BgIS9Do|xo)E#uL(;u<&;?o=65Y#oZG;>3b zJ@|-_0e@0Tj;;f1-vb*l2Nr!EhMr~ceGsf#s)M;>`$EH)<>FCd5%%nM-(r8%qz z;S1_Zrtu($1(KTvHWqN1$=#Ip1~sH4X%qSz95O>G@J_1^FN6s6emBoqN(@>O{3#4HyUZb(D3`7e0p(k_!>YN903Zqbayxf@u41H?nBN z;mJtUAErl(ZW4G;>h%2wO;+YPF zv&BvxxojA3GnjY8)_~0EU|jsTKYv&WhT=v%6{#Id2aOuwg^wPmEwgBNYLmUSXS9<> zPP4Br^P;?<0`7g)2a5~RCF_*8c3$~*rR7>>CWj3+XkaogLcr)SQC$bTQyPeJ$NB6f+V;ijk`VjyPZ@L|OI%0+nD9gf$R|IFmvL zXq09{yqE(YSsT602YHQhzy(+n%wN)Bdx3p0j^nip?6GWW035Ivn6jAn^2F8f<3_yy zGV3pEiC3!a+>2#kYG8IJ^GWsYHM?Sj(GumQ`SJhB@5GJT7TFGk9K4&R4bUny!0ja ze@H%!26z-g^4Cfr1N4(ZvJ?SWkeq zcFurl3JP#~+F{Hf?Hg0*a^Dzm?;K&BA3--rZY$&4S+?HK123qs{x@AwhD@FE;Q10- zC7eSFS6%YUc)EBhEs=|LAcvCtNLV)$5ex%NTu9STiOMFF-H z=x8~r*c>BhI;*!V8yroOD=7v7-Bvx<91&iKMuCPtRS9Du;13Zf+|U=Y$+`4lO;3`^ z-~$c&BjrWUEO`bT^eWHA_wxKiaPQ!O+`*^`z}Lk79SZmckR5=?Zgm-}Giujl9*^D+ z#w}N^1M|dNE$xF4yc7=RN`zw7%MLU%@dcVt@dAyI=1SYnu!oi(UWhgQl6Zl&rRk(| z9g|af;`$R0*ZR{_)?9Y+TUq8Td=xSo!5bp#tf}=+7Iu}U6$;2t*Q%t?Tw6N}>T-o~ z7?;$Ok8Rp;)*ChOj&oiB=tat=06Fcg!B_y(fYYQ*aAI+sIicM>_2+)=8GMfrR$r9S zOX>cm1FE0`)0`tIl68qqgDOoHkIHsTY^-WX*F*APte9gK6AzhCGAWNY3*hZ^krz^I zrL^i9cP}lZ(1=tZp8FHjdrDRtY1q%Qg#de4AiNN2NErdX;{rtiwKE2q znzwG?uv;nc4){|tEC3_KO{7y>6VcP3X`;WOvIWy{vh0d1qJL_;_jnPmdQbRa`AW`!dTDiuT( z(&o>QE76)7y+7Y`S-d81i~&u^<}HbGs_8voEL_lCGy-HFS!lr9eD3v3r}lXLrXYHM z;M~R--$k;V8*1|d_lKces0~AgG9eT_y32m=;ma@F@Uz$jJZGq3vKKtZ$y1D)2@tEH zd5~f_%vuDR(Heaha+=Rhs&AuH3|XZgh18$IGPM@UbD=1}S>uIpLD>=E1?VGwBwGYB z1UOV?IEojdq+}KD$loq3UOT2t3mH&x3i!uf2rq~x$^^hoWLrR4pIBSPWJ=&}a%W`xAF?PrqP^MEQ<7j1 z4xnCSVLuXVLPr+JA14Zs49?3FT{^@XuW@7 z9wU8jcMTB*!LZ(>rg@%^Z`<|m^*bR5{=jH_{*2fWjMvN7axj&en5xwlyA<_UTKeZV zY}59t2k9^SV9=KEPlgxL$ioZO;Hzu2UelRk0{kHVfd8@uJQ+zY+{$%VrJu5pnaQgR z+vxSIK3gxt;V@p!16UeAg|_%l@^0mh@5awrsPA}-)`xRb-cBd%gm{%23UA;3tt&2j zs}LSgH7|r9z#Q2NK{*=;;R2zbg3)@94;l)?7Z?#Y-taq1&pzr$Rn57JLkzi5r>b`5 zBK;XBouu}}UCC+V4sve(#-HIh%6$olpSk|9J2xNs^_$+s!Gzc^eD*hFJLo;06WY&F z1K09Niz#x7X6`6#FChg+R4=(IL}T`BcSoNx2*7@oFJa_`iaQrC6yQtK*&%XHQ=p0! z3m^pf8Dorcl%%165)@hJ?xr#BT&N_kyFz2l=KLCM|CBH zS{$T)m)9?v7iP-1KhjU>!XX6&^F0?`+`{@bWwdWom=kX^tJ@?#p z7?Y0!;IH?#d%NBLElLb9wCRmE;H$zX;3BS^t#+% zGWy~LSphaKln%b5Vu#>=*$5>>AMh{auhU|IXy(%51y)?rR>AhN4q6MZkqm}|DFXo^HUha6Q@FWl)M2%{ z*{c|0JIwHcyED1kWSjj|GYz$@0}HVfk}@)cIAUwS9qq+Ygu#Egi@aW#^!HBM$LlZo;H;14R3(4>e>_6F$?iRm?b!8mmuoF=T$JbGKH1c$BB?8ja(DeQl4-_zf^{e!sZ!K+vYP(vVY zE-gTd5C#bs%%v%STjt^m-}0RY&uQ$?nX7Y?AGarZ^!^9_``PuJ(mAoYvHz(bY`X56 zcgW$RuyPi!H7>j4qPHmAuyykf9=(Fs1KobdI)Wow^6Wznr7rtgQHdVAyNvP?8n(Og z%HrdU2W7_7$O}q+hk^7~rz&Y*?5IyJsqBT$BPmd6tN_S5XdjnRrDY?86v~Jgg{*YQ z<>)o=@UWx}JiL1bEv+{{jYfFgbL<6Sz8i4HHnd(SfHbGHcDB(gUVsj=#s>lLrx=|g z9FY_ybl^iokeI?sq!4cs_#ZB4ykL6)7H9Va00YSu%1TSPu)$#ocKYq;ndv=HWez5z9vv40dBJFMH zG?F)iFsj#|LjZYEf@p=mvMCT;SjuJpAOND;zDls~stWWe@U0&G@7Ih_6KLI#$E1)#R%!A z^v7a{bkea$(~I@41bdloxb}A*dF1L0sufBIl*R$yAXfi`9GbVt$Sg)s#(pOJe`V9V z*z!~e<^gbvGI#hY90U3az7TRMd%*_6GAX!v`kJ%kae58qKfU3Ncin#Uj$M!N7#Z_J z4VE;yh-Wbov=5<2>xIk+Ioto>0~@Zt=Iy+rL3r+inm3-UXE@h$L2(~F_1Kr6cw*Ca zH~jXu?>X_NO@9cmlba7U2lCT1cV5uqg~ES-8}+H@kU!r*lu4-^<)}vv$PfDE*)|18 z!wX!Mh0^2Y0sP>9(ZMJL@W%on15MZ3nGODUI=BxOo&H_H0)5AAJpMMQN11e`krdJmLlEJDN<#|OwR`VgbK1#=6PpG=F539t@P5zlK70jpmKyjA zW(!%(0Zxpyk{)~F#&3S(y%(SV3segn#n*vz9$e}mHjiLM5Go(=L>K}M6U>Gw9F+!; zgUkt11Ljv={x;k@k3IGk^HOsVdCOK5Vh7JYnx2_g)IE6rc>w;#jjz?TB330(r#H3W zF=PVp%zJ^*R@5;2zP5vhJ+FkC z1pYP+Hg4Lp?h5T$1Oo{3fqY9U0AZlg#8T6Ncb^a^h&!5NYQ^uu1_f0;Z@*ETR1iwa z|MAzi{gZ2-eBxOODfFC&S+S~@lEHXD`>A>kTk|8?1fJ{#xBQ?z>7u=${xUn(N)Jk} z9J?WM(LwLCUe@H`0-Dx^KgB+%b}nVP)2WIQ5}Rg;!6oPY{54np#@)9cdDm^n+;PXz z4}N>&-+b!?wp!YJ`_Y?kKjxaN-+s-dZ)+ZG#gTHmN$p;gaUu!3$_T7 z=0egCnLb6&ZPeDyhbmd0I-0q9su)7PD1?-$)0E*fUnxQ?f)p6j@!PKNe_5f`)+Z*x z1v$#H$Pl7hRJATz5rHc7(G)MBfiJ2-CYMd%(_F&~HL9VV&kcbx+G%dpzAc7aOq~~k z_GK@`WGL{DFfv7D6)(uAWE&xAxPUaL_>qufFH{!3CPs8J2h0nRu&Vdf4Y08L804-cGz)c|yNl$*B~3cFf?)r|#QH=d+K z;RqSM@RA$}w^>^`sbh)}PYjYJmFJ6^{nL3*>=!{_=hYWQwiyr!1QuT+2Nu%&QVMetXB8F5U9(uRRSZh*La=mRnT) zE7f-3`VStZZL+W>X*RU9@*|~Tm(|cZOMlUG^^}aY1o%C&fI!y^1yov^>Xi{f3L`Ht zyyq&tPsfqy(@$Nimw2AU8+QCI&XWc8Vhv1*(+<}>qD+ZFI8_G4dLtEug)nSzq@($f zteG(l3b?>4ASkDWat%en+u5TEWII!?iUuU#Xf9BIfJsE_*Mi%m=&6BCQvr5ZfH_5S zI{Ce9!t)p%-jSPAu8sc(13}fwj#!hIK{Kn?mV#>2qBgQlhd~g!anS`X9G|&8URT!X zOA>OI>BG7@S;5WkwOh1e1UxA@IuG`w*Pi`Az5i@ zG%AE?L5=spkg|_?iWgdw9>zHggwnjB3Hk+{BJE$PDIoCfE;9w@a#~ptDy6SM2RTIu z$OrtR7g_Z9f~p^rtLa7R*7t;-Q^?cDr8j{989{yY9QZ8$#=^ zb)mKd*R<8Vre~$9UXp%{FVGH|jD**e<{Dl|FBm&Q`H|=&)q{lp-7%XED9tc7qf3@% z?5*L2-oe%72{(Z1>cX}on7@ilvD3WA8tB2h7&P*C8BB&II~P+zll%=eWQ83xMzs%$ zYa3q($(y|}f>LlSXo-w4qHDS7HJAtYRaar47AJ6B9wS%ee)Qln;XJQ#8dR z^-1#)a;jqHm$_n9I7E==F)wsl^vBN^OJNG|-KOTG1^bIa3Wx>z#@)G=l%I^>ng)<` zrm46MRMVn?k=t61{Yc3ott0lr*fJ}=Fvkm;BjF}3hf)u>g#|c82`SK15^kWs0ORI^vG&BPCffwgkmKKQ-bXUK~tNX z+MOO~3~m!O;A;vHIcowsP6ed1N5fBpvkG7%#7qEAEn_3dln}zp`QM-t%OwE_YCB6b zL9U9q9@o%^{mmQzpB09-ML<=GGC&6?otkUbi!#uQjC@DxL6F_ygTmCW0s}AP`BzWp zz6T1U$xjC&gU>GR{_DRDFBh*6*;Q1^IWS**&xMzEo=%Y$T zIhPhMWDC|zSQRyNmyvkEJ8S^^iaS_NZX(g3J?^982+cV1J37&aBS>XX*xkg15yA_Z zlBDP}q`)?Mug0C1g-$DhV&Qf?IgTSk4MB3$CaLP4CVp`98e8%=OkWetT@a`DJ5&}(!Zf-o|N6jZ)5 zOI_PoW*C<1+M)7>;j;yzq!@rdf1_|vXecZY4K?s!IeKl>kY^5GjQ2%e_DN!WOyvi^ z9G`Cdl9!c7Wy}G7g;FBucl1xGpU-Zh%1u@c9*Pdoq-2^0#jFwjGm;0-gZZ>EQ-JM= zzWw{y6xCuqfQfYwB2dHb>o==OBjlhw0%Vc#5`7`%5x{oMFYT+F(_gAXby8^TfPOA3 zr$99Luc~YXsF)`yWjbrTMj8JL`MupGLJo%gMGew)5=mqs<&%a5qE~HxFOQZj*rg0R z$X@8PlAwlhy)!s;P>|=)tmNBUcOAR=={H}p1q{E~9d_VEJ85{rQe&K- z($_?Mfd)X^P&@Q;OWI_j&&3O|6gcHbYgbmE_&s@$%4(Q37Sa<*M_!odps2yTkp50O zf&jgqQx3t1xuC!#m_V8Wg*hbzWOS8}!ki#v;biF}%mL<@JC~JH;dbHk0xVNzLVHgu zDx9Cm>JFSLJl-oxz1C;;MiWh#2y}@VO65B*jP>wY#?u#-=PD6Fm=1K|zzZSMd{J{> z_4w^A$|~$%widNjB?bEwC?be*?i6Jjm|A0pdfHgpo{Ufj6lw)c^eXA`Ov8LPVC1n$ zLo_)pJpwF{gU*r>RCpoSZiiB{GP-8wl93|<_!|HBSaXOVR)Bb+yOq#WOnxC70@wvz zkaJ1?C9QYUj;VtBzF2i)htNki4MH5`QZl9l>=3g8A*4WxKjBq-;b3C32llr;vFD$D zZtKrn@Z?)Aed>X&dAG7&5(+Os2Lvo)KqNhwcR%2|_}%&SX&W>u7R#g=)6^LS

    3c z1=j@Ek=ki#|xB{Ch(pSW=^~FnOrVx1!gG#oLNb~ z2vgClj+T^Jra;hmIZ{aB06W9)2vtK)$F#EMgt|~dXNQp&+KuL(Vb&g@4402JSG6eX zqm@u4OM?(OLcZG+i)Kv6ZytHfs>`t>BIl>CGSGo8?>{%WX)qZl zq9&|{8hT6tJuzsphG#2riV=aMiBm-%po}5Jj0}&+F0gSyH?Pyi3%HeLcfe>g;r)@c ziox$|JM#Z+@9})qSU6y0UAdqG0jxy(mCrr-GZ#G$K`>`Y?|^gcIG6pGzzh9-ZQ3_4 zO?QqLL=DhHW^R}rs{4#W$?1$O?ZYkg!QN+a7gxuO5I~qq>Hc-3`-2z-6l68b>BhpO zS>T0s;ebnpfn-Gv92E?XKsTpcJPk@0M5_SR#tDzy4+h)C3jya~@|ad(gp?VDRo+|0Qz`b&9)sxE?D=hu8LcXGftSLZK1@axPg#XE1Hq9j<{5<>Tr}R|S;Q!c2 zXgtCHh33?F0sTk~nvdiLS>?yJN(0XLC#@Yhvr@4IAcBmDD8m5@l*ypNp35CmI)+2~ zQ8=QflLZm{Z=}%U4nTd`>@p3!R~|z(ssi(c|DKg3I_N~8Vva1Jl-@PiJEYl1SPdMb z5H1ik*mekmU?VUGDJ9Xv3ml0%ix)f}>Ckz<^U7#`aB={A>;j_6#ET!?whM<6k?8a8 zXC@I5YfWk*m+-+soN@Z{o?CI}_8!lP7t#gN;A18zjf>Snjh$+^H6wI;L7i^kg>+KV z;)Oo0lLvV5C{jR};{{Pb29w4O<{(XYV$HJ9G$K-=97^P*rHoKn%U)Q8Yv>+)Zu*fF z9RF<&nQkvkFunqMr$B0}!ZW9x7aAXR_Tf}DMnU0-W-r)%nvTG=WQi}jD=D3&PDfq<(&JOI(0BnEMgY>4_Bb`lpt=Ih zwi;9n{P$Mdi9Ux8K=tE4zBa*SQivmL(x@S8*1EH(&>jJ_7ae?9^Hd^D6`(V60bY1BxaG&5bUZWH5e&)5GdEytZdTQ^k=ZXtphs2Rry8I`g zC#aPDk>p2mTctr3BSc8cPfw9N*wh94Gs3_N)hlaYh9&_)gw6{?e9&Dx95M0&RKlt7 z9}MZfqX0Iv=YtR>tPpg{MVN~&h(^PANST7b}`|sQyDK?_-TC~#GMsy z^4b=)QmBnnhk9w|D^%K*nUqZWzn*0*Dl9C-l~Qt1j*^s} z^pcBQynsA?u7PwYnG37Jl>~~-l^jp=WhQDI+U1Mom(t3S!Exluo6(UQ<-$t2O6wE- z>*S|tbkL0jP62$`2(zssypWVEwUF*da*LAHkYB~Nq^ zCu&bYdwR+=h>BY&dnGv-VfWEAuRBap_Cl_W=O;3$av=iKL6jj5*$c^XltE=IXTLpyWmt7!<;PyOp2`#}}{>@G?OYTJP+=G;t#U4(tAk`ABPtFC^mp zYTWtnh|k&K_vu*I0eA~D+|-8c*4Uh9Gk^taX|*^Jq@0hr9g!v zepYQI2p)0u^Z)@uz&y%I*)b_VTD56Uq~S=(TuYk!rZ5jP*&w~1)Hauwj$ER5enUdP0 z(kK;r=u6%J1eQEAO%ZV5<<9GlTyxA{t@??z!(% z=UjIGcOD7U>-*_{quh1Vzgpnz2mYP<>B0llEAH%8!LTIeZ5A(t5h@i;^ubaD0KW<8W$sp$HRYze^+8&A zL22g&I3is;TrkRL=*7_l?b|T2oX;f~{~IsZa0o`Nk`@G|%!rE1$`p%!2HQK{#uf-K zq(DI{SCu?o8#EU3D;&_aMN;7o`{);+gxqjJ19OuLjL@#?afFGX78lknm;mEl>QP7K zN?&6rH6_QdG2hkPRea%Sk|wKuf>B5rY5e2T2512FyQdkDMoC&`g4<6UZ)l)07gp$8 zP<6I{qKmP8Knn-N41!hHLSg8y{16bSe?*m|SdG`HPgn{gzz$dq zm=6E~nzK}6p>C-8u+&9XDApBwlJLq79JuG2RU7C{QeJx%j#E=)pc~d^hfBBvzHu^qT zf5i*27ifxlWn143k|rIuQaZ)5>0E~zyI5q*hjd1IVuY9pHHs_zr;mdG?%*C4_DM?W z{+$9wlqk3eu#CKbCg3xUR42^oCPo!YVbT!~M>$ym_7OaDVWy;xyuh_%Z6b~VkR=zG zBXCNAuuNYEK@H<2d6=22dJV-3iv~hH()d}Zc6_!mYh@X$YCZrxR?@zQPLw@sx}?79 zv7S;QfG_#d>A=hS1Lc5h=J3Fe0vbA#?1p)bV1nI48 z^OX8*Rmg%Pm>-Z∋CmgVLxU~E60|GJU34X4Fr+4 zs&?Do>6{jxw8hul9kQ;Wu|wYk0C*2Ugi6wz^jhZ=L;;+N8nR2qCl4=DKvPHNqvn(X zlxLnQX)ce&!6^g*1%UhnpfLopoGsJKiT)KNbLb}sGOxBIzOfS$Who?<)zm7MVTJ(qrS#m)D|hde-; zMed^?i53`MNV}z-(EYc=u5=CsVWP@BS#{e87AgE2UKl1q$k;T)bpid!dR2 z@WTS6aTBR+3^AtokwPB})}>y`6H_#8X=qW3LFX8Gp;}M}!_Y75MvAp3nrR zFMasS^Hs7cTmx1uC1yNR)79{@Ot0~^=*vTj&hYBFYmHO{zV7l z57B;BQ+x`Oj=w3bBBwM18ipD}CZ6@#M`ah3pomqsI@JREXe2FdF0GcCl0^p*NY(hW zqS@ddefk1vQ9#)aVT6$;GQ^5cDYgKBpIBZ|K+2Ti&;*AhVkXr1AE>9R0_?bkFd1Yu z1pK`ufssEP0XuL^S=ltn%ho`CUD*9gVuVDWyD7mbqyR^-+!cEPKl3rXm*-pfPl$`~g0f*8SvT7GQ^;9Zi$7ekz`+1EWPT||%$ zSf}ZLP1)y%WN62jknTT62X#8}LZ^UWMId723jxS1!W7h$5LB$wIbrqO6b`->3o(H%20BW2dgl@HECK-sG+x(<|ay3(%2WIX+LAu zDA#}lN7^dJEUgcm7sb!qG)2m`5sfS;V>dd~;m_5Ke%wQYMQCe3}YG92dg<0;db8i0Ft6O0go zfDYgq+(&Xn?dG3-#XIBWP4>*CC${fC;>>+px9JLA@I$&x$(N;yy+C_VdvoIqFJz2F zN_Pk~)QCuYF+16ttXSXGo6-lx1${men#GY@hIVvwIvC4>2c;du;y6|Mk8Cx`$(Wb{2 zFTMNB-B1JcG48pyY~h!xWDN@|9BCmm2^tvfGrYj;JMdqo!4OjjBg9xp2LbjYjOoM< zHQ!^1J2!j(B)O6-x6Yd$9l#$`^kIaN7fO~_p?erwv5q!#$dd{419r){$Y}xKC{)Q3 zf`^=r#Q<0x2JLwGM;}f~285GlU>_MsSAiZiZstG$-_;uPdx7CbD%lU@+?T>C--)Ac z(eB$lOWbkP=mGddwVRWdn3)aR@ID_QUqa)WzD^-oW13>Qyo>@0bp1p?`gLsIC5vAt zzgJetMb6takkd9)K4XJZn>j!`8JdmEhqRo4{0#Vee1g7MU``%SAr4?aaj|Oc8vGEO zu1-;Nle`oZ@Tc!&5QPwUkESSNB#5|`5_G8Yfqkw;Lkt>tPd#)@vM?EPOze=L16kdb zq|v@Z2Js_(tXl;1Ze<;(EiwZB;S^3`6Z}P(56EE(oKyszrDtgyyl_ap-tl!h0~&jpuu8VfKY?Uh8`X5gDrubhBreuEcv5_F>NVDnk zH2z{%j(Kc_ zktNTE()-$k`G#WX;On>Cd-esNfA3!%{|Eo)QNRD5qtChM>h0UNqgQ0%CB+n6{^ccF z(Xe|LcVzbr%oFZ?#cjKeSik4WTM)4;n6sowt(X{Ead+_SIO0k)09pmjj0Q$C#$JFI zMp8)oFHKxV=`TeGyeBtDX%NHz&~Y@Mmc67r}fg{C3{GdW-QH#YiZB%rgEC@ zfB@##G4jHq4B&GF#z}KJYGfVQS)@rv27FE>$8fO80I)N~D8Xgq9IylQ5t+i`6bBv9 z(6v8tTnk2XikZ+Tz>`s%E6KZIpwB5DBw&Ffapz+XrG4{+u|PlW`rS(y2!HVJj=^{I zsSTGt^!+Cj9VP{U0c%RVJg9#eSAqWze1G?!e|qUJ&fjy@%_0cqHR*3@hb#z9dgUe4 zv149F^~+qs`URp(YCLI9A8))stFD%>9$|0Nq%`0+YUsnN^r_|3-pi_Ejx2!rk3O38 zeNNNm3+*{=aADXF1)vO=1S_OW?sC*n098UkQ^6oY<(3jn$;%2exSCP@LRtfUVXt#_ z)dDG`Dw_i{=EhjKUEY@%C)Pt(h^Kg6DDKhdbR?fPA zi6=o;dQhEO@B*U(HIL27g@R zFibcPFN74t1qKHIzX+m017&z2mo#cvV1$$}*vYzvf~E+-S``SyRaynm1W3_T?kF@! zUtolkS)CW=>nX3%SerdgRWe>9(SeUSUWhUe%q6Qo+w3P2u;(uI*&|e1&oBz)hG)lX z>ynj(VuuK+fuBsZ4ZM&aIzKU~n@}?|tEr4cQ1Z~^T6wNTsUuCaJD@@h$x@ljmv9+8 zNGVLJc}KWXJ!(qV2vbODN0Uy?5g>USL_vE2djbbuuokGN0J)M)7RVd~3pj1pdatAu z4)WWZG|f1o+j1zYLd>493US~RV!S6_@K&p^fCAH=kJ_9|-jO?Ig7#4IJ{ZZOq&C5@ z)o@7hf^31MrDrh(?6i3fI`C_kMGD5=W+r$lk zi96G@)HvOAGukN@C{ifRID$%7qEn<_j0B;`UH2nZk8`JPL%Y}rq=_szt62&qXP_us zAje=YBr9q0LLjJcriAFn=yOnL4zddCN?)2nygAK_o-PZ^QG(~Wd@3`G|KujCjEiU% zc_GwLKB(27iaP7Qbqj=i>WuUA{giV2LhABV;&h5rr{vt%o03&YmB|mJQ^yfP2T8;D z86FfVa7x>#<`H==g%?5%X?3J^)mRe!*j$^Ow>ErgE&ftk?@SvuO;D^lNP2`ceTO|w z>h=^q(Se;so}nsB!B)gNvm5?PY7k94V+{g>*xs@X1030S#u;IW9;-i`41JH1pGxzL)EOKT^unPcr>0&f#^h=F(6DI*_7{~Jc)ThVJx-7Zs%qgLt3Ojcc9JF zu!|ScK}J$2c}5s`f$lliLl1-(3L+5b`|k1rf#{1h0t?_w`Lu&PU{Sy)X+cx*f@aze zi?gag38}EqFTx_aMo(H$8BO3idR^6H3ds#GkY=LMx&MEAXRvEmZH3_m=H&_c20TY! zsKbI9sUlP=MW~?C0aH3)#uQ$l5`BTrI%(8Y2&Kv;5;MNFKKtK3KIcaFNJ*%~jkc`i z<>h^M&bI&G%ggrutPCiEt%gC{S2(yeqx$3pR;vz8Q%wCW?Pv8-YvCgwum0{-M&Q4^ z#hs~M&(^VfZ+f7b*VV;!~3cyBVQtjso)zaJJ zwzNp0BMTX-!3(AAt!+ShMHzZwDh7XIgF_#qROekR4h6TDWLOzd=sW+4z%xiedz!k<`-}Rryjot>P|YnhX0L!7uc_> z-4-GWKIhO($Kho?3{!|imueKz9;^QB$_VrY8t@nh^#OWxA6;xa4(VUpLQZNs~Yg1@^N(2U@#~obV+6N-dSTi_3tIRR{xWkeiq!52( zu6NA?^MhDx{SW$UpbvwrHkP(MzC*bWshc7M?!0}J!B^uO9CFhHZ!n%<-mlGo)S|Qv z1>4oRas~gb?OF5}&d_VOA{#R?v$-C_n`VlhAnHKFr4Tdhz#VGr)bmJEKy8aYcwtP^ z>T6&C)XNAZw?|-FBebz9wJ@J7_|L2nf<>Br@CbsaFEvwy0T-q8Vn+UI_+$@RFD93q zQ<6L6g6j1ih%whA!3#Cl^yrP+ztE>)fDi0nxc|QM%fj1NaUZ_pz-{3RC=CAM;|@>1 ze@kSoQ3HUVrfMI-1-uBU_w4XOI+lt-j(F^HHu@8-r~OeHz=jkhVvW z;14NiX1m2NAdC9|!lNl=lLCT(uW}5Q<_c9h2)t0cep&<0;}m@I(O6$35tIwiQD%G7 zAda>SM-)>SfS%#zA_C6J(-D9IJVsBl7~Vls1|QYx6|FTpp;RC+&OMKy;7w(Rzug$Vz9L#Ys*+p9ZOigNW$ObYYiHb@r z0g-E;D*gg3ho+V0T8(^OZfo7Y*#Yatbc_9@yp|kbhUkCrU{g13`>K{#d{!>STaLAme{plOjLgVZ9 z4OZG3UoL>pyIwD;fz~1ym`%F2B7M(j&e=imFH&%&M6WDj9R*b=N^3`>OsY_wLid3M z-t8KY)e(>d>`?Te0{_!>9qDUTlqf^<0$IHS0tZ~RVS(`9AM2}aTomaY&}U0-g1|su zz;&l&2^K_&Wqta&0}90g?J39zq(u9vIQM|kWRsi1I|XCfKR|m0e!Bm=(a4-N6`v{ zk*$)fmKq;WY}9y3jXPWn2XKereC|*;oDV=LVANNGgq!Y$9WHRX7o0{VeY`lO6QIOt8kgXwUG})CP+7~0Vb1_(;cc_8+ z;6FvH%aFxLlzJ5r;1L)jXtYNzXQAQ|gI~~ST*4AusEU0ul97d4r~0s#s=^Bo->L6A z|4c#*SK;SRY24e{D%=3<%MnEbH=LDJ2V&Ig>UFIhlDPIc4_@%7?Zsta7-J)sAS%Ar zhhPNu6}CAgmGm7idBOb)>6i%e!m2@DKeOc+FT4QS2LZ%{vY#7ZYl-6=g1&$kuo16T zING4>wk%*s(F-8)Vvz_;QQ*Dgb^a$){dc&(nC~6DNghezk&PUw)UH0**J{FVvTw7af7O4~*LeR$fS}&~m2Lcqq!10ZCdZ z4K)qBkiRc9X~~;xwqgo1+@M<>Ug+*qzJq#QfJ(<~k}Fqh!0(zOQV2pW!0fnM3AV!; z#VkFEM2ZZ&ql7P<2*O4Op}61==qvh=2m($)xe4u7$P1Ou1C)q96fbmqfsL+iUT{g0 zyl^eB+}pp>U!Xn#-BAN#-^?M^zvnmKJihtI<6hL-MYUk*=9wI!$=y@t4{;c)&Gcx5 z))+dlVUyxA4ELcA+)npV2rsN1C%s7lUPzZzfEVo7WFthD6zI!A350SACK0T|Ma;|= zBLF51FW}M!J8Hx$4`Nl;40)YLvWS#YWlGy-P{UM?!MWKOM7W5h!&6wo2N%tvJV*Ni z`R13{D4bia232c`U!-V#S`23P80w?(N|gv(QL_0}qlvJ+myz8_p|ccCU_WsRHX=yV zYGYR{RBCf_OhQr2Y;WkjXrgPA9!jD%}_wP56XoF#!Qi( z0ygPfdJxhm^-z;e0Adk2^gjUCi!AS}sEm?SlUZxCY6l!>&RAK_LX; zR0B%6K!{LAIjF%YhBLbQ=iyQ>rjK%Ye{I^6xFR429`#Y)N8dht zP=`|Xl0PlO2neg=0K7A>uV69LM>z6*Rw_gwHBgF73K4M8bBP^bGfJnYP+Wj3(VuK? zo0?qWw#dV4U;?T&q;NqINAGB>Cue+#hm($)Dl-opa1J)|k&V z*K6;6j&y2d^;mPwy^p}+)8Fx!W8HqwoB#5^jj5ll_`mh|zyJIn#>TG4|NV!tRcd+c zD4zfA)R_K1y@1P;d*-B_-7{y|?zywt&g`1=Z1&8~*+*^**h9C?D%)Y%sas}^ZJ#}* zYzB5RWDjhgaqQTZ8OM&^Jgw}e(vI9TUE1d9Bb%oWZ?f#r#wo`R-Z*t|gJt_SOc}d= zYX9|9`;<+x-G1GqV|%Zg+;oT{Hv8~T*B$$S z!l?ASsQV@tvF?KmyeRz=GH{XMuUho6FkJHZ%Oqex_jM-y?3foJupRaeI_5pRX@D_r z5%%^P^KSY0gdg)_B`fC5WZ!5?KghkNk#DZ_0~Qwz{%U~lA^}+#X0WfZ^s8WBH09?c z`*3?~TL<~R!yBiad>?L|lwUgEw=X~6SFq1d`Gxa+iIiVF--q;HKKlSfE8j=Ai&y$F z{%VH3h=`XQ{+h6lAb25Yz}P=yOZK4v5~y{c05arqhpu%rD0evQbITnb`%wCD!d_GB zh_i2}SL*-`hg0rY?9y*A`11r{heZ$is@A!`=IpzW2^eOd8}{}k{gz>31>g4RyLKzOZ*>vwlRq`UPLA1=k3E zxhhg50XxSZzOa!1?2LUE8U)9l+-vpsHR|G_&{5&T(=e(A8cx%5+EZ?MU^E&I^w9O`f$K(%+! zVeeon9z&9TXoODm>sgNmss0KA_JO}T0imaOy}y!z7w>V<^J*_-&r)fq>lH7 zq~DwOFaO1JE48io#d9luF725WKYwP$&wgXUv7bD>;MgBOwV>>irTzF*3#C1=@Z%>I z{?M_<=70Z;Wj{PK|HDTt`{3buV-L-L@X-ACPtSe-q0+whiCM?q`^4;fCrbPF1GA+a zoBj5&nRo8D?Y^0B9d+#+M`ylq#I?8XnJMk=nYZqq`RZLEyL0CCkiB+r#@POu*Mz;g zZ`!pxreD2d`sLfB_R`+zm-fJ>e0zTPlxsVup4WE%wyCr|bL&)&J+)&h*S1f6vW}hI zGI`|sNl>^+>7%HDTnYSjO2Dlj8$WsDtFi4ba3v!JheiBPuqK^mcMgiK48b^-#I@2wrAfsHt*P5 z_s=UkTH2dO=Z)Pv|E9J#j?7DY?e1B}zH;~MR}Pi-r8{Sh9i07=wpR|$zH)HJ*nyds z_PO@rzL^(qFYWy8GsgDJoL4se>~7n3O+UTUwR1bC&)w?UlebJcc4pgDz_w03yd_`{ zZJ9E5)6_%49=vJFwarrxZk~EzQ`C-aoH}*`Y|^)*H%z*=VG{q*!l0@u?eKLIm3HXb zi5j+k;=t9_cGoo%pbY866E{vGv}~jPO8m9cfTZ{it)JldcgN+1hL{$i)!!(xk5RZN zAjs^SPei^QN=%4TAeP7t=ik>jK_eExaAjo zG3u(**;e%ue^t4^%^zI`f&l|nQA{}1>; zA^crejB6l{H2W3>1WWdH5&2eR{oX&loT!H@q~FuG&xwhCt(#rMEI-WkOV9Ef#@Svq z(XZJV4@~zf$N3cnUuTw|xgE8F?+D`$)FZif$5rDn^0!?!PJJ^i)t`$$3WxKC0HVIZ zyn{oAMFhO?aV7R$x$+ViQ)cv?24e8)HJ5C?Y}t;>@&Ac--)tz^mx_E_O22b^XaCEm zjobtH0705;D%jVX^c$6GZyoj}MjI6DL(5ALe}kBA(2w-Xtoe4O{tyup?{2+(9FecX zzE0F%n1EGE(ARy(wFY!_AF*%I5fF^df#6lEFFAP4_^HhkY)3XsbW1>oeeEOPfb{#y zJ@bG0{K~)nyoz%X@P7T60bg*cRkJ?l24kED(BzDf&Sjson&dp`>b~`XG0wrT_oDQ3 zT46|?wY);*A@ao)g$`mL_$$A&z50vdFR276-&cgUs)2^YAaozn2vYYgUILO(jd2j9 z1N9!*II-{Q@jX|LAG^`qLJ7t`Qg_{DUm5v&MUQ6E@4eH@K|L}^KNLEgS@w_boc-O$ z7y4~>33s{-zS>)-*~RO3S;Ph39%{a5i^*+yDVk%5Ziy?DpadWJo#3y6fSwZMIxjMP z2y#jB0endRY48DmD&URT=*PdoKOw^laW23I-ZAMQ1Mj*{$v~ixw>5NDUvil?pMC8k zUnBhx=l;Pb7Z`lMcXk0Q4)}n=pM1Kg`Kn&OaI;IG-{sS8vrDScPqWW&^sC$H;x_tu zoi1p6i8lJFE2*mml@M_{}b8{qylR%wE5K`d!crnA7e@dpg7)(tkeq28usY zf`uMLVHXt`O7_uJfUkmm#Ka(97RBOSI@$#R!9Ga8Q(GoBfBcfG*IokWfz1;{uJ+eH z*r$5^UO7DX=g+NR@V)zq<@8n~`3@rlzf|)@v&)a4TJi3Q<*>HRE~3)~T`x|vi)?dI z{^9v_rwh7XoMsoR(*-RrUbBnS>4L78=FKi%zl+o9Lbritmu-Pg7qq{0-0Y(IT>{N6 zSah3RXr=3x7xctDw0ZJWO*^q^61^?(h%@Iv}Iz`$1d4()i@m3UDuBTc3>Z@J@x1tg6wN6{oek>@?U*% z<*#(83j)4;_nds48>An4n}6`=0@r-sdvLiZdW1(C1SY#|tuxHIql$;~=C=BioR?PC zuU65cPR%#J?oqq+kv|;4-q}^~OW$L8Btac(?uX zW!GMM$*G$Z`?g%Bd^otpXFI`P75lsy2kERI+EzY%a>cK{xJt3_i!1;0Q!37t;Di6{ ze%0Gd;Dhdi{wj+e$=6rr_WFrtm$K8PX`^5JNzQ)7qeipK;w<>$?QZT%EcvyTd9Shr z`<6WZYH2`^i~@WTf>nMRIwSHF)FaGoSZ5UCRckIm`VWf-t{wOPkj+pSV_y^L_sZe< zpFIoY0ep&m??1fUKjHhe`4{fvT z^tP$fTP-`eb;{V5sgv4HY?*ZI*rrL}j&7Xv?Z}OjrJ+0bhRM4&Oa$!ui9^=~?7(#s z#;(QRq|)|ZJK@^Z6Z@~8K+Kgkl;MK5>&o%4T``Ud=FU7rxR*Ar|Hi`A&eyvYfK3V5 zkbSHceS(bC3Xu*f53sxA>hT9QDe&PI>M!TdnM1=2_T@>xZyaCvXxYk>&J+5rC>;CwXII8;#h-mv+p{bF%(kC?W`$*+Uh#J?uYy7GLHfOT=lq#nX1W1M zKSaKWf2s5V-3Rr@1>f&{!W{PC6TaceE|KX5;n4=M$u8k$7i*@AKi2>wUgS&{x7v&O zE?&XcsrH80x3mhrdjkUwF!jJ4?jpy%WaBT*K6;9}M<4j(vmam|_W*K5c-RE&41dHy z35yZ&q4JRFLkQfMdWnCKv44DX^*?+iW~=`G)xy5K>hFd9-IrEbrojjH{ot_$O+A2* zO!d2PZ!_5Ur@yh{`~g+^K*1Lkk3afkS@HO-2bPPlHx&8aIrnmY;y(cy|4|`*gZ`-gJfpAZeFCyaX;5Qid?!Iyy2OgM==u++$e~@^D zJRJNWMTr$6Ag}a+zibWIG5e$vM3{*H69xwoEJ2XP`$(}b3x5K91VM{^Ut0C6&#!v- z6ANc|GxZGnzVqO6D)<6?hnDwQ>`T46*Sx-Rk(}^t_Zr_+ z&9^E3AOneaARxZq346Ozf1lhj1q0*wjmL0L?4icG#{j2kbUjd-`Q=GVBZbvmO=P{cccL^ zY75g|GhO>BK=lsbn_O-=dA^qMyS=M}Y22f@FAo>vJ zBHu?959)cA$2=H_Th>T?!IdLR$bvmv^pRwiFpZpxRvZ<-$BWuyRFH-s(zPe z_Ras{ld9$m#fMIpw~kTiL$UAe2UKStfe+_=x#yXY$EA;of^V%?d5C5gywfB79*+SW z?_I3z{h8MDg8&%@724@dCo`zHtF?iKi%Llce0D~5|6Uk z1#dFqcNodn774B){BesPsE5NIxCI}LbvoUk9BqIx2Sa*~ zM`*gijQc8&-R^rl=*=EOewzoq-y``#54`I`z0*TkoiPVI{viAP9*8>W{T{(k*I^%S zC6a3S$oJiK-GrKeuxS#^p7pza3gwQX;<1PezL@ijx0MHN6LNgM zts8Gs^r%Su74r_#{Vx2}XIx^XF0;Db-zNbt!FW5y&B!{V#z8mVmuz{7_PYcNzTbZY zajrS#_MHcj_%n0;NbBJ$d!C(n&PW3GRPZeu{UXy1oN6y_FW7=FfEZw(E_z(VUo$fH zMnHu>vXNz<{DX}G2cztZ)O@q>hl&Tc*Wi-T@tsE`;tyYS)J<*q%p{KLnV|K6jn zm9G^?MMB$eKRo~2r%QYP^n7Wbn1BBh^WQyb*}KQ*9DC>ZoV2%(&l$Ua?rm+~ynpVS z_s<$TI{VhWuDyBh?3?#k_Qv5^V|UHIq3!iUGmgD_aN4&o9i0BsKG$B}H(lE8)0i^w z;-0WQzk51p=XXyV+c|w+*fY0H2kh4AXYd~39VvTa`;@lHC$>*Mu`OVaZ=Fop&6AJc zYz;1!LyX~{+dh@3{Gtks;%_h;*i5pu{1m+ygx-PK4*^~LEk+0u4F`k5aK4W-fT6_S zil5Vf16)G`4$N7%msh>_pnt?|?)CfTfBBLr_@d?Io5#yjZjgRZi^%#(8&biy(R72w zT=0GU&Y9Ox>SgPq=37pALD_e(m0#KZf;PZl60jx9@;{EcuxgXm-OeX-`+7s|i<<9)`DNchReq`dd*)^{<^avl zu?`ppP=Ag(6Qa+?oxVv`is`HfIs_&D@Y_2gUc>h%vfSG&Pr1=tKQ8rB+w*V=zDqjmhZp+>U*lW5=9`iD z8*uIqm_xfCMZ36?0K~bsp!CCHf7HV_qalXC$1Q=^Ub>8qi-3dvuWn7iEcPu8CD@*Z zW6vB|PR12p-|^1*s)|Q!#(`Dy)$IG~eapGi1rFZkp%Q;P%_%p2rI9n&kHA+}JZ`~g zgMOwPEa`%8tyh>Q*cYw&X5g==dxQtQbX)p3bd5RQ3-IyC1D@_TU;>ipqf>D>6GDB_ zz#pl`YNpb z3VNZT`#?aPha&+9>fvi4`f!_mIowqZ1K}p@Tarr9r=hbl_RPWM%Bs|#$3BdBsgQn% zd@9_dsr#qjyu{q`dfBQ9VIOP|t_WJniJOn28;aCW3J@{bXqgxgGa9%hEuL^?FR#zqm zTjB4*;b6hO0)H&@e8Iy|Y|i)%(lSCw%XjdFyUt!MB*Byc@K#;G22Qw>a&K+HS&%VF&uaZ?np;KK9G4 zEtL-PeVFkV#9VAKhew%vXc_{v9vtA0gdPRLz+dK<&^8Ny5`~U$$k+}3mT*K24LJ78 zFRUu9cHKktyVxx+Jk=Scl2;F#nlD5j4tVfk4|U4z_P{AOOnH%QE*AUFuMEG#$ za(7JeLho5#`^?g9b^*@wj-`VGp!AGx%bs z+^F$FMYl8jK({luKWjL^U`ii)-hC|P=dY(Rmv~x9qMQwgc_I2>2zX=V-JI`n_GCb?U;Hg<4vKsq6+Jpl z{1N-!JH4PnVEryPOg80;hpu}BPPx%!(-9i!Jlef}0OH__9;ucWjlcA1U(xi}qVa|B zcj+>pbg`8L;}VY?;*8*J5`qK5A9E1SqL73k_Eq7}D|$q0zR&HS|Kq1un2N_2SIO~K zRPe?1tz*l7`GPs+hJF_`zHsTo(S5kRSn%EHHMA( z_#1r`M%Y&ye}S6sYxmCo^-Jo|8`y_P`K3eput#yygV={D(6Li)RPc@BZz&FU3BAn7 zig{K18)9E4_`CVC@dm+fD)RkU>a~_642!nsz{@SE=NZ?Ew_LLN>t6sa76O8a9t4Ms zKStr`NW!r{dTJ%?4}x}Xoi_$NJzbhut;wPj5QTheh*Gc4?Nc=TC?OU(( z(Kh(C@Rz3n6LiaY6a>x4E(VFy-y#$rQE%V1XaKkbcJSJ9T5;50?9b324ac6lbD^;1 z&)uodw{gLj9DUr)KjuLzUfm;*_+$2|PM4STVGmvN#Vd`t;-MWg;DD*ePB&SO}bhq-r?II{g{1-cDrR?@K0L_#DaZ!_-hdPzIki`6%VfYqR+XkduaB_!On@u zH_ARS*YDK!nUl6XuzkicC+x*-H0&MfTt7?w)s%j9JV6kz`+tr_~sC7`qgRjBTM~%9NI_O5P_4xZ@u04AF(DCQje1{(S_L%kC z(EJ^~7W;aNd~*_iO9Fpk0eF#s{S$Ee6{^;O$QRTDvxtCLfuRi26~&r;&)C0wbFF2n z17NEk-)~(ho{-WTapD3iI2qn{;gN zb(6|;zK^fFKs~Z%(yub|UF7)7?0g3MqWHT22#6EJIfsaw5v1}{(02qod5!hBRDN5B z8$9*_g^lrNvCoWn`I|4T`u6DsHQu>scFB-_){Fz0_{)iWov_zuA5iE-zO|*_#%bpj z=Fa=e%Ytt^>1V0Gi}=$o_T}>)>=4>AuVn)urT_T46!U7#zxH?QWKfxr4S-#SGPhkXOg`jygepC|pG z1XI$lapXJ7>hGhO=iX9{FSXQPN&qe`4Tv|x(a0<~?9K@US zmmvN`zl(X1Ilav||1P*Dy%;|wk8+`buE7=zifJ*%-=@;mEjyF69JN~NQYSc;1x4raBwmOR@XKHw^ zXm#F?fS2t0Rz;6KBHxSns}O*TTmwF&MIWX^V{{;fr@|2I6A379J10vZ6{J{yn8aVx1mLvfK>>E1%1{e8mtzGnJF8$o1M?aD8diPb9 z03TV_d5^^r{C1DOY7IynjMqcbbjx{~rcd3cPi~(C`)*vfY~T8E;^59Jl?H6aKKkuT zjDTVGS@`R@?oqZn>k%(ryI=j9Z#?p?lzyqANAQHNSMXJbd^cD&=*TyMKf}J9y2tQx zf0zb%Lsj}OXXIZq0hmj`csQKHxb&X7jEn8R?*_#_T(M!xW#ce74{TJ6_vrUz#y(iN zzGMg(WnX*zr4oOl-z8Y|un&7QtNHd7`PP+we!olak?&H+UorB&qWDV$1kvhRv=4@( zV0J=EFip42r>33@LBoyDCtH$8~d|1Sz^k;LPE&&3LoxfZ4xWugNeMuz# z^pNLZ+1EbmJEAq;8vQQ$((lHI^y@J4Em~dzGY%@pJ1+|Lmn8tR@K?74OsuRyz>pHm zWL)L891;ch0C|Kzig^iqBmjf^8!Rqr0*<|Kcp-?t*Y8{S@uyeObiY6O%qraKc?b2& zzIkDdQ7w-+z2%SNLnx^3rGI8!UR% znCs^r^GJ>ME2Ljz{FMjY3h9SAS3&j1g$~DAOu0X(zhMi&CIqyVU_Rh-c2>e@C>s_X z0S)^~0v=j`#3S(i*OyiUg0MgP>?$JQZ#~!^e~TmXZ7=1id;bxT=UBZcn3Z6`!5psD+A|c!rGM!nBA~@S5`aJX>?+7TfDcK)_a0gK z!Q(68{L#}2e}DPX>SEYmz2Mibd*tD-=Wgf9ns0mjbs7044tp$h{F&l!mH^Dfp9+Bo zPe4t>T6D|YoaWKspDqdly6gk~e)Z+m|LfhgUq7(|)T6NX!%wYx<(>tSex~}7D197Q z-lpzRmH6v1@@-r6*fh|{*Gl|dQ20xRz*O7KzYdLnYnQDjTeB<^a5>uskGaHe9B~2$ z*!MTDYWAI40pt<;{`~wZNI%N`iOO$8`el`UZRuAv-Zb7$hUl%QDmy~;a+RL>BzUlpZ7*{ zbiE9+^4kc1mGkav5ino+@y-zl@uLk}u;K(8c(ZFB&MVAfn7mb2z3qWviSOi2N#YDjpd@;Wf z5EEb;;G;{fUNeN(1pDL-un#G}pPpCJ4~E2_GTrP#kuP;Ym-tI2{+{w~bO_j-4( zJ8m~LN0zOkmiQ3!rJQGZtbS8^~wz$OCFXuw7g?1X^zl%NB_;H^i-VMEZupG4sR zw4q{O41Wwn75R$J=eqc-D*IlHe9d&f%x>rE5vNN6f0^;`KnYk=33f%m?jh)r@#?k9 zEEBIW>YtA(0x}Rgmw|?Tl?2SdpQ(EU2b~T9e@i9u4UV?YFZ;&S-;S%Rrd@S-=q*zE zs8oM#@fXMgs<-yk3VwOBiGoQ6)`4J$1RMYa5pG)$Y;#*1pji-P8j{nPi5C0P0x*cb zGV<-M>{~{@#gHqv74VUHmGsw3Yj^*3nzMF=)??@;SFf#OjZ%j$`_clibNq?AN8`lb60Z4P#9xWU z9QH-y-*6?QQv#A6^p3$fr{WqO4Hjh(pP|hQBVOcN`Bj}s!dw*AAz&?BuDvA8zJvg5 zj=xCPOTYMAoRM!J@z=QSF@owZBmmRWkDg}YuMPnf{yK|-IULRqgFeFAuT+w&+YN)| zVD}J=vTwNfGlO1=f^SCIH?00fH}b6-@OJ^?FDLy62xby68vh0~U~dGhf?#{z1;3$N z#$|rn08!Wt2UF~8fxlGUBi!_t(eRv1{Ds-4UTEpP(^9ni&E~w-$Z7Y(txEj$H{q(7 z^0FlHx8<^lo1y#IK0XfQNgJF1v??J6f4yix)`RhgIEb+Ji<#K!W7|Mb*b0JUU(h`+ zl;#UwxzojQs)FB9!rx+ue67*;1^)aYS9rS{b@@5h5h{J?*L{F-2`kyxH2&>O01lpj zNffr{UGN+Fpj)l)>>q`-IjGn-6#ON-UV5l|*!Zg}{VHE**`Vg@k2$z-@z<1qnelH$ z29ToQ5`qKKfJ{K?2w@mRSSO3MnAP9rK53Z6!LcvgtJqgbKu7fPZ5#F17yfq6BGh$k zG$LPj$dwxKXD9wF{JC#57iHh2r~aDaFJA!W63{?!cm!k`Y7}aR8a{IYMux<@eby#*DItfEI{-z^KoIa_BSb(PFHsPbmhAqkOU3~}t?{qumWDR_MhAcW zlzj&p`DPFJGl@St_+1?Mv(LS4(?TzH9gG0%mw*KX`Jh`}$2}NVXu4+W76By<$#2cX z#*iBD2b(d`W1kR!!Lo1j#+R7-i}gI$!e3y}iwb_7tY1~jOD~b{kA7IwvKi?TpQ?bMk43E1C*y#L*7Da?0x`nA zLI8G(zs6lJ&C0%uEb-R{e@?#(-wvqpr566`#=oH?U?2Fa9R(Kwj58v4h_UOuWbeI)msYqI^tN%`?@GWVClUyZVF`?`mn`1|#*f33P+^2Z$H zzt1-o`G)EqG5q0=M?cVgw)87YAIv*)u%DcP;SawNqK_>W`C@%bGyK^aFcW{+mMQ&s zTN4fVv1J=RT5miI{&g)f2r>;r&iYzIr|X|1?gNyHePpWE;ad`ap9>8=1q3_9Uyo&9 zfj|63tlRPD)O=HiJ?h}kuY1U@7yLOh@rRvE!}j>g8Fz}`s9t%VhrgvvKtM2qgZS4a z*++get(X2(_ewCtKIgY66xOwY1F>XZQ~;*C|1$80|C#wm&%83~j~C%QgSWdKT+S;} z1M(tfJCpGWoZwMk-k^J5RPc)x&i#=u>mIxx4%d9)qs>JcZ=>E9!XGaZ{`i(_zP!ST zKVCr|5Lyq06}}Swumb9l@qRd}24br}`ILDF2kU$r#Gm}&uA=cpE&_QlUfFS#8Tsd| zDCB9wy73DFviid#_TkVi1R#Hvtc36)96ABQE9HTZq81Eg$4}vq9%P4}(xS7Ig&sNb zOZX8qAg{9?#7e*~LSSSAu|eUF?IU{SBd#!1+q}j8AP7V{gc{^+Z4}l;C-2W@sKvgh z0BnrELkk%4jQ@>!)H8g-pK^5dgOEq;Q&;_p4?HFOkwfYaKG;WB>Ux3i54gwTSzY=7 z_}~-%lvDIP!zT_h{;-1AdeECa;1mAfIN;-sKRhD*!I1(Gw|vxB`Lg5hrfIA&{;2wa z6~Z4JW*;2j4=W5lazH&Sn)zE-)q#zG3%7Zox&&f z@f9l=`KPV|g5JsAlf$@1pcrRWnZBU)rJfXHr9HTAM{}~^pym;Vvi2rF!9XpDK^~3c1GEkj(@}I zFM>b(56?dYK{4rG<^J%L-tqy*2*9H04=W@9iG!8w0|)UDbLykieFgh=Dg0rBWFLI2 zxa_+{E#imq2cJV=_$B+c&v4j_b@CDU!e{oCXWew&1CJ#5;H#C5>I%h2M!pPwSp$~R zPvZ|e3-+m?n7~Ks&zF9r{;*B5&%qzbKZ8i*@da*x13}n3i#I2K0?VQ@Ia}MP5BgYwg#EAHo%;j+=x+wD9Q*=&yokgf=l*DsAV~P*Z4~@U=|}jZ4Kne^AK}Cw6ObRlAqb-E z3m?I+zM9CFw{h@G8j#kBdc21de=Pm*h}9o&W8Fst;mvVo! z4&MPE@8@-1fx{4l6I-W8G33#w=SPwAmb1J z5}e8}sK*A<1r48y;g8dQ5`P@?N=LCT^1e3*fB1D~r6}@B*!jLA03`(3XVOKTA`d9> zM?U^M&LXdXaqyXRIZl9dULdUYh$xu-df*EJQP404WbOBi4)Xjz$?2_==l4wEdg5jU zK4kqIrgFpOD;vY`@*}-o^{NZak_tzKx@*AE-@XL{}RDTeFPVg)19vlVx zvt9tf1pe?ZL2^EAnF>313Uk=#9xsFWZlP3RQJ(& zIKFBbNXWy#G>3h`TZe8rw=U^|0x+ThNep%iL44bFj`eV$m_Zo>&4AZ|yae^=4+HkC z;INk+j&>bq1o6l0gF{V!GWTcLSCoAb|E42fguop0a^g=BFkAg`-Gdz^{X$(Yocm+- z$I=f@E&Or(n^J#i{MqThfcnz}+_}CAe^mmoWS{7O@sa1O^hCfQ@BGCan)wi}lV~DK7$2+bbM`_~GZIg$tkLKq3fZ*7dkFS6U0-CaKxA89{PdZBp zf7I?LuN?ETc1B)I&pL%kodjejTI~I_c z=+iB8r2>K(1nffzQj*cl493vb-TEEZjz53<)bo3c9p5yGxVsP1`QK^SXXDQmfKJwr zZ(a3=F);D?S5lAtf#J`Qej@P~SARX#Jw)#Bg1}#00jM>gBwf&V@z;q41Obbq!OXa{ zlgT@OTPFnU4}yK^K@Xab-!cjI?YI(!^<_`*nt~tW@3rfe1*`=qOZKG&AoI>~mIVF= zUiKXU{PDpLr~6Me{gH(_pZyw0R`sI+{;r$|BF|auk$`m|=%X!vB?ZAe4M^c|uN>?T zg2<4&vbXUD%j_-IAruZIkvj)EQ71#kN$U^WEvc^BLngJPE7D*(I6{nf)?G3U9EesI*IKVgb}7Y_dFcD{w^_M>PR@wQS8UOYv0Kvy?jWu8&1k4kIL9W$W932Smxn>*#0-oJH1%rdzN|-i4 z;b1;8_UZ#GUe#?b6zcldqWxt!>aRTU7SH`TSwGz3xSO^b>aTPB$$pm$3V-SN*A;-C z2FwzGBS^rih`1K)Vw+tEwvd4hBH}|E#$UQ)$}ucf;?LPI7_?RX7R^53FNc6$`p>EP z@@==lua7^g?oo`ncL*59U$Eyn|J}a9u9vv_TblS20x(wnQ247Q0Br(R;?D@cHUtdG zz*>@V!JoXXgj7!(1p6A{4=vD) zJn6K-pQ?Kl^Zwj%FNON+1b^|SzXs<$vWFckf#5d}f3*eRPzdNjurmTyMZ~pW7u(!9 z1Y;aTgbUIU`0lxJ0>=2mBgwM%eowG-zSd@6l>n?2|6Z8*EfQ1sQOF-<8rUGSJQ2KV$ zOoD5*9(4%8v$srweGl9`iGRAIn5MqFw(QF99n8 z7W3}ektsjv<8M5Nz{=OHe{hI>?0|aknSF2we^?~;;R^iWmXCbEC-$+!vre%hA;|2b zBOiFm?4wyPSWyrZZ#aSm#3IJMfO>G4eRRu{{_se!&m{h^NbF+=;Dhhr4=WOa4*Td` zAH+WFR8H}xH_1LV?{AZN!8r{(z-Gc99G-Wtd{TV+)t9*C!3UW3j9ce*A3z}%Z?^@Y zT4B+r9js9NtDI}i8!zD#{>YKH1UtUs5&I?bn0@37mw+0A4G7o-f&il6U@g)mHn)f1 z-fPFfKE@yZ(ZE4k!J}A~uqDMh{J0N9#Xd4s>J3_J{NXP*IB2m?Pd&i8!#><%QL>Nl zhec*zAplMAi%0G|-iqKCk8Jj#@ulo~!BZM@uN+c5_Up4mHNyEOh08GZe6|H~x z%S{?k|2R(x)v{clff^&<_%9d_*Kq<r6ZGfP`pC%wyn0+JwvBD69&p$*! z+&b*zbv%;Plm4g^@bcQJK$4BEAgF=3>Eq+d8og1(`BVwPy34)4! z`Yj)%{_u<7;0gk&t9-F9jXU+;ZjNm%{m57B6R-EhqG6wT#ih#qVNtRVzK=gf9y^A8 zk@x)KJ1O{;>JN)L_%-;$Epw2hA6AHc#enoEQtVoWQ;%T^w~#= zKd3+c)ja7BD+qpZCH(OQhkd-x!7t&D))|5lfBX>wAFjLthl5|r{qZBt{W1RVhXU zWan^^-=kG3>M7_Dkx|z3Sk% zh=0r1T>^jjE%@OKpt;otbRxc7VJ|=KvsYBD>wsaZi_ddOX`u2 z4RF*|mw@2}@rOlCKrH|VPrxJun-j2pMBJ|uWC%(p8;CerXpgAznCA#V#z^z0r8-+gd89{P7H8~b+B)xwg>@}9WX)m zVNv1FBcKC5x-E4dx?bY1`*4Eai2BoAFU5ghKlo+Q$Br}aU;y}Y!ru15Z%IHA{7Uv| z0qDN%s22VJL4iMufF=G!6AVF6;?MPq9>5p}M}mNHC0G}NWgtuhO-VsUGsaM+U2QHXpy_WG%n z%8dG}AYeHDO$5KgR(}^9{&f87=svo|!4D35*{O%Wp$WjzAYe!dHXvXH1T#3;6$CpL zg9Z5fy7LVmL;o|`GDgqPoq~N90aF4njK4_wZ&9c}?t0<6hZFp^SAX2}$Bi$!>aW4T zt1j>tOaJX_guj#kyw03~i^acKcSGQ2c|e^CY?TKj4H!~_%?MZxK^BG)Rk-LN$XL`U zR2!<$`_(K+4CIS1){Z*>J;o=Yf9vFpz z#+L!(PmF$v#=ngS7>$2*Ae>+yJz@glUstRjusRBENlC5zuE-f-pI>cO4Eq8EY>Gd> z`hmYL{KFGp$icdUKPDg^Ih9|mBo6=5ui&0%y2X{-p5a3P(v=)4cVNZTJ@%F7f4S#b z#lOX*d+g*N+4skba8UH1D^)+>^HE>kMj4i33(6ooD!0?r$Tl{F91t4z8X9u^m z2Uk{t&I$w|R?z!+KKbh^a7Jub99Ui_h;>i-j%Q z^l@cvFc!G@yrVc`$! zQ~;3z5Q}vF7u18#SB4-Q;17=q_NfjSeOn#@#x2-KS89JzzK(O@IPBvUXh8UkKXP~l zP7wl&GDtx{^|Tlg6GI->v5g@J0xHw51Li9>F!0 zC*J(h2R_0*&+zHg2OK{2p?z2PfrCN(VRO3lfep+)LLuP~>wM@9KA(G2zL0*nB@QY_ z2tW>mjry|(|HQ~BqF`*sk3+*C z0kMtQM~*`}MxNss0u~U&_XOF;i)r@pQ+Co?Fa5LwC3v|eAaSs38pcr-WnxqI$uG1x zNHok>h++1H1YiVz#R0fP`VW7H3ihpl&(#m*>#QHHzWT${l6~I1KRl%$VxRQs)Ca8) z1XJwOQLtnm;SV2o*;kBvA^c&3(SUl^Dg8QJS^aU$OOB5}Y&IIOP=Ai}!v=?axMlqD zZ}izmxj$?u*;f>QvB>Nz1fUXb-1Q>WAJ$n0D!-Wf0-t^y0Z8f(kF-<9zr?=cL+bzK zDA*T(avKqlRvhZdTZe$yPm>XpQ%s0~?~u-4p$$wxY+%eu-6t1`YuL#SeEwnTIZhgL z>8G7Q5MN@%phO}2p@6qm8ZifjP{9=QTKP&=>xPpM?wV3xu(huu!Y6ZXe2p#!=!vfG@ zA8zr~Kk6L6>@NO@P|hn|8npv@rMl*{1O3iOAZIWP=8p& zwG4js#8WH=1t8QP9y#p8Ef!V!&&~jXdRVvESJXfx@<{h#CoRT8U~Evl)5gAXB4bcN zJv?Pq=x9I*KK7Y__#hQSlq1;3VlW0lT4w^{^&IKhw9Urf<(?5hv0gc$;cAXwthbihOi7*GG< zF9H7)5G;aU9slAf*yrF6ivji(0+7CtgI~fQEs_$X+#juw`h!oAFD+8;4?Yo)@kdWd z{W#1IMYWEq$?>w-qW9B*k=*Y z(}1<`=g)t}-v&g)1@+ijAOWaCVEervobSU2$tUTDTZ)2t+B36{)E`lguN3@p?vF=3 zs}T53Wkfx`68_|sOCJ>f;t#-W&&sC}r+n3=56=D3qV_$bAT+qSy@Hz*=rBf(D1|K^K%%vY$5Uei=yMkavMC^dC@-`Vk zAGm(vH+D{w+(QPiJH?ldj@46(eYpgz8UNz%5M={v;SZm}53!E~AZ`u&wEC0aBcG|q zj>I26-V%T64^;ec;|r@l8T=LmRP+9rdh9sCZw!CMuTuXnt3OFV8T|VA!>5RVKKo+$ z@bC2i3{|AXr}#jwlCxP=?6ZpVpJ#9AIA=0#^t?6Z}@zfAQCdDwxm)C)QZ z0f;}013{LAIRrHL6SZI&{IV|yXg9=wfC7S&acMtHK%!xYgK4doe(EYgf*@ZrItM$1 zV8?pU=U{L}!%;G;D?iM>gaEYT-(37L`x*qll7JTbF5*wO0V?%Z2Y-@%-Qcf^fChh| z5V(XL>pto5+?Tjh5-k5K7j^zmm!zIxtYk@YjDJ`%aVfd#*{@K-zj z%@=^42CNW(*JHv*wRK6rYm#pY#!Lj;stM>pFwMBir}ZEh5r#c+a1>ObBy13fhJ8f9 zH+8>DkbuE9K!Lxq`|l$DN(kmxe$oA>PrT99u70Q&UCOK<`LgK2z90Wu#a}P|C*xnG z{yGtWH>y`(Cae^Ii-Lf~(O?I5!P_hXdYQpW%%wLpfglS*I67(A9|T8A6-pr5>`Q9E zApWcqAc^?5BlU;ucvJw|ZO`e-FTSTZ@`37yHSC^SUS!`NH@@J5>EO2nAN9VB82<3* z_lv(S0XMl#Fty`fT?hvL@ayP@QCKYKIS61MS@BvpIuJ5E4Hznh=qAA(AfOFF{DTDX zM~jORf*Oc7UooyMc|f@RQ^}xV`2qGR4VV^ysrqjj|JHcyeU=bImg;wo0&2g@%Jf!=8aScmhV)S6={%__xF##lQGlQgZNw zI?_vJUv_W{-%kG-{9&DbsQml_#5Cb{&yML3nD zOKj*0g7_YngFORr04N-L>ycG&Y0{aLpW{aaU{(BU;Sc}&mFzpIFh^%U;BfB?`40ZD zm>7J3r-VN^tp1d*)gK&-efOwizifEQZO_WreSdIF{Hu?A;FjZG_>4dDfj>BW=nX#b zM^|clCiY>)Krj^i;;CdGe20C2AodXZl(W6e{oz(ZkW+qGXBu+v3l_Qg8NQVS)ann5 zECb00{@`#1Q29C*hQlGS_SI`Zl(SJepdNf;A3L-N(qV-ND19ul0Ayb|0)h_v@RV1` z2le3i>~j{aVu+A|*hc+;a99JfuN^ZhkbO8t18NRR(n()!6IBu1I*j96e#8zzkTz_) zx_E`A92uSMcL>202N{UubQ(B!PD5fJ@RuTBu=;_;Wc|0qAO5#=e!xB$79IBCR^ksE zaPCdcKEMi}{Dsf#^MhYJmF$E6g1{f1f`f!V_!R%bk-0zIO7_7g{9&CPC-}u9KJ?~} zd+{p2*vvy;-~)VorGp=&{;*iGPaONjx?~@G#-GJL-TjBntN|_Xq57c39DD1`DrJg&Dg4DUfI$K1$G`Y{;K#pM=dPB^UlBnL?Y;wc}4Q@$+# ziF$^8r~>OkFt#!K;Pa2gJ{=U}5o!-9Q8 zKm$S5@fWn901VsYwxeZhXoLCHS$o%RH@0siom*+&kpvwF4Li4M`p^v% zwQ9{lAbRX;gulx87ylCm*@t!dk>E4FF8&tJjR53ZI2`;E^5_wKW*=|n;FlcWj~~Ht zf?urgnP1)}!G}d+AFjNCgI``D0m!!&0k!(WBPxJsryTZ&TOuIg54X%d`1s)vm~M%B zP zhaVy!uB`r)lhysF)E_K1^NOV(W@nw6#p{*sPfC~V~6oa4yivb zeX#n&Ke8-+lmryPFR8!isSjNMVF5_sD??yW{b1?G_#@HBS0nxG^S{hKcJOCr_W2H; zash9xi)nzY{(Q&aPZLmrk9Nmp4qG9 z9_-;AQv|^yH%$h=0a(OGU~us9;2tcFef`WTn92G%PBH^nH~z){iuhsnx%krr45&Y= z`Y{st!#@QPFb99wtOTHb(_0C?MBB4B^9tYK2taVKWS@$E%i^!ZUyy(~_``1`4*KB3 z3Z9w*NW~$LViHVi0^&Ei>}y0oe20=y`3OyU;*__X->gKE(+@DBT5xpNu@2LZCP zfo~1_h=5@T#sy#yf64fF#p|ca`fm__T>X$7GW(VfIpa=JoRh>3^H~g_dq@Tvtxzu$B!JxWnW$Vp(GL{V7&e1BL3zq0T>K^ zJ@uD?KSe-C_t7oKywb@LfC&Ox_^TFxmIjo(bNmv2$tXC$!E6FjINT)x!Ndvz29#hm z0V8@)60L#X9D+(KmFBvXYRUj;>4CoI6xkP-vrmN0mviFK^WrJK_1_Z zef_aj%5>x_uWl5q)n6uSp7HOzVzRJx(@c&-@5eev#U!E4lMqSR6mvs{;2c0 z6o7f^Z@BnN5HN;6uLhDU0D;0G5HJbBdIYQq!CV;_3XL72HMs2-g7j?)g={T=xc54R zIT*kP`h#=;-|P376=I*fVh{KT{J{V|{M(RZl>XQ^9$yVJ>Q58!Z@#C%_s>6E_pg6s z@K>@=b-={yzdgjiGV4eFMf~~kua*0YtG{6N12S-E0&tT$1DCep5HJqGd;(&pl7Rz& zU=bZRpq}%QJ|GxCVNE7dv`Yl!>-pP_=#${9dZ-Dt(Mb@SCIlDtliz1hxrSqxf4Fe^~<1 zO9Dni;87-E1cJ2)SVFLZgH~ari4rVS;r8`qtDr--{M-IGh;J?>A#*V36oL_253nQf zy?k)`^tQ=bmM*fD6pOs!sp;_`^ye0GAg2 z_Rx@LdDSm_Yf$~r0k4SBJ^VvuV&ivjliEn;4cKM)WOQhrjuA71&~|;I64F@iXp+$hqG8#LKuG$2-YH?B?AYg1fe1o0i}s!BqJgQ8M3*m zkU5xe;)opk#uF;vC+*#Hs|kPq_P?+D>vu2p3&A!48^ynk)L*joZ>gz2IrX4C_~EI) zvic$FzjpA8M(B3&ZxsQL#H+xT0PK!{RuZsoHw?83>YkX}?eQ=U`{*`GKyc7jf^`Y# zLNLX_&XiyPf(*o(G-Ol_!0D2P1t>N9fIl)Df&ikdfw206laIgr_*b<(lNGb?Yxcg_ z_)B&^FM`~kn0i3Rev$Z7xxa?^Ytj9e5&tRy7$KmGKS97q2%H-QcR)Zb19J!n4)zB@ zD;B1RILg6+K(Iay2Y^5SSF{A;*f*bCO=j@t$v}&Mq7Bf-Uy}leAODv4OLqVH>A!I9 zZxP|I?zuOQfPV3pj=tF%Ff0JM> z+Ls4j@vIkeT26ey1Oy&f%D@x?$eIQ76S{;J@&75;9iar!OL0h5yf%nyM_lYk0> zlW9vu!Aw9n8iEZ77)S`R5|oZXVZRXEw_$?7_och1!8;fna1e`lWK~fLkrSB9iQ31VdC^H zlN9#Cf#QSzl_N9t*yo_oz8x3}$KL+bnzuh?${i&4{A-YaEd^k0{1w#?zxeA1zdru_ zgCCVMuUZDbJnud>?$iXo3V()xIqI(m{LQw-U#0+D%mf4_O9Cd9U_Aorh;p8P-ZKpc1_#Ik`w;ea$Ugt8wymEq)@i&<>{Iw7^T9_eLHuQufRpiWUiz<8 ze+B-6ga3R2>f&#y{uUqpV$}~f{*9*pg7L3i`Bh_3VJF)Qz$5`n0oW4(ljUHy8-@oZ zh>CEI26PFyA(arU3&CBXcgW>nG29}<(l6{xC=_0sF-)*HC->^z3V9FjK>BjxK7U%v6M4x@70QB>K-+6lVpMG`i|M^>M|Lp5)zxRxF60T+Z z8^xb&f9V!~qVu_5{2f}}AO0`_usHQWj);G40cdsqCGn?1;Lr$Yr}LR_11-={f7&GS z9Dm6W&c^L>TK`4}f3f1PPXCqT zPU(e5`kIM9H~p6+U^f{+2*9n=4)yrf!k?E1j241x)q;@+jHd#lc|bcM*g^(sB^Zi` z8xYWh!wkVXC~OD8Fb5qhRw6I9Arn=dZyS6290|SP)f7M=0Dt`ITB6=Ret0Q#-+z1W zQsN-+C&5R4HU4z`oB8^4H~lyI_`7={@S@9F>aQ*S?DSt#0eCV}17XGII4J?Rs0pYO zg6#;{KLmloxB%owts$sF;~m$)TuB&SDM}?V@cHX8#-X3)ncJt)P>rTSwAbvuwrzDq zvk&+qQ{@iF4F3KVBkccT-JgGbEh-*ActQ2V;7a)W;CWpGsjL3lr2igV@rEQ|_SuiY zsXq&U3+g4mWOpv-mKS!yrH@$eOT73SjDOYa1G3xH>(9yD_;+9eaIq8c#`x>v#L-}) zq}3B+waA3S;m(v`o*wK3f&~Zb&=An914KgMx!tOXTG|_UfsyX7jVN;`I^Yii5Q+~F1oe>nBOfx*lz?sgK?C{%u$X{QRsDE4@cK7P05-*+-}WArH=xC(0~o!bT06h+x(nP{|!n2LJ!6?pbCNeAfOI}vpS+F3T_h! zgMc^WLNHFiLJ4{iamNs>LSa`Bv^kh93?2O#!XnhI{2%V{yXkfeus{~y1g%n^k3}F zM|lD;SpPLWfT1=(C-UXn82+$6LIjLJusRi}bAk$jc^s?@!Da*u=L89V&NUPu_r}4R z!q5id*Y2PB)(O>3{lsmmnFeP|@E#)KAh*|4syu(g*gNOez)Z~RI7R&X{`ock{>zX4 z+b`CA_nFoI$F~dz0lUBZ9i;)8eFlHt6f9r;b%sBjfs?`SQpH~~{pY^)gQ_2C{G|n; z4uOLNG#NmTfXqAJ8A$@FgK??`;+Xg1qdzexQ1RWJQ# z@WN?UUqQe?0VFN}yA6T+CE#W- zE^VFwWIZU9U?u^v%>=@E;cz_&Y7Pz*f*dJ_&&E+lbo1+>jgwE`KJ(nZ8C*}@GG#N- z2t#JybNdwgaF}HOjYjv?V4p|Bv3EYb#+VL%34g&T82AJDfIn^P5CZ?#@2n*a=(De8 z{9BDbG{jU0z#-x93rE~@Z!g43A4T=UDt*LyUu*)Zk$-G@>R$kVd+iq<6%BxA5dT^s za7_Vtn>q9AN5Q>>z&%w$+7QrIf|(Gs2$;da+7R539HTD>2ztJ0lV+~fcXnPksjM9k zpS@$|ofEUtzIyNM9UEL#_^lJOFz7zWHz+=U4^C~}mtr45FoS?7eZV;QWhY8NJN_-x ze|QyoDFA!GUv~OW_r8?s&rCfK)sM9LLm}8H{yO+8&%I^i4;?U1+xRQzz6b$W9{Yv# zBP)>SbV1wr>p=i!hrq}QCZb?d2FWL&-4vbbh}JW*sx;uVn*?MHNKT;yGYRO*z!8IB zjoL_@kA{Op!)zd;ZADU#{nwAoI!G2M9XgquZbWEE@pr zDsV6a_N5=KlmgHupkMmnl?49E5O`z>SSZ0(1Wcv{t7M>;6V#c(#^G=$5QLm`Imj!X zLj3wMqxb+p!0yD>DTqEI;OSeYB>rd-B9Rt}fCR)>@3DZGoBPumaE#c;R||qd1I7th zp8ZG=Ff;w9>%Rs23Ih7aKPu9HPVjpXf3^~AD*$ss;H5%9h{OsR*cAlh99*OjOmXnK z$)j7Q-Z`a6_wt=HkKZ;0t@pxyy!vCx!5qOZvB)A(NPMbsNA28KP&xL5HOJb%Z-2QX8<7pN1T8n71%xxNQuH~ z8Mtw(8v@2Tm;u2+MBFAE?kXZ?2%Z$|L);4RA%;D)X_6oaATlN4Sc^sMOkyy>KA(op z%GkTltWl=3e*U!#fd&5J0Y*JS-JJ!ADlsNdM`!XRy!5pFj0JF!ElFy($HuIrdu=f1S#2FZgQ^ z|27tYUK32P2C}#bSU|8l4G02OLr@X0TL^lRP>_z1=R4g)u(2L=*vER1fhdVqk9`Fk zUEF96fQuTg(O0Gff%kbW`sR~LV1 ze@WvnHw0EafG+-uM(7{`jQ|{d4VXCk?4AvoF zwG1pF=!wDe`)0oT;M@m~&coo~mQsh+;V{R=1qbsW2yN(!!It4Le_X=L_ZzT}qGSFl z01A@BN32k40HIsRu#L!Nw2-78ztM z9R?OVov;u1d*kRVeE%4{3|0+-ZI{2%5 z@Z)p!@#hzRG5wUwzU-I+NdE#b76MlbKu-e}Wf0K{(|IKX8ZaaSOU1|1PdJBrk%83^ zL@fN&-kA>`pMU@1QtLtV5dm5KwSwRcQ@4|qH4r}`$SZw`L1O5x>s4`sQ+pC#br&7> z$*-zJ;n;VdTLW{D=iCMh!NCk*xA+T8Kh0EsqldrnxwlyG+aUed7=IxFXik7w2Z19Q zz)S&Hhk(KQuUyQn1verf_?QF1oIp5V27c>-xgVaLf9cT7JvUE%_5L{+oR<#FKm)#g z+>(BhfbeT8L55&13VY!oPHwz+dKUKLff=BX?b{EQ#a{+l0*Gn$@izn=!#*Nl0)nwt zm@ERu3Ls76-<a_kjrH?571Oc0%ze* z&4M0A{A;KG+E+hR@Y^Z=DvG~l`mcE7hkozJGamjB1(yWeU%vj_C1BzRaIOGUUC`kg z$gbHK0m~||Cjj+0EX2Qd2;36^y+Ak}1se?r0wy8Yh=5is{GC&ZeJ8h1zjD_M92gwj zf_>k8XwDRf^D4#NK(MANl>Dmnae$Y=hwI}zr{sPIi2F89zI9B|&;cTJA}zjg|E$uC z@i_~LM`Paw`^a?g%Nz`{4~y*tU~~Mr@o&@WM>+VfNdLW#nlD?Z`PnIb;I=LPx=R0f z1T67aB>;mbKq^|GGeh7;1PmtuN05O1K=7^m75fnRq6+-Nff*PaTEx|5A4AZq6w8RX zO9ljvt&g>=Wy}p>!f-6zuZ_U_1{P zBw&^R%vOJ<|Jfb@Qx-rl{UslNRq4NyeKmSt%C_gS^pW5B9K&Dh__rqkh(4HL5-=(N z7X<-r8Ca;mEM$z9Vh$^c^J(OXM}n1W!OgS|j7i-s--CHN5b zI^g4{NCF1I$NL}HZqkKslI}~hFY?r8Uq}FcHxdFH{CQR2l7K}IpjQA1tG~^Z({*i83LOmU^D{=EIzbY2q+*}n}8ib@WlgjA3U;L z6Hq}AhC&AkKxjb6Dj*o)U^58fQ*K%?y%J6uPGVHSw?AQY9M14E$jD*vVGqEE4W#&R zd(TZ4L-926J*C`>AdfeM*f$6P*fIVB1oTe7MXMhL{wjN4Lc#Ay;7_%`&dH!uR1Svq) zZeGpP2jJX=SMAIH9owA2gi=A8sWj(|Qm)Q9*v=UPD+o$R{%F z94ErQpa6`7z(E06sy}fG*cN~_@K+K4#spwX{OS1DE&iUY*ZEv|>{k_kV*>E-0#1F9 zS@qum3c#~af4KrMSp$jS&#D3gfB6K|slZ_US1#781vCE05v_j-6sj_aZ=tgy`4Gg; zgKBgjo2&bpL(rCljUiY|3@Y$FIQPAWm3`&NtWuFe8=l=c4Io10LCv)UA2XCRBVB!s zNia>auLl9>;_qAy0{REws^eb|f9ky-cKWZVeyEWLWKR08CH^W8ex&dhOaCSCmnQ(- z`fs5DgEf#k1neRN9x(zQ?G%Ew3D_S5g(U0`f&uWc9=t*8!2*le`ShL{(uVJyo`Zsu ziVr@rdxSGCeaH>6XAtrb#+@`yH93!cA^gSK00$rdo8T`a{nritMDI&^?k!UN2(;|<0MaM}n2Wz637D#ZwAFw!Cn5SA+dd=ZUeIr)0d*{#P=EX=paDr0dP=Yf z1WOKfssssw`q~D9z8I9?L&4g~8_K76PaBN1A+GRw^WN(L!BikjETd4D>Lj2ZJHRC# zNlF^_z4zI*u(H!7=Gz@G3V-PkSd2pGHvY}QpP&8 zLll552AwWUQVzf0n4DcHwbQ=+6R+U5^DMfH%)!>gb8)w z;FfU)Clh@15k$&_Ma0hd;NBai_TFF>K!7t+g(!@$+#~#vRE)BZ2v`9@4}TQ`P~gv+ z0aIiEGvnWH=FPvVjDNH7x3X36TL*uEsefMj&y0PB`YQyWoBOL1|8^z-y(FO30YjaD znt(9@XsW;w4VWfiv-3Q=VjfI9C{97p=3pxbDm_>M!Q85d(17$2ML@P} z{2ksr^;;+AzW<0aI0^7!K#SzyONVqqP{hSpk>Ha6C10a(>dpJ--g_8_VJ4s5Z+fQz zK}5b_A2Nv{_C3G$J(yO1e2vP$ApSxEuu=TG`t5Z4|F#f-!StVMfzGUfWD3B10ty*eLBL!3kAjJS84#=>pnza40%Ef& ziMZAm1cAa5g5*a~=ztHmQa|G8o-BPx@R>@80ADN+_6bPZ@Rh>~of!59JFsc$#XDyK zM6^IFooL&b0w0?oU@iRR3&5)QcS!iN<6kHJ=afG3@YkyM#m8TH^sP{T0sJ+Nf72Pj z{l%Mq`4zz0G5GTYpxXpP8gSQKwE!GR0wPOTzB1S;1dCfG121F|7!e21fU=P zn)IJI{!tnHq8HkUf0gX%NJh@!7HOy|5NmO214E7{Omq0M?Ix>)|h_`>(;-kCgfgwf;Gs&pP;x zsK37P*IEFU9WXQmUw6Pfxvxq9<`OVE3ZYB_h6or)0wM$+U=&>~oY5KM=_3H&88fIvKcUNQtsD#0uQLJ8iK_5Kh?Dtg&KnIF^;3~{g-1Tl6HgJ)n+TT$>mHV0vN zY}+);LpVP8(B(&Z5OFb>c;>cgd^t%u?Dy*-OtgH*WnVxBrU)5Qx|_Y3tTq@4rc&WB_uBd zuCD<{nt)wEP!X^{2zq*uappTQ@X=0sN*O@t!K}Dg%R?{`59&0?4cj1zXblUr(~bk`+}!b2??t|fj{gl*;mwmrTW7Lx((t_7C^9* z@Yjg|ESq2u0^3n=jDU~C38;p_NW0CNkgD>1F}r0}3`Px&N9|4nX39WU1CkgFwFlOg zfxSY|R)r4xUOO^Np)Rg?@rd)(!J@-Ksrbr-pvD|-CHO$hGdrh&P8bP8T;<1^gXC1w zaO^+6v=&wp5Gb@E=$1k13qbsL<**O8!TK+DmPtTOKu7&ygTp?$jlKO6`@y~({9&hL zpTVCf{$hivepDU%#ZCyo1pctW8u+6Vg0FKW^@p9z zz5xDo2po)ma|NIvU<`j)&+3CA4lZT_1_I#-1Zxq{#KJYjU{x$!l!+OC($S63Z=Wz} zK6ELk!G{-;FeUxC7_yEe9>v9A94kJ651lJ?3j)4+#P}BbxX;FMbQ7YFLc=~HUQ#C;>y^aB~P|>%lw-ip*dE!FMol|H=8cPr37@S@D5) zz#@#nm!Kqr&t&}`n*;d3I8G71c;^g9%~|YoP*~i$>?`rtPynv^u7^MTcO}z*js}zh z5IcjX---grYHU#K6V(qX1O4ECA!sBau@6@&nC#y)1;e|RBE3O6m>QBbM z*a`Nz_!9yU8w~sM@Q3}CvkwS=*vTAp1fa_OJ**Of#J)=WVW(std`JCZgH!#G=|4Pm z*cZbeb~>~FO6jNLUu+1rzxVY5Hc`73SM*s>>Pr5 z9L!XL@0^iwo(3>1EV(bH$=&)+%o_-^&mB{GD)PF&3CLMjl0eRw?+ZH98> z&4f8l_5q6!fW5G0Z8f(JBfV(0_yZ1Hk9m(2tcX+u)pkl76OoUA32~N zb~5|m`1r#HW?zZFqWU4vydu_9Xx_2&e>LQvyb7AY2AI<+b1)4-n|&k(r)d$=?9tn%-#=qGs92^|p}B6HVm-*~1L2Z=b>rV=0eF!cI2P!IdL29m}fb~@~H@P`c){8p+z>?9c&Q-9b% zQE*WG;VEmtu=*k=?31M@h@Vlb0^yq`kj7i{o>iKvzk3g06cgwAj~xfL8jiz@I$_P_xe~fG`cE0K|WRW%^GDKra4b12q8Vs6UiJ zu%FonCxJiggc3~P4;!4yFG0{h_KTey0tfMj4V(e=@rS1+`$X@H)PPj|z)p^WgZRUS zl6{2$3|2p|Q?ifzE(Ks60#*yahz7LU0I3jcmxC(_c=A>m0>dAPC^%ISW)0XY1VO{N z9&EtAM{k??;IZWpgSf)qzeycg3xH4SL`Xu8npHKK#G^N7&?lf=@o5-fp9+E72tcm@ zg8!~m@izm1*hy7jqy7Z`paDTWY%m-&-G8F3}_%(wM@YG^o9Dmr!>s3FnAL=g$f7r7hJ|BPBAWI(>{vJ@BD}mO( zV}aZsb~^0CtrURRKus`~`qKi?!5?<2;xFRgA_K?}4B{^l0>=ob&cKn`a{%2QKsyRv z0vZq%lAK})#9gL^4~N*`<2{GBgkB@3CnKccn92z<0ky;IV{HhWWwH-DnS%fy{tZY( zf`jj#UjD&Z*nBnkf;7ZpL?NcwS62YW;$QrCRU`qm`ilra1i#qH?6U-5QT@P%l6__G zi>>~I0K|TC@FRBew`l#tPG(;)_{9chADjgKu+yFRVyi!FkfjgSfE54YX`t^u>!Y{bDz2)=uI{`b!<;A(d2=$L>A0y5ep)Z`*j z^8JTh@Zld2H1zbKr3zUdHgLw?e|fDkUG0rsgCTHM0Yt~Y`0uJ?3C>D@rMnPeF^+wXJF)kz#leHAnd3=5`cL6 z<=EIOJO0H^qM?sJ5`fsi>AIZ1cUgC z^#D2o5dU2{)sI;E4?BbPUygsV!7u(Q4xU>R41Ten+2;qpCH|gJRT2N(n+$%jfr4L2 zz@YlWPM&%Y41TeJlYl<{@Kg&xb?7ZD0E^xi>|`Yv#2+>o4Ok4na)aLjf7mJ6mmB{k z1>k@Gyv;tSzcvDJFDAaE>=7;m4^9IHiXpKcKxds9mv-_ASQmnZfL$oT3ichHgDQk6 zRj4H)DCX-vhkf5VQDy)g@JR@=-++cT`x+52C;%n?@ZXg;0;^DecKnN-RR4{sKWrfO z1qc|zANEW3CGdxxbo4Ez{;+{iDA^ZOf7mIf9{Bjf24-=h76 z@_^XD@h=O&0RHqSK!<%L{+h{XQEcGV{*hBy(38?YcaTNUcp4o4nT>jy?1=#OC zx$x$(&L&?8SH)G;1?T|1}xHlaLV8}r2eqq zVV}XDo&H1d7dur6I5hNykcSN{1Jn4!&a(QUhrT%Y!v@Jd2Y+}fsvip+|GLFrJp)y; zk9;Wr@l{UsBSt{%^x0S9ud)CV5`fG;5b)goXcag{z-asn{O!pWfbBHk3y0=@@|hLC zcyXmPK(NdMY670wIs2ohR{Z?DWj}gq;o;ldcg2B;fAY-2&z=+Z?84XXpRdFpZODfp z(DtRnb3cB1!7(f{^`v7FuqFf>vya3eef*u%^9MIiE7Px?3j#5dea^NR3ghep0V5%> z!e6KfCP+X~5C2`2GEfsRmj1&|W*;1-{_N>5*kIz{IR0e(i~TAV_Bx+c?$5>_cAEB= z2>!5v*#{?qKkO{ociOz}QsNIA9QH~4;VD%=%GSRk{pa8hJAL*U0jQ6EU;_!jQvHeJ zA5s8fC*7t9SW^H>_SF!8b`mf}!2A%{BVeiwA}S$2{}J8TpFX<)6hdvGLr^L{0^j!^n}6x9x#tedzWx8Vcec-V z6;&F){{gx8+;d|v^Iwdkg9sw>A}`7qLVyGeZ%Q&EU>M?xahZn@bSMT4!wiyZd z>}`jBcXo;K*6{@z=rG>+`hqy9sz2sn48aBtzJGG@KhG}lWF?3R1Cb=&;CVycC)tPn z^v8*SKp|A20b=|G&Y(S%bWm__`_cX z4ans`7J!lZWBg(NPzS8x4?CmQzsUSzL%_Z~{;*RV_(kJOj6ZAu3V$Th4?>}-{e@TF zm+^J0KuwKr9meumbS? z@x?t{Kz;5jJMTWc3;dnCbBAQ$nJ@X8AgnG(2k-%N_{-lswtz6i6N?`|y~~K4g@8YO zbOG?8Y6hwJ+5?MA_!{KD4)}ZT{9yz8-$($e_``mmffVtFoih4J z%`Y}c_8IAy!5?;pM?PZwVFSrP6@PfkT`y(xi=DFk7eNr_7aN#;W&B|$vk#LLf1utF z{@OEe)cpqt$_^NhLW{pt_d)$((csTnV4nqB0+RnV>~o!ffBWvhL53YBK%xBEV1Y#u zu$h4Go!b5D_YUI;p+vj`2f;h2Jp2R=iG5%qDM4sJ5D*;v{de{-zw+er&oAy>xOayS zhoBS)AVTp0d?fckLm-dl%s!e-B;e)Ki{CxBJbkNyED1mm5cq@i`|oF$1>~i#bO;I# zrtAX*X%PtP)qRqEEC#VSIO5!-Umk8g0FBX6Xf7oe? zfa3luo)iACf&Ob$f7tBVmvlbM+8=fX>`Q8Y*pN29sQAN9KJ%-ZUu=*TIP$-EOaCze zbLtP(?he`@f&toxXKARyoiM~p#Gqo)KSNj!-;>`@o_Z>VsP`B(&^V;>O^&x@Zf2|x{h zxfW;^fcSSs?2FZ3f1fwy_{>+gNRHV;1A`K%`eGEZNS~-~POxQ;n zut30m|BHWG%)T=IuybG^wLm8X#0Keq@st14Ykv-ZyiJ`T_rN-_FU21r4;#1wSjHcA zs(pWh27J`q+Z?e^;ty{*`oKK*pWgbXmH%>o1Fst;Xd3_{=|?|F07~|;033|4!yjaz zQvS0MFyoJPAIm`YzuXE#MZnnq3If6iGw;&Lj06O~fIoog`MY*Nz#lxY01C14(UU{g zLAIB&U{DWa#0n~a6q4W%QW!$d3->MHf-wL-^u&OGl!`zDf`Afs>U6CUS!?J?8Af>)vSZKR@QxzebKxfgp~1Ypkp;@{Yy0mT*2f&X>kFLnm()BLYtUl{(jsJ~eHCE+jrr)Bc%a}R5NnR+}a z<4^7T^Zr+Be3AHL8At+<&2PE<$1+gbUYZ-}mz;hJ%x?{U5&H;#1N&SBFkAk!7MNkj z9K?iBXz=GMfFR&tglz>-`roJsm{L!!%tAm2J@{6@P7dJj>D$bw;~x2fk2@&;B}qt6 z0Fh8A@%Q>MV}#8B1YJ)|6bGx|<3&%v8U%mv)d7D5L3%w(ytPM(B@m$v0l_ZM8}Tmpv&=!mJ{m*ePZH4LFKdA{{HgxevoHJxNPs`}V$z08K&Zbf&zQdu18p&oejJ8i!i+0V0s`hCh{kDv z_19;N0EE?z6rTj2oU4E^mftCK#Z{pxbulgH>Km4DR(MMeS)0+OE0Vk=yRpU?dzjgf81R$0C=t*Lb@n>Y9 z=mAvNXKR9~?&B3AV8A|+fegA&h9NcviI5lloP~f)y4=JN(8)l8UHWAFDUrn(tQ#8ghDjvbQjF1Vlch(E|cas7Rl|7H9U`^f(q-N$_} zwgMQH|ELr=0P(I};$0*Iu?YN`07O{ep$!VkX4#SyWO#puS@+(CG z7X2@?kJH&XaZ&@h(BiELB*dv`@tnYo?IxXzbW{$ z5iqllWgu%n2tc;LdH`~_!C%TgT9g);GY~Ve1dQ3|VvtDjML)d&)LgKzz*!e8Ntc_? zKtOX&&fk>9Dz7?;WndnHjntFda^Pdk0d{f^1Ch>?_mG!>J z^G3QaVxN0Uf5}(=`35uGIl11+*3Vu&is98C<^q3-1um+;y#H;%UjV)l_!Hr;mwwH) zKgm8X{T%+H+@pNk6`?RPza#M%w*GPNi^+f8C(qZ0zyJH;jnSy~KZAzo0fYd=0QDm9 z0f@9h1mq{#VS17Uh6_d!kOvFE0DPqW8VDE&z-x+ttAXH$r-!Vgg?+RKK;-b36Ja76 zJ=I0IA({^y>_OqczA^#(1fb@B(f$Isl>Z|O%>H+nyolJBMuYn6!C&;XBm94hlHcgQ zgBkIM|E&)7w@Umqg}+dLUt6l`4~qtWt_7N9pm)Aj0LlP_1t3kBhG*|uNaV?RK>+IP z8)1P*5inSDyZ z+)vNJqA(2DR}g@G_{;lWD*5r#*Zwl;N2&a$n%}Yb8`u{%zN`*^Mh4o0A5GE6jQAT} z{;T<4^1RXl=LjhA$LzymEB+D-ygCAgML@j{7?CdbGaz6df`Q?c<~Nv|YG4(7yrD$E zIUq>q=VJB|5FcAao|knWvya`eWZ4w<$-gJ&Akol1WA-%*!13ij1|Ls60bThoRe$d0 z=T)gc&%Pe~ajP>=R#p2Og+HAA;Lw97(J|$10Su&_?t>V6Nxb3s*?%<69`IiSDq95@T6@-Hx`3$JZMxQ zhE#4J?89Layu$r4itZDOj5(?M(#096M}L)L z-y{Oo{O<_-70Z9s&gT;T+=b6U-=D=_lzsqtJch!bi+vA{`m5nDDgXK2mm2=ESHFY- z2oo?CfbIl{EdNFGR{tyU=XwBD_R(VGd83~jNI-B67sJq{SU63L`?4Y+zW4Yp&fA$I zcaQH*C*Y}@5B=oP#c#j8#CYq(q6)z(`{*dKM4J?Y?2hGzlzsdb;2( z92P_NWeC_H0KNYmK6=@9|8q(Gtr&j+`-TI*MgZp2-@(A2>wOW8FWva_;jh47eD$eS zf4Tg3P=B5NHv->23P8|sZ3I+`fDnL*2E>&xIR6Xq0dSb$P6Wmuct@}B78Cp&G~ien z_};0-C(oPP2QV-J2Lqj$91dw@AB#s&tj<0yJ7)ahB7Q_A_`(s6TI2uZIQ(hs(=2co z{<7zPa{@4Dem5I`LD!3R-OHQmf#2TR-^o4Y$^%3nVfr!L_+oFp?{57|)L(!)T(d1gr@_qyF0cuhf96u)x9vYZf@;f@?-NOF#=jx-<~@!x>=+KmbnOjs+TmdRWIG z{4o}d2Bh)1x*cY~5%};E0uW?@`r}u@#6hr^eSjcn1u;kgh_3sJ>?82u8fpv>5I0ee zkbW8V^$0*w{`2au8-HQ>&)5ED!Jjzv=G&gF`Hj?{)qNf6FTkIA2+!0^1-6x`BQ8P7qa>z?b1)M z4=ChUm^5;*$i6WG@H+mE$jsNozMF=><4WU;IrlbA{nh<%C;)T#qx@He;2;1q8gL2$ zO$IU|23aEkfBW3tU(O%KlkQ2SEAk0#R0sUc**y$!5I$w@l_@!=%GA9-F_;6Uy< zwG(gJZCCqfehc_Z2pFUv&i|_Bw-M1G1x+}aeD^#tpI=c{F@_S?)KBM_=`_{oNGGsTULKj;SWE{>hFN#ZwP<+u!o!& z^V_EW#^bNW|K4jp_Ldvn{&$1`JSYSd5G*4vdg}-%WMC1347+HOV;>n|bjbjM7}60- zG02!>@L{4Zj$>TzPkHt=3BW$}*ML9m>X#Pvw+8$P_KC(9VSZPEzu?G+J@XqM_%%14 z&XW8#;4dU#Y<`RW_oc7u*B|)ymp1$r%74IOfq+W+PsSiE5%6dW+~a}+4VagKxfmo^ z|NQX%kce^RpE?2a5RAZwpH=n&f*9CIb{J17235?diwwsc&broP_KgsLs`(X{Upe(x z@V}$XZ;Zc&8(*qD&yo6D1OCiaS2P|!j4vGdj~vlue>37Q-})y-AI}H%7veAOeVG-1 zO8JlcZ=(RzEO42Ctrfs=0?-mLUjz*5fO8Vi$-px5qPK#8p$u$*U_1MWn*;lt7*sK* zE;9Rg(gnL{v%hXOk1m2PKyZkF^BS;J0dz@73jt&NP1Jz16EFk8F5O4$!xKgrN|21ONkwdB zP@3XK_Dz9cmVI>rIKlrmhQDLXud4pS+pfxmKYZtRqWY`i&vw0BUHG#CFdP2P<$pIp z0A@7c)l0xc1||@so*1YJ^4Nadek8L|0|v!D2)*KnOm6jO=z>AO zECj(mG{oSEd$gEtY=kA|_^n*zop@`cAGgS`7^KC2@y&xR1jL>k^=RF&k46rEy#jC& z{$@&kUDr$asox&;7vs-gc0l>>k?4}=YSUlT@LcM7?#AEY?n7?}0e_|P-#rcaFZ^#} zfwSd57lV}Xw@v~o_{+H9GV-FgRpdn*Gy=vD{PluIk@$U*N>WE33yH6uatY(p63XEVeaA7-^AEAcjRM6{E1_~!I|Gx;xE_xVw#^f z+5cJsb_>8&YCxTU8U!Q8m4BYNc{^fU`DccJ0|X8GURvTQ&knN@9>+dLXTUoZf@4*o zV_yRSEB-eu{{`x=kbXeur8mBeQh#ym>zn?v>aT>q%4uI+{f+5*xsJd4hi;gl{iV_W zZjJy<2-swS+g$L55D5-4|_;ayu&vCaV{8e+07V{ey{xXd(mrmx*Z=d>et$(>I?@{;* zYkx}b%k=a^;BOxP+i8Kv2*8yQFpIxL1C|wE`qpT`X)@5VZx2sd6J#;?&ZEQeIHnjT z5{NAEsz2ZL;>_=c z;x8m%clx3E-&p+#0xAIr4*w$jh5B2O0K5YMNM{Bz3V#rZk2h$*%_LyRzCjxX?0d-w zL*s~D7&3)@jL0z%WEy_d_fg}ED)H1srd~7|2f?i?Z`iDZ1-U)=l*{5b+D z>QCY?LqLD}jq=}K{EZWUqcorqfHeY^1R(G?7XjNKh|2^CbFv!9Kz!#>Bq4hkLlR=~ z$3O(dRLrT15%SWXT@WhG}p%4LiBT@U`Iw*FPie?9(p9RA`HAOyiX{WsAo9WcP3A>dA7#65su z9}V$MxYi70qX<}pAlOG&N!QrNQOO}@-;j#DWUl{{EE~r@`8QNK=-F2mfY%WI#^)Ya zG5)&K52f}uEB^HKLkhsm9WaUj3^ibxfChgDmVjl$tG@Lv82FJLRg zApnE$*P7oZ^#?nQA&ZPD3V#9qs)fG;rT*IRC;aaU@i)8w?ZF@5SS4Uq z016k}Cjg5w@YJn4br2EUr!rVi_U&;Aug}-;s0(Q{|j(k+{_v5EO z6`9{k?3+)1S8smTg}=oAD&g-m{OSHz5U{NN1pZ1c_?jT#&5G`mV$exEgE<~@kt>7t z?eKA>Z{B{05|Tf>usn6^ArgaCv~_I|>}wW)S^uk2j~5;O0_o@4o>TRw<4;IG$iNZm zZxa3{7XDTp`#y%N{o-MAkEHL<=N^T=KYQ>ai9Tk+-vi6i$zQN9!C!a!A^mTA01_4f zn+cdL0+#USG~gHkm=8nNuK{tH{-4hWpHGtP!>2`14YXNDiGA3Bv&;-f_QD|GYhPb@ zI}Ar`O>r0I)XfR|S_EMJ`h$x9-4FcLa*w?F+yBB}=B}5#^g|oqHHW`x0#GmijSzsv zB4CMtE(V#AfEIu2bHM}q&Maj?kc}|05BLKR8EsPcz5Cc;im}KWFnRR>G{*p%=2Mo$ zK7Jbf@o+tZD&Pu>8v8;4sOP^MjK3iBwV$|b!rz7#{x%kWMgX?=zUZ~Ty!snX{x+(= zcKnSJfUWAU7=x7X*CPOd$e{^FPPFS`S_Po%i7A)-)VBn?n0+=9F^l#)!jgT!9}Q+7 zG~GA9v~3x;_lFk(Ukv==yL9yG{Jn$pWBkQRuwM`6*(U_xocMFnFYbBHncs${zd4+* zReu71a}@seFZY;T{ha{m)X{-I{oGqi_?r;0yZmSU?{NHM6#mZMX+Qf**S%z(LjW>b z0QL}2!Jp=WI|Sea0%q9R zfR=zQ{#O@(`$0ee4qwj1Q^vszM8m%Gdl=@e(|wrGXVk$&XgUmH9E6?&oFO18fDnW9 z3i43Cvh!vLN+7yd0v2TFmHqK~rr+a&zeyZ^vG4S(7E*JU7m_{(U(ivI=vHh_St$yFDb zeR#|GBli9Ng*|59g|996E!aoWk9;wHqCP0>t;Za@V`#&KeY8y>U{(n_@IfbTU3ts) z_fd_n@N^A;UH*6F`0I>)oA4*t2M!MAw+(+<%ZpWis`Sg@?}<#;%Le1m6#i%&Tk_YA zFj=+w%L>4Ims$Kx7Jya!VT1R-8T={Npc3-r^EUh8bNc8<@u^$jIW(XOyJ%6ek6wXs zjJ)^0G3Y*Q^X#)VL6DK`@P+#pjKa{~ZgVvdWDJ8~&qv3^J_uU&&4ItH^ebF@HH-Qy z;;))}BrPv1D*So%7dYQu{GBeDU*)bB&H1iK{at);Z%z1XEdO=+-$VnJ{I9jZRRRY1 z%MfrK0x%(f0Pe#l2mA%n@8<2-j}PltzPXex z_{{AA*SH!O1;Gw^!RDM7PL-fzAMn>gz@qw_g1?5QzkKaa;BO7NN4@Z;G(6|k-!n(v ze&&c`esk(?4*U(yH|+Zhn*QkGgWQz|8S~qUKUez8j^>Jj@^hd=IcPA5+NrT7aQp25DOv*aEP&Nrj}+MKU;$yLCG#PCdte`)ctwdvPWnL`p8n$YAFWixPRtp9m~b^P3W96wV@askVZ*){e^mmGQ-3M- zctypZo_nkjf1>a=Q_D-I^UdZS9)B~$z76<`KlI`5yiyxq)~fzO0jT%B^ya^v_=7vH z5-|3^8UfcQ07DHp!UE&$up}Vl9_c$gBkA|XLtgsPp0e~~cMPfMi-Vuv{^0`)stiU! za5MW92oCI12w2EHMEI*lA6fIuu!|;+eR0!Y7yg9vO^>^cD*Sy~$$XK1SoJrv^UW3h zviK_%{@!@}@a*a@i$Bf(t{Q*7^EqjMnGJuP1RR6Egn+I1n?(T5NWfdRS^E9*TT3bZ zFqhJg@OM!LzM|>}@WJ_#JcI!B>`Q_XD8YW}#eXDVUn~I2_;Uo@5Bz1C{sjJJD*Sce zPicASD*WZ}mn-}gW8aj0Sea;kN8&H+eTkFbv9-Sf{<7h(6@Xd)%Xi;{dOX65u*w0* zvt9kq03VIyLckUR8volyz-$Bz_R$b$LPlsn5`bs#+WFJV#@=E8Z4!T~^rH<-Hxu}t z-vjSpbs-E1e@H@j1121d49Zay1jlhOX5TmgI4}Ov!rw=)m|~wC`%?Iu&G|l?ZFp8f zk3{Xa1a_t|zqP5qb>Och{B6hIefa>SCIHLo&)}~n0G0Be(SX5aFfHm2 zdj$_gY zMju7|DXo8s`L+Hx*Z6|=>Xl`^l@1TFhkiNEgL12Kq;J_7YO z7Jt>kUt7zIFZ_Auo5?*^Rrs3^f0e?Yz@O6eXRrKIYkwPrKOq21=|`dcrKbL>_?sXA zgZohX@HdwL9Hjvv{Xiw)4`Yyij)3@SB;onPU|&x9Q7VGB02w#CMD|t>g3A!RdHXnq zxH*;PTOYr3)JQ)XngI0DuiX2RbH1-1?Z%(VKK-JXqn9rxT`#!ip!24K@%WpV`EG3C z@8sU4M~0!|Ph}q#4}v-`oTYEpVw0NYW4N`}MbR-?D3ku>?dN5cq>HE=xb~ z5gwT;gCJ>SZ_nMcgGG!q2v($D!bAf>Hw^n|G~ut{d<(JfT+Y|xueIU<>f#W z{+#m_(TDDQk1Z?CH{*YUgCAl0OGW*y8h;}zfYS(Av%pRMS7gC01eDV6$0v3r{s!sy z{lgzTu}hV~wCcwVG2Al<85r5yToByCKDo!U&kMk^`YYfsU-;{me%GCEuI1(GaK2Xo z{zL;{Q~I$l_?uAxS{Iz)PtkxsIcchX7?5WC1tn^QVd=-Au(8Hp@P~yt6~Tmi2B875 zhq1-gz~4L<1i`$aaT2g^F8nE(?*Vka@1MiTHf^}r*BAb-J70IwgS_yXoNr_F(N_C= z0n(2K4!Wg7E&ui4Zz%s237E-$Z6}~>1MDvU&5J*sfbo$yP>=9O(vJuT{IT={`#w2m zLSfSo<7$EgL6&|m-7_2)!3y({xRwio8>vUzz`lQC+w0BmXz91X&Ue+JN8Io7+>QGf zdTemZ%YHathrhb>y;|{CBVdRB)$tbyKp-!bendc)es4cI^aC2{$N0Nt`;8Mr5Pa$1 z7O=Mz3PT1m{xEs#5pe-bQq*fCAhB;&{B4f&og8}Xzx2EAd~vCLW|)?j_QKz0;Lo)F z(a2nUpY4624j9)1I7a==i$9%!UI41n5BPij?j7m@)TN(=AWK5vkJyJ68Oc7}l7PRT zLXRj2ZUi5;<=K~MeDSewt?;*M=bMHedgd!ak6!7QWgon-b-v#A+J2Xfkbbit_So>u zcN3hiGr!fspLf1_^ILMhq6K=x@mG+3=-Q*ew(^b From 1e62cfd075e47bb3a8891ea16e5c5e9efc81aaaf Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Fri, 17 Dec 2010 19:58:40 -0500 Subject: [PATCH 07/25] Splitting up programmer selection menu and burn bootloader menu item. Former-commit-id: 15d07fa0360a6e5e1b538c33ad5dbed6cb8f2d46 --- app/src/processing/app/Base.java | 18 +++++++++----- app/src/processing/app/Editor.java | 24 ++++++++++++------- .../processing/app/debug/AvrdudeUploader.java | 10 ++++++-- app/src/processing/app/debug/Uploader.java | 2 +- build/shared/lib/preferences.txt | 4 +++- 5 files changed, 40 insertions(+), 18 deletions(-) diff --git a/app/src/processing/app/Base.java b/app/src/processing/app/Base.java index ec171fe6a..69255abfd 100644 --- a/app/src/processing/app/Base.java +++ b/app/src/processing/app/Base.java @@ -1017,22 +1017,28 @@ public void actionPerformed(ActionEvent actionevent) { } - public void rebuildBurnBootloaderMenu(JMenu menu) { - //System.out.println("rebuilding burn bootloader menu"); + public void rebuildProgrammerMenu(JMenu menu) { + //System.out.println("rebuilding programmer menu"); menu.removeAll(); + ButtonGroup group = new ButtonGroup(); for (Target target : targetsTable.values()) { for (String programmer : target.getProgrammers().keySet()) { AbstractAction action = new AbstractAction( - "w/ " + target.getProgrammers().get(programmer).get("name")) { + target.getProgrammers().get(programmer).get("name")) { public void actionPerformed(ActionEvent actionevent) { - activeEditor.handleBurnBootloader((String) getValue("target"), - (String) getValue("programmer")); + Preferences.set("programmer", getValue("target") + ":" + + getValue("programmer")); } }; action.putValue("target", target.getName()); action.putValue("programmer", programmer); - JMenuItem item = new JMenuItem(action); + JMenuItem item = new JRadioButtonMenuItem(action); + if (Preferences.get("programmer").equals(target.getName() + ":" + + programmer)) { + item.setSelected(true); + } + group.add(item); menu.add(item); } } diff --git a/app/src/processing/app/Editor.java b/app/src/processing/app/Editor.java index 5ee2e4cc0..cd45ce3d2 100644 --- a/app/src/processing/app/Editor.java +++ b/app/src/processing/app/Editor.java @@ -693,12 +693,20 @@ public void actionPerformed(ActionEvent e) { serialMenu = new JMenu("Serial Port"); populateSerialMenu(); menu.add(serialMenu); - + menu.addSeparator(); + + JMenu programmerMenu = new JMenu("Programmer"); + base.rebuildProgrammerMenu(programmerMenu); + menu.add(programmerMenu); - JMenu bootloaderMenu = new JMenu("Burn Bootloader"); - base.rebuildBurnBootloaderMenu(bootloaderMenu); - menu.add(bootloaderMenu); + item = new JMenuItem("Burn Bootloader"); + item.addActionListener(new ActionListener() { + public void actionPerformed(ActionEvent e) { + handleBurnBootloader(); + } + }); + menu.add(item); menu.addMenuListener(new MenuListener() { public void menuCanceled(MenuEvent e) {} @@ -989,8 +997,8 @@ protected void populateSerialMenu() { //serialMenu.addSeparator(); //serialMenu.add(item); } - - + + protected JMenu buildHelpMenu() { // To deal with a Mac OS X 10.5 bug, add an extra space after the name // so that the OS doesn't try to insert its slow help menu. @@ -2440,14 +2448,14 @@ public void handleSerial() { } - protected void handleBurnBootloader(final String target, final String programmer) { + protected void handleBurnBootloader() { console.clear(); statusNotice("Burning bootloader to I/O Board (this may take a minute)..."); SwingUtilities.invokeLater(new Runnable() { public void run() { try { Uploader uploader = new AvrdudeUploader(); - if (uploader.burnBootloader(target, programmer)) { + if (uploader.burnBootloader()) { statusNotice("Done burning bootloader."); } else { statusError("Error while burning bootloader."); diff --git a/app/src/processing/app/debug/AvrdudeUploader.java b/app/src/processing/app/debug/AvrdudeUploader.java index 97ef91a20..77daebdc4 100755 --- a/app/src/processing/app/debug/AvrdudeUploader.java +++ b/app/src/processing/app/debug/AvrdudeUploader.java @@ -96,8 +96,14 @@ private boolean uploadViaBootloader(String buildPath, String className) return avrdude(commandDownloader); } - public boolean burnBootloader(String targetName, String programmer) throws RunnerException { - return burnBootloader(getProgrammerCommands(Base.targetsTable.get(targetName), programmer)); + public boolean burnBootloader() throws RunnerException { + String programmer = Preferences.get("programmer"); + Target target = Base.getTarget(); + if (programmer.indexOf(":") != -1) { + target = Base.targetsTable.get(programmer.substring(0, programmer.indexOf(":"))); + programmer = programmer.substring(programmer.indexOf(":") + 1); + } + return burnBootloader(getProgrammerCommands(target, programmer)); } private Collection getProgrammerCommands(Target target, String programmer) { diff --git a/app/src/processing/app/debug/Uploader.java b/app/src/processing/app/debug/Uploader.java index 16e3d33d8..b79509179 100755 --- a/app/src/processing/app/debug/Uploader.java +++ b/app/src/processing/app/debug/Uploader.java @@ -67,7 +67,7 @@ public Uploader() { public abstract boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) throws RunnerException, SerialException; - public abstract boolean burnBootloader(String target, String programmer) throws RunnerException; + public abstract boolean burnBootloader() throws RunnerException; protected void flushSerialBuffer() throws RunnerException, SerialException { // Cleanup the serial buffer diff --git a/build/shared/lib/preferences.txt b/build/shared/lib/preferences.txt index fc74866a3..bca71bfd9 100755 --- a/build/shared/lib/preferences.txt +++ b/build/shared/lib/preferences.txt @@ -237,9 +237,11 @@ run.present.exclusive = false run.present.exclusive.macosx = true # ARDUINO PREFERENCES -board = atmega328 +board = uno target = arduino +programmer = arduino:avrispmkii + upload.using = bootloader serial.port=COM1 From 64c1adcc2e05a89bde755fb39fd672edb6996db6 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Fri, 17 Dec 2010 20:33:20 -0500 Subject: [PATCH 08/25] If no upload protocol is specified for a board, use selected programmer instead. Eliminates use of the upload.using preferences to specify a particular programmer. Select the programmer from the menu instead. Former-commit-id: 8523ab1ac773182fc612a6bc5e5eb4b98cfadf12 --- .../processing/app/debug/AvrdudeUploader.java | 33 ++++++++----------- 1 file changed, 14 insertions(+), 19 deletions(-) diff --git a/app/src/processing/app/debug/AvrdudeUploader.java b/app/src/processing/app/debug/AvrdudeUploader.java index 77daebdc4..7273346ed 100755 --- a/app/src/processing/app/debug/AvrdudeUploader.java +++ b/app/src/processing/app/debug/AvrdudeUploader.java @@ -42,33 +42,28 @@ public class AvrdudeUploader extends Uploader { public AvrdudeUploader() { } - // XXX: add support for uploading sketches using a programmer public boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) throws RunnerException, SerialException { this.verbose = verbose; Map boardPreferences = Base.getBoardPreferences(); - String uploadUsing = boardPreferences.get("upload.using"); - if (uploadUsing == null) { - // fall back on global preference - uploadUsing = Preferences.get("upload.using"); - } - if (uploadUsing.equals("bootloader")) { - return uploadViaBootloader(buildPath, className); - } else { - Target t; - - if (uploadUsing.indexOf(':') == -1) { - t = Base.getTarget(); // the current target (associated with the board) - } else { - String targetName = uploadUsing.substring(0, uploadUsing.indexOf(':')); - t = Base.targetsTable.get(targetName); - uploadUsing = uploadUsing.substring(uploadUsing.indexOf(':') + 1); - } - Collection params = getProgrammerCommands(t, uploadUsing); + // if no protocol is specified for this board, assume it lacks a + // bootloader and upload using the selected programmer. + if (boardPreferences.get("upload.protocol") == null) { + String programmer = Preferences.get("programmer"); + Target target = Base.getTarget(); + + if (programmer.indexOf(":") != -1) { + target = Base.targetsTable.get(programmer.substring(0, programmer.indexOf(":"))); + programmer = programmer.substring(programmer.indexOf(":") + 1); + } + + Collection params = getProgrammerCommands(target, programmer); params.add("-Uflash:w:" + buildPath + File.separator + className + ".hex:i"); return avrdude(params); } + + return uploadViaBootloader(buildPath, className); } private boolean uploadViaBootloader(String buildPath, String className) From 5e0b19b1ef88d3f5b13c51f97e2ac7350dfbd58d Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Mon, 20 Dec 2010 14:50:18 -0500 Subject: [PATCH 09/25] Adding upload using programmer options (instead of verbose). Former-commit-id: f1146d80a5443399a9c9693e5e181126edbe3321 --- app/src/processing/app/Editor.java | 23 +++++++++++-------- app/src/processing/app/EditorToolbar.java | 4 ++-- app/src/processing/app/Sketch.java | 12 +++++----- .../processing/app/debug/AvrdudeUploader.java | 4 ++-- app/src/processing/app/debug/Uploader.java | 2 +- 5 files changed, 24 insertions(+), 21 deletions(-) diff --git a/app/src/processing/app/Editor.java b/app/src/processing/app/Editor.java index cd45ce3d2..7e2d3e378 100644 --- a/app/src/processing/app/Editor.java +++ b/app/src/processing/app/Editor.java @@ -538,7 +538,7 @@ public void actionPerformed(ActionEvent e) { }); fileMenu.add(saveAsMenuItem); - item = newJMenuItem("Upload to I/O Board", 'U'); + item = newJMenuItem("Upload", 'U'); item.addActionListener(new ActionListener() { public void actionPerformed(ActionEvent e) { handleExport(false); @@ -546,13 +546,13 @@ public void actionPerformed(ActionEvent e) { }); fileMenu.add(item); -// item = newJMenuItemShift("Upload to I/O Board (verbose)", 'U'); -// item.addActionListener(new ActionListener() { -// public void actionPerformed(ActionEvent e) { -// handleExport(true); -// } -// }); -// fileMenu.add(item); + item = newJMenuItemShift("Upload Using Programmer", 'U'); + item.addActionListener(new ActionListener() { + public void actionPerformed(ActionEvent e) { + handleExport(true); + } + }); + fileMenu.add(item); fileMenu.addSeparator(); @@ -2331,13 +2331,13 @@ public boolean serialPrompt() { * Made synchronized to (hopefully) avoid problems of people * hitting export twice, quickly, and horking things up. */ - synchronized public void handleExport(final boolean verbose) { + synchronized public void handleExport(final boolean usingProgrammer) { //if (!handleExportCheckModified()) return; toolbar.activate(EditorToolbar.EXPORT); console.clear(); statusNotice("Uploading to I/O Board..."); - new Thread(verbose ? exportAppHandler : exportHandler).start(); + new Thread(usingProgrammer ? exportAppHandler : exportHandler).start(); } // DAM: in Arduino, this is upload @@ -2388,6 +2388,9 @@ public void run() { } else { // error message will already be visible } + } catch (SerialNotFoundException e) { + if (serialPrompt()) run(); + else statusNotice("Upload canceled."); } catch (RunnerException e) { //statusError("Error during upload."); //e.printStackTrace(); diff --git a/app/src/processing/app/EditorToolbar.java b/app/src/processing/app/EditorToolbar.java index f86fb17c5..9d7a5fc13 100644 --- a/app/src/processing/app/EditorToolbar.java +++ b/app/src/processing/app/EditorToolbar.java @@ -42,7 +42,7 @@ public class EditorToolbar extends JComponent implements MouseInputListener, Key /** Titles for each button when the shift key is pressed. */ static final String titleShift[] = { - "Verify (w/ Verbose Output)", "Upload (w/ Verbose Output)", "New Editor Window", "Open in Another Window", "Save", "Serial Monitor" + "Verify", "Upload Using Programmer", "New Editor Window", "Open in Another Window", "Save", "Serial Monitor" }; static final int BUTTON_COUNT = title.length; @@ -310,7 +310,7 @@ public void mousePressed(MouseEvent e) { switch (sel) { case RUN: - editor.handleRun(e.isShiftDown()); + editor.handleRun(false); break; // case STOP: diff --git a/app/src/processing/app/Sketch.java b/app/src/processing/app/Sketch.java index b09055779..22e2aa591 100644 --- a/app/src/processing/app/Sketch.java +++ b/app/src/processing/app/Sketch.java @@ -1520,15 +1520,15 @@ public String build(String buildPath, boolean verbose) } - protected boolean exportApplet(boolean verbose) throws Exception { - return exportApplet(tempBuildFolder.getAbsolutePath(), verbose); + protected boolean exportApplet(boolean usingProgrammer) throws Exception { + return exportApplet(tempBuildFolder.getAbsolutePath(), usingProgrammer); } /** * Handle export to applet. */ - public boolean exportApplet(String appletPath, boolean verbose) + public boolean exportApplet(String appletPath, boolean usingProgrammer) throws RunnerException, IOException, SerialException { // Make sure the user didn't hide the sketch folder @@ -1565,7 +1565,7 @@ public boolean exportApplet(String appletPath, boolean verbose) // return false; // } - upload(appletFolder.getPath(), foundName, verbose); + upload(appletFolder.getPath(), foundName, usingProgrammer); return true; } @@ -1592,7 +1592,7 @@ protected void size(String buildPath, String suggestedClassName) } - protected String upload(String buildPath, String suggestedClassName, boolean verbose) + protected String upload(String buildPath, String suggestedClassName, boolean usingProgrammer) throws RunnerException, SerialException { Uploader uploader; @@ -1602,7 +1602,7 @@ protected String upload(String buildPath, String suggestedClassName, boolean ver uploader = new AvrdudeUploader(); boolean success = uploader.uploadUsingPreferences(buildPath, suggestedClassName, - verbose); + usingProgrammer); return success ? suggestedClassName : null; } diff --git a/app/src/processing/app/debug/AvrdudeUploader.java b/app/src/processing/app/debug/AvrdudeUploader.java index 7273346ed..a30f56614 100755 --- a/app/src/processing/app/debug/AvrdudeUploader.java +++ b/app/src/processing/app/debug/AvrdudeUploader.java @@ -42,14 +42,14 @@ public class AvrdudeUploader extends Uploader { public AvrdudeUploader() { } - public boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) + public boolean uploadUsingPreferences(String buildPath, String className, boolean usingProgrammer) throws RunnerException, SerialException { this.verbose = verbose; Map boardPreferences = Base.getBoardPreferences(); // if no protocol is specified for this board, assume it lacks a // bootloader and upload using the selected programmer. - if (boardPreferences.get("upload.protocol") == null) { + if (usingProgrammer || boardPreferences.get("upload.protocol") == null) { String programmer = Preferences.get("programmer"); Target target = Base.getTarget(); diff --git a/app/src/processing/app/debug/Uploader.java b/app/src/processing/app/debug/Uploader.java index b79509179..03d8f2f30 100755 --- a/app/src/processing/app/debug/Uploader.java +++ b/app/src/processing/app/debug/Uploader.java @@ -64,7 +64,7 @@ public abstract class Uploader implements MessageConsumer { public Uploader() { } - public abstract boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) + public abstract boolean uploadUsingPreferences(String buildPath, String className, boolean usingProgrammer) throws RunnerException, SerialException; public abstract boolean burnBootloader() throws RunnerException; From 9def4482a3c6f6efbc86398bb004a96fdda6a76b Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Mon, 20 Dec 2010 16:49:14 -0500 Subject: [PATCH 10/25] Adding preferences for verbose compilation and upload. Former-commit-id: 3625a1001eb4c6d6b76659377e0aaf201ed0d473 --- app/src/processing/app/Preferences.java | 21 +++++++++++++++++++++ 1 file changed, 21 insertions(+) diff --git a/app/src/processing/app/Preferences.java b/app/src/processing/app/Preferences.java index ffc63f7ae..4dd15c041 100644 --- a/app/src/processing/app/Preferences.java +++ b/app/src/processing/app/Preferences.java @@ -113,6 +113,8 @@ public class Preferences { JTextField sketchbookLocationField; JCheckBox exportSeparateBox; + JCheckBox verboseCompilationBox; + JCheckBox verboseUploadBox; JCheckBox deletePreviousBox; JCheckBox externalEditorBox; JCheckBox memoryOverrideBox; @@ -279,6 +281,21 @@ public void actionPerformed(ActionEvent e) { top += d.height + GUI_BETWEEN; + // Show verbose output during: [ ] compilation [ ] upload + + box = Box.createHorizontalBox(); + label = new JLabel("Show verbose output during: "); + box.add(label); + verboseCompilationBox = new JCheckBox("compilation "); + box.add(verboseCompilationBox); + verboseUploadBox = new JCheckBox("upload"); + box.add(verboseUploadBox); + pain.add(box); + d = box.getPreferredSize(); + box.setBounds(left, top, d.width, d.height); + top += d.height + GUI_BETWEEN; + + // [ ] Delete previous applet or application folder on export deletePreviousBox = @@ -461,6 +478,8 @@ protected void disposeFrame() { */ protected void applyFrame() { // put each of the settings into the table + setBoolean("build.verbose", verboseCompilationBox.isSelected()); + setBoolean("upload.verbose", verboseUploadBox.isSelected()); setBoolean("export.delete_target_folder", deletePreviousBox.isSelected()); @@ -516,6 +535,8 @@ protected void showFrame(Editor editor) { this.editor = editor; // set all settings entry boxes to their actual status + verboseCompilationBox.setSelected(getBoolean("build.verbose")); + verboseUploadBox.setSelected(getBoolean("upload.verbose")); deletePreviousBox. setSelected(getBoolean("export.delete_target_folder")); From 04b7a206674613ea03c72acdeb0ac041e2a74482 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Sun, 9 Jan 2011 12:44:52 -0500 Subject: [PATCH 11/25] Removing SoftwareSerial (to replace it with NewSoftSerial). Former-commit-id: e816ffb0b6f328ee0dcc850f412dc3d45507d9c4 --- libraries/SoftwareSerial/SoftwareSerial.cpp | 227 -------------------- libraries/SoftwareSerial/SoftwareSerial.h | 56 ----- libraries/SoftwareSerial/keywords.txt | 18 -- 3 files changed, 301 deletions(-) delete mode 100755 libraries/SoftwareSerial/SoftwareSerial.cpp delete mode 100755 libraries/SoftwareSerial/SoftwareSerial.h delete mode 100644 libraries/SoftwareSerial/keywords.txt diff --git a/libraries/SoftwareSerial/SoftwareSerial.cpp b/libraries/SoftwareSerial/SoftwareSerial.cpp deleted file mode 100755 index 6df04d2f7..000000000 --- a/libraries/SoftwareSerial/SoftwareSerial.cpp +++ /dev/null @@ -1,227 +0,0 @@ -/* - SoftwareSerial.cpp - Software serial library - Copyright (c) 2006 David A. Mellis. All right reserved. - - This library is free software; you can redistribute it and/or - modify it under the terms of the GNU Lesser General Public - License as published by the Free Software Foundation; either - version 2.1 of the License, or (at your option) any later version. - - This library is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - Lesser General Public License for more details. - - You should have received a copy of the GNU Lesser General Public - License along with this library; if not, write to the Free Software - Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -*/ - -/****************************************************************************** - * Includes - ******************************************************************************/ - -#include "WConstants.h" -#include "SoftwareSerial.h" - -/****************************************************************************** - * Definitions - ******************************************************************************/ - -/****************************************************************************** - * Constructors - ******************************************************************************/ - -SoftwareSerial::SoftwareSerial(uint8_t receivePin, uint8_t transmitPin) -{ - _receivePin = receivePin; - _transmitPin = transmitPin; - _baudRate = 0; -} - -/****************************************************************************** - * User API - ******************************************************************************/ - -void SoftwareSerial::begin(long speed) -{ - _baudRate = speed; - _bitPeriod = 1000000 / _baudRate; - - digitalWrite(_transmitPin, HIGH); - delayMicroseconds( _bitPeriod); // if we were low this establishes the end -} - -int SoftwareSerial::read() -{ - int val = 0; - int bitDelay = _bitPeriod - clockCyclesToMicroseconds(50); - - // one byte of serial data (LSB first) - // ...--\ /--\/--\/--\/--\/--\/--\/--\/--\/--... - // \--/\--/\--/\--/\--/\--/\--/\--/\--/ - // start 0 1 2 3 4 5 6 7 stop - - while (digitalRead(_receivePin)); - - // confirm that this is a real start bit, not line noise - if (digitalRead(_receivePin) == LOW) { - // frame start indicated by a falling edge and low start bit - // jump to the middle of the low start bit - delayMicroseconds(bitDelay / 2 - clockCyclesToMicroseconds(50)); - - // offset of the bit in the byte: from 0 (LSB) to 7 (MSB) - for (int offset = 0; offset < 8; offset++) { - // jump to middle of next bit - delayMicroseconds(bitDelay); - - // read bit - val |= digitalRead(_receivePin) << offset; - } - - delayMicroseconds(_bitPeriod); - - return val; - } - - return -1; -} - -void SoftwareSerial::print(uint8_t b) -{ - if (_baudRate == 0) - return; - - int bitDelay = _bitPeriod - clockCyclesToMicroseconds(50); // a digitalWrite is about 50 cycles - byte mask; - - digitalWrite(_transmitPin, LOW); - delayMicroseconds(bitDelay); - - for (mask = 0x01; mask; mask <<= 1) { - if (b & mask){ // choose bit - digitalWrite(_transmitPin,HIGH); // send 1 - } - else{ - digitalWrite(_transmitPin,LOW); // send 1 - } - delayMicroseconds(bitDelay); - } - - digitalWrite(_transmitPin, HIGH); - delayMicroseconds(bitDelay); -} - -void SoftwareSerial::print(const char *s) -{ - while (*s) - print(*s++); -} - -void SoftwareSerial::print(char c) -{ - print((uint8_t) c); -} - -void SoftwareSerial::print(int n) -{ - print((long) n); -} - -void SoftwareSerial::print(unsigned int n) -{ - print((unsigned long) n); -} - -void SoftwareSerial::print(long n) -{ - if (n < 0) { - print('-'); - n = -n; - } - printNumber(n, 10); -} - -void SoftwareSerial::print(unsigned long n) -{ - printNumber(n, 10); -} - -void SoftwareSerial::print(long n, int base) -{ - if (base == 0) - print((char) n); - else if (base == 10) - print(n); - else - printNumber(n, base); -} - -void SoftwareSerial::println(void) -{ - print('\r'); - print('\n'); -} - -void SoftwareSerial::println(char c) -{ - print(c); - println(); -} - -void SoftwareSerial::println(const char c[]) -{ - print(c); - println(); -} - -void SoftwareSerial::println(uint8_t b) -{ - print(b); - println(); -} - -void SoftwareSerial::println(int n) -{ - print(n); - println(); -} - -void SoftwareSerial::println(long n) -{ - print(n); - println(); -} - -void SoftwareSerial::println(unsigned long n) -{ - print(n); - println(); -} - -void SoftwareSerial::println(long n, int base) -{ - print(n, base); - println(); -} - -// Private Methods ///////////////////////////////////////////////////////////// - -void SoftwareSerial::printNumber(unsigned long n, uint8_t base) -{ - unsigned char buf[8 * sizeof(long)]; // Assumes 8-bit chars. - unsigned long i = 0; - - if (n == 0) { - print('0'); - return; - } - - while (n > 0) { - buf[i++] = n % base; - n /= base; - } - - for (; i > 0; i--) - print((char) (buf[i - 1] < 10 ? '0' + buf[i - 1] : 'A' + buf[i - 1] - 10)); -} diff --git a/libraries/SoftwareSerial/SoftwareSerial.h b/libraries/SoftwareSerial/SoftwareSerial.h deleted file mode 100755 index 95753fc09..000000000 --- a/libraries/SoftwareSerial/SoftwareSerial.h +++ /dev/null @@ -1,56 +0,0 @@ -/* - SoftwareSerial.h - Software serial library - Copyright (c) 2006 David A. Mellis. All right reserved. - - This library is free software; you can redistribute it and/or - modify it under the terms of the GNU Lesser General Public - License as published by the Free Software Foundation; either - version 2.1 of the License, or (at your option) any later version. - - This library is distributed in the hope that it will be useful, - but WITHOUT ANY WARRANTY; without even the implied warranty of - MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU - Lesser General Public License for more details. - - You should have received a copy of the GNU Lesser General Public - License along with this library; if not, write to the Free Software - Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA -*/ - -#ifndef SoftwareSerial_h -#define SoftwareSerial_h - -#include - -class SoftwareSerial -{ - private: - uint8_t _receivePin; - uint8_t _transmitPin; - long _baudRate; - int _bitPeriod; - void printNumber(unsigned long, uint8_t); - public: - SoftwareSerial(uint8_t, uint8_t); - void begin(long); - int read(); - void print(char); - void print(const char[]); - void print(uint8_t); - void print(int); - void print(unsigned int); - void print(long); - void print(unsigned long); - void print(long, int); - void println(void); - void println(char); - void println(const char[]); - void println(uint8_t); - void println(int); - void println(long); - void println(unsigned long); - void println(long, int); -}; - -#endif - diff --git a/libraries/SoftwareSerial/keywords.txt b/libraries/SoftwareSerial/keywords.txt deleted file mode 100644 index de5a74c77..000000000 --- a/libraries/SoftwareSerial/keywords.txt +++ /dev/null @@ -1,18 +0,0 @@ -####################################### -# Syntax Coloring Map For Ultrasound -####################################### - -####################################### -# Datatypes (KEYWORD1) -####################################### - -SoftwareSerial KEYWORD1 - -####################################### -# Methods and Functions (KEYWORD2) -####################################### - -####################################### -# Constants (LITERAL1) -####################################### - From afd76180bd2f215abb4e9ca28236f3438d3e62ab Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Sun, 9 Jan 2011 12:45:46 -0500 Subject: [PATCH 12/25] Adding new SoftwareSerial (NewSoftSerial by Mikal Hart). Former-commit-id: 80bb16db8c2626db8016d8b6eb87be62b23d93bc --- libraries/SoftwareSerial/SoftwareSerial.cpp | 534 ++++++++++++++++++ libraries/SoftwareSerial/SoftwareSerial.h | 113 ++++ .../SoftwareSerialExample.pde | 21 + .../TwoPortRXExample/TwoPortRXExample.pde | 50 ++ libraries/SoftwareSerial/icrmacros.h | 69 +++ libraries/SoftwareSerial/keywords.txt | 29 + 6 files changed, 816 insertions(+) create mode 100755 libraries/SoftwareSerial/SoftwareSerial.cpp create mode 100755 libraries/SoftwareSerial/SoftwareSerial.h create mode 100755 libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde create mode 100755 libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde create mode 100755 libraries/SoftwareSerial/icrmacros.h create mode 100755 libraries/SoftwareSerial/keywords.txt diff --git a/libraries/SoftwareSerial/SoftwareSerial.cpp b/libraries/SoftwareSerial/SoftwareSerial.cpp new file mode 100755 index 000000000..926482673 --- /dev/null +++ b/libraries/SoftwareSerial/SoftwareSerial.cpp @@ -0,0 +1,534 @@ +/* +SoftwareSerial.cpp (formerly NewSoftSerial.cpp) - +Multi-instance software serial library for Arduino/Wiring +-- Interrupt-driven receive and other improvements by ladyada + (http://ladyada.net) +-- Tuning, circular buffer, derivation from class Print/Stream, + multi-instance support, porting to 8MHz processors, + various optimizations, PROGMEM delay tables, inverse logic and + direct port writing by Mikal Hart (http://www.arduiniana.org) +-- Pin change interrupt macros by Paul Stoffregen (http://www.pjrc.com) +-- 20MHz processor support by Garrett Mace (http://www.macetech.com) +-- ATmega1280/2560 support by Brett Hagman (http://www.roguerobotics.com/) + +This library is free software; you can redistribute it and/or +modify it under the terms of the GNU Lesser General Public +License as published by the Free Software Foundation; either +version 2.1 of the License, or (at your option) any later version. + +This library is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU +Lesser General Public License for more details. + +You should have received a copy of the GNU Lesser General Public +License along with this library; if not, write to the Free Software +Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA + +The latest version of this library can always be found at +http://arduiniana.org. +*/ + +// When set, _DEBUG co-opts pins 11 and 13 for debugging with an +// oscilloscope or logic analyzer. Beware: it also slightly modifies +// the bit times, so don't rely on it too much at high baud rates +#define _DEBUG 0 +#define _DEBUG_PIN1 11 +#define _DEBUG_PIN2 13 +// +// Includes +// +#include +#include +#include "WConstants.h" +#include "pins_arduino.h" +#include "SoftwareSerial.h" +#include "icrmacros.h" +// +// Lookup table +// +typedef struct _DELAY_TABLE +{ + long baud; + unsigned short rx_delay_centering; + unsigned short rx_delay_intrabit; + unsigned short rx_delay_stopbit; + unsigned short tx_delay; +} DELAY_TABLE; + +#if F_CPU == 16000000 + +static const DELAY_TABLE PROGMEM table[] = +{ + // baud rxcenter rxintra rxstop tx + { 115200, 1, 17, 17, 12, }, + { 57600, 10, 37, 37, 33, }, + { 38400, 25, 57, 57, 54, }, + { 31250, 31, 70, 70, 68, }, + { 28800, 34, 77, 77, 74, }, + { 19200, 54, 117, 117, 114, }, + { 14400, 74, 156, 156, 153, }, + { 9600, 114, 236, 236, 233, }, + { 4800, 233, 474, 474, 471, }, + { 2400, 471, 950, 950, 947, }, + { 1200, 947, 1902, 1902, 1899, }, + { 300, 3804, 7617, 7617, 7614, }, +}; + +const int XMIT_START_ADJUSTMENT = 5; + +#elif F_CPU == 8000000 + +static const DELAY_TABLE table[] PROGMEM = +{ + // baud rxcenter rxintra rxstop tx + { 115200, 1, 5, 5, 3, }, + { 57600, 1, 15, 15, 13, }, + { 38400, 2, 25, 26, 23, }, + { 31250, 7, 32, 33, 29, }, + { 28800, 11, 35, 35, 32, }, + { 19200, 20, 55, 55, 52, }, + { 14400, 30, 75, 75, 72, }, + { 9600, 50, 114, 114, 112, }, + { 4800, 110, 233, 233, 230, }, + { 2400, 229, 472, 472, 469, }, + { 1200, 467, 948, 948, 945, }, + { 300, 1895, 3805, 3805, 3802, }, +}; + +const int XMIT_START_ADJUSTMENT = 4; + +#elif F_CPU == 20000000 + +// 20MHz support courtesy of the good people at macegr.com. +// Thanks, Garrett! + +static const DELAY_TABLE PROGMEM table[] = +{ + // baud rxcenter rxintra rxstop tx + { 115200, 3, 21, 21, 18, }, + { 57600, 20, 43, 43, 41, }, + { 38400, 37, 73, 73, 70, }, + { 31250, 45, 89, 89, 88, }, + { 28800, 46, 98, 98, 95, }, + { 19200, 71, 148, 148, 145, }, + { 14400, 96, 197, 197, 194, }, + { 9600, 146, 297, 297, 294, }, + { 4800, 296, 595, 595, 592, }, + { 2400, 592, 1189, 1189, 1186, }, + { 1200, 1187, 2379, 2379, 2376, }, + { 300, 4759, 9523, 9523, 9520, }, +}; + +const int XMIT_START_ADJUSTMENT = 6; + +#else + +#error This version of SoftwareSerial supports only 20, 16 and 8MHz processors + +#endif + +// +// Statics +// +SoftwareSerial *SoftwareSerial::active_object = 0; +char SoftwareSerial::_receive_buffer[_SS_MAX_RX_BUFF]; +volatile uint8_t SoftwareSerial::_receive_buffer_tail = 0; +volatile uint8_t SoftwareSerial::_receive_buffer_head = 0; + +// +// Debugging +// +// This function generates a brief pulse +// for debugging or measuring on an oscilloscope. +inline void DebugPulse(uint8_t pin, uint8_t count) +{ +#if _DEBUG + volatile uint8_t *pport = portOutputRegister(digitalPinToPort(pin)); + + uint8_t val = *pport; + while (count--) + { + *pport = val | digitalPinToBitMask(pin); + *pport = val; + } +#endif +} + +// +// Private methods +// + +/* static */ +inline void SoftwareSerial::tunedDelay(uint16_t delay) { + uint8_t tmp=0; + + asm volatile("sbiw %0, 0x01 \n\t" + "ldi %1, 0xFF \n\t" + "cpi %A0, 0xFF \n\t" + "cpc %B0, %1 \n\t" + "brne .-10 \n\t" + : "+r" (delay), "+a" (tmp) + : "0" (delay) + ); +} + +// This function sets the current object as the "listening" +// one and returns true if it replaces another +bool SoftwareSerial::listen() +{ + if (active_object != this) + { + _buffer_overflow = false; + uint8_t oldSREG = SREG; + cli(); + _receive_buffer_head = _receive_buffer_tail = 0; + active_object = this; + SREG = oldSREG; + return true; + } + + return false; +} + +// +// The receive routine called by the interrupt handler +// +void SoftwareSerial::recv() +{ + +#if GCC_VERSION < 40302 +// Work-around for avr-gcc 4.3.0 OSX version bug +// Preserve the registers that the compiler misses +// (courtesy of Arduino forum user *etracer*) + asm volatile( + "push r18 \n\t" + "push r19 \n\t" + "push r20 \n\t" + "push r21 \n\t" + "push r22 \n\t" + "push r23 \n\t" + "push r26 \n\t" + "push r27 \n\t" + ::); +#endif + + uint8_t d = 0; + + // If RX line is high, then we don't see any start bit + // so interrupt is probably not for us + if (_inverse_logic ? rx_pin_read() : !rx_pin_read()) + { + // Wait approximately 1/2 of a bit width to "center" the sample + tunedDelay(_rx_delay_centering); + DebugPulse(_DEBUG_PIN2, 1); + + // Read each of the 8 bits + for (uint8_t i=0x1; i; i <<= 1) + { + tunedDelay(_rx_delay_intrabit); + DebugPulse(_DEBUG_PIN2, 1); + uint8_t noti = ~i; + if (rx_pin_read()) + d |= i; + else // else clause added to ensure function timing is ~balanced + d &= noti; + } + + // skip the stop bit + tunedDelay(_rx_delay_stopbit); + DebugPulse(_DEBUG_PIN2, 1); + + if (_inverse_logic) + d = ~d; + + // if buffer full, set the overflow flag and return + if ((_receive_buffer_tail + 1) % _SS_MAX_RX_BUFF != _receive_buffer_head) + { + // save new data in buffer: tail points to where byte goes + _receive_buffer[_receive_buffer_tail] = d; // save new byte + _receive_buffer_tail = (_receive_buffer_tail + 1) % _SS_MAX_RX_BUFF; + } + else + { +#if _DEBUG // for scope: pulse pin as overflow indictator + DebugPulse(_DEBUG_PIN1, 1); +#endif + _buffer_overflow = true; + } + } + +#if GCC_VERSION < 40302 +// Work-around for avr-gcc 4.3.0 OSX version bug +// Restore the registers that the compiler misses + asm volatile( + "pop r27 \n\t" + "pop r26 \n\t" + "pop r23 \n\t" + "pop r22 \n\t" + "pop r21 \n\t" + "pop r20 \n\t" + "pop r19 \n\t" + "pop r18 \n\t" + ::); +#endif +} + +void SoftwareSerial::tx_pin_write(uint8_t pin_state) +{ + if (pin_state == LOW) + *_transmitPortRegister &= ~_transmitBitMask; + else + *_transmitPortRegister |= _transmitBitMask; +} + +uint8_t SoftwareSerial::rx_pin_read() +{ + return *_receivePortRegister & _receiveBitMask; +} + +// +// Interrupt handling +// + +/* static */ +inline void SoftwareSerial::handle_interrupt() +{ + if (active_object) + { + active_object->recv(); + } +} + +#if defined(PCINT0_vect) +ISR(PCINT0_vect) +{ + SoftwareSerial::handle_interrupt(); +} +#endif + +#if defined(PCINT1_vect) +ISR(PCINT1_vect) +{ + SoftwareSerial::handle_interrupt(); +} +#endif + +#if defined(PCINT2_vect) +ISR(PCINT2_vect) +{ + SoftwareSerial::handle_interrupt(); +} +#endif + +#if defined(PCINT3_vect) +ISR(PCINT3_vect) +{ + SoftwareSerial::handle_interrupt(); +} +#endif + +// +// Constructor +// +SoftwareSerial::SoftwareSerial(uint8_t receivePin, uint8_t transmitPin, bool inverse_logic /* = false */) : + _rx_delay_centering(0), + _rx_delay_intrabit(0), + _rx_delay_stopbit(0), + _tx_delay(0), + _buffer_overflow(false), + _inverse_logic(inverse_logic) +{ + setTX(transmitPin); + setRX(receivePin); +} + +// +// Destructor +// +SoftwareSerial::~SoftwareSerial() +{ + end(); +} + +void SoftwareSerial::setTX(uint8_t tx) +{ + pinMode(tx, OUTPUT); + digitalWrite(tx, HIGH); + _transmitBitMask = digitalPinToBitMask(tx); + uint8_t port = digitalPinToPort(tx); + _transmitPortRegister = portOutputRegister(port); +} + +void SoftwareSerial::setRX(uint8_t rx) +{ + pinMode(rx, INPUT); + if (!_inverse_logic) + digitalWrite(rx, HIGH); // pullup for normal logic! + _receivePin = rx; + _receiveBitMask = digitalPinToBitMask(rx); + uint8_t port = digitalPinToPort(rx); + _receivePortRegister = portInputRegister(port); +} + +// +// Public methods +// + +void SoftwareSerial::begin(long speed) +{ + _rx_delay_centering = _rx_delay_intrabit = _rx_delay_stopbit = _tx_delay = 0; + + for (unsigned i=0; i +#include + +/****************************************************************************** +* Definitions +******************************************************************************/ + +#define _SS_MAX_RX_BUFF 64 // RX buffer size +#define _SS_VERSION 11 // software version of this library +#ifndef GCC_VERSION +#define GCC_VERSION (__GNUC__ * 10000 + __GNUC_MINOR__ * 100 + __GNUC_PATCHLEVEL__) +#endif + +class SoftwareSerial : public Stream +{ +private: + // per object data + uint8_t _receivePin; + uint8_t _receiveBitMask; + volatile uint8_t *_receivePortRegister; + uint8_t _transmitBitMask; + volatile uint8_t *_transmitPortRegister; + + uint16_t _rx_delay_centering; + uint16_t _rx_delay_intrabit; + uint16_t _rx_delay_stopbit; + uint16_t _tx_delay; + + uint16_t _buffer_overflow:1; + uint16_t _inverse_logic:1; + + // static data + static char _receive_buffer[_SS_MAX_RX_BUFF]; + static volatile uint8_t _receive_buffer_tail; + static volatile uint8_t _receive_buffer_head; + static SoftwareSerial *active_object; + + // private methods + void recv(); + uint8_t rx_pin_read(); + void tx_pin_write(uint8_t pin_state); + void setTX(uint8_t transmitPin); + void setRX(uint8_t receivePin); + + // private static method for timing + static inline void tunedDelay(uint16_t delay); + +public: + // public methods + SoftwareSerial(uint8_t receivePin, uint8_t transmitPin, bool inverse_logic = false); + ~SoftwareSerial(); + void begin(long speed); + bool listen(); + void end(); + bool is_listening() { return this == active_object; } + bool overflow() { bool ret = _buffer_overflow; _buffer_overflow = false; return ret; } + static int library_version() { return _SS_VERSION; } + static void enable_timer0(bool enable); + int peek(); + + virtual void write(uint8_t byte); + virtual int read(); + virtual int available(); + virtual void flush(); + + // public only for easy access by interrupt handlers + static inline void handle_interrupt(); +}; + +// Arduino 0012 workaround +#undef int +#undef char +#undef long +#undef byte +#undef float +#undef abs +#undef round + +#endif diff --git a/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde b/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde new file mode 100755 index 000000000..fe9f89146 --- /dev/null +++ b/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde @@ -0,0 +1,21 @@ +#include + +SoftwareSerial mySerial(2, 3); + +void setup() +{ + Serial.begin(57600); + Serial.println("Goodnight moon!"); + + // set the data rate for the SoftwareSerial port + mySerial.begin(4800); + mySerial.println("Hello, world?"); +} + +void loop() // run over and over +{ + if (mySerial.available()) + Serial.print((char)mySerial.read()); + if (Serial.available()) + mySerial.print((char)Serial.read()); +} diff --git a/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde b/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde new file mode 100755 index 000000000..1db4536de --- /dev/null +++ b/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde @@ -0,0 +1,50 @@ +#include + +SoftwareSerial ss(2, 3); +SoftwareSerial ss2(4, 5); + +/* This sample shows how to correctly process received data + on two different "soft" serial ports. Here we listen on + the first port (ss) until we receive a '?' character. Then + we begin listening on the other soft port. +*/ + +void setup() +{ + // Start the HW serial port + Serial.begin(57600); + + // Start each soft serial port + ss.begin(4800); + ss2.begin(4800); + + // By default, the most recently "begun" port is listening. + // We want to listen on ss, so let's explicitly select it. + ss.listen(); + + // Simply wait for a ? character to come down the pipe + Serial.println("Data from the first port: "); + char c = 0; + do + if (ss.available()) + { + c = (char)ss.read(); + Serial.print(c); + } + while (c != '?'); + + // Now listen on the second port + ss2.listen(); + + Serial.println("Data from the second port: "); +} + +void loop() +{ + if (ss2.available()) + { + char c = (char)ss2.read(); + Serial.print(c); + } +} + diff --git a/libraries/SoftwareSerial/icrmacros.h b/libraries/SoftwareSerial/icrmacros.h new file mode 100755 index 000000000..b29f6ffd5 --- /dev/null +++ b/libraries/SoftwareSerial/icrmacros.h @@ -0,0 +1,69 @@ +/* +icrmacros.h + +A place to put useful ICR (interrupt change register) macros + +If you want to support non-Arduino processors you can extend or replace +this file. + +This library is free software; you can redistribute it and/or +modify it under the terms of the GNU Lesser General Public +License as published by the Free Software Foundation; either +version 2.1 of the License, or (at your option) any later version. + +This library is distributed in the hope that it will be useful, +but WITHOUT ANY WARRANTY; without even the implied warranty of +MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU +Lesser General Public License for more details. + +You should have received a copy of the GNU Lesser General Public +License along with this library; if not, write to the Free Software +Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA + +The latest version of this library can always be found at +http://arduiniana.org. +*/ + +// Abstractions for maximum portability between processors +// These are macros to associate pins to pin change interrupts +#if !defined(digitalPinToPCICR) // Courtesy Paul Stoffregen + +#if defined(__AVR_ATmega168__) || defined(__AVR_ATmega328P__) + +#define digitalPinToPCICR(p) (((p) >= 0 && (p) <= 21) ? (&PCICR) : ((uint8_t *)0)) +#define digitalPinToPCICRbit(p) (((p) <= 7) ? 2 : (((p) <= 13) ? 0 : 1)) +#define digitalPinToPCMSK(p) (((p) <= 7) ? (&PCMSK2) : (((p) <= 13) ? (&PCMSK0) : (((p) <= 21) ? (&PCMSK1) : ((uint8_t *)0)))) +#define digitalPinToPCMSKbit(p) (((p) <= 7) ? (p) : (((p) <= 13) ? ((p) - 8) : ((p) - 14))) + +#elif defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) +// Specifically for the Arduino Mega 2560 (or 1280 on the original Arduino Mega) +// A majority of the pins are NOT PCINTs, SO BE WARNED (i.e. you cannot use them as receive pins) +// Only pins available for RECEIVE (TRANSMIT can be on any pin): +// (I've deliberately left out pin mapping to the Hardware USARTs - seems senseless to me) +// Pins: 10, 11, 12, 13, 50, 51, 52, 53, 62, 63, 64, 65, 66, 67, 68, 69 + +#define digitalPinToPCICR(p) ( (((p) >= 10) && ((p) <= 13)) || \ + (((p) >= 50) && ((p) <= 53)) || \ + (((p) >= 62) && ((p) <= 69)) ? (&PCICR) : ((uint8_t *)0) ) + +#define digitalPinToPCICRbit(p) ( (((p) >= 10) && ((p) <= 13)) || (((p) >= 50) && ((p) <= 53)) ? 0 : \ + ( (((p) >= 62) && ((p) <= 69)) ? 2 : \ + 0 ) ) + +#define digitalPinToPCMSK(p) ( (((p) >= 10) && ((p) <= 13)) || (((p) >= 50) && ((p) <= 53)) ? (&PCMSK0) : \ + ( (((p) >= 62) && ((p) <= 69)) ? (&PCMSK2) : \ + ((uint8_t *)0) ) ) + +#define digitalPinToPCMSKbit(p) ( (((p) >= 10) && ((p) <= 13)) ? ((p) - 6) : \ + ( ((p) == 50) ? 3 : \ + ( ((p) == 51) ? 2 : \ + ( ((p) == 52) ? 1 : \ + ( ((p) ==53) ? 0 : \ + ( (((p) >= 62) && ((p) <= 69)) ? ((p) - 62) : \ + 0 ) ) ) ) ) ) + +#else +#error This processor is not supported by SoftwareSerial +#endif +#endif + diff --git a/libraries/SoftwareSerial/keywords.txt b/libraries/SoftwareSerial/keywords.txt new file mode 100755 index 000000000..2ed9642e5 --- /dev/null +++ b/libraries/SoftwareSerial/keywords.txt @@ -0,0 +1,29 @@ +####################################### +# Syntax Coloring Map for NewSoftSerial +####################################### + +####################################### +# Datatypes (KEYWORD1) +####################################### + +NewSoftSerial KEYWORD1 + +####################################### +# Methods and Functions (KEYWORD2) +####################################### + +begin KEYWORD2 +end KEYWORD2 +read KEYWORD2 +available KEYWORD2 +is_listening KEYWORD2 +overflow KEYWORD2 +library_version KEYWORD2 +enable_timer0 KEYWORD2 +flush KEYWORD2 +listen KEYWORD2 + +####################################### +# Constants (LITERAL1) +####################################### + From 87227a97b450d2a688430b36938ccec5a8419447 Mon Sep 17 00:00:00 2001 From: tigoe Date: Tue, 8 Feb 2011 13:46:31 -0800 Subject: [PATCH 13/25] Fixed typo in Midi communication example Former-commit-id: 40d2c3db0bf8e2ad0251776c7286cdd9acc9a5f6 --- build/shared/examples/4.Communication/MIDI/Midi.pde | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/build/shared/examples/4.Communication/MIDI/Midi.pde b/build/shared/examples/4.Communication/MIDI/Midi.pde index 7a25c852c..3d34a18cc 100644 --- a/build/shared/examples/4.Communication/MIDI/Midi.pde +++ b/build/shared/examples/4.Communication/MIDI/Midi.pde @@ -29,7 +29,7 @@ void setup() { void loop() { // play notes from F#-0 (0x1E) to F#-5 (0x5A): - for (intnote = 0x1E; note < 0x5A; note ++) { + for (int note = 0x1E; note < 0x5A; note ++) { //Note on channel 1 (0x90), some note value (note), middle velocity (0x45): noteOn(0x90, note, 0x45); delay(100); From a9d1883ce1afef7e981b5a626d9c15bed77f0d0b Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 16 Feb 2011 20:21:43 -0500 Subject: [PATCH 14/25] Support for building on 64-bit Linux. Includes changes to the build.xml, 64-bit versions of avrdude and RXTX native libraries, and alternative versions of the RXTX jar and avrdude.conf for those 64-bit versions (because they also have other differences). Former-commit-id: 253ab0cacea161c6f558d6a26fb3ed258057656a --- app/lib/RXTXcomm-2.2.jar | Bin 0 -> 60818 bytes build/build.xml | 27 +- build/linux/dist/lib/librxtxSerial64.so | Bin 0 -> 61280 bytes build/linux/dist/tools/avrdude64 | Bin 0 -> 704076 bytes build/linux/dist/tools/avrdude64.conf | 11914 ++++++++++++++++++++++ 5 files changed, 11938 insertions(+), 3 deletions(-) create mode 100644 app/lib/RXTXcomm-2.2.jar create mode 100755 build/linux/dist/lib/librxtxSerial64.so create mode 100755 build/linux/dist/tools/avrdude64 create mode 100644 build/linux/dist/tools/avrdude64.conf diff --git a/app/lib/RXTXcomm-2.2.jar b/app/lib/RXTXcomm-2.2.jar new file mode 100644 index 0000000000000000000000000000000000000000..37b611dc9100ce01239cfe7e9d693fc68ef39d09 GIT binary patch literal 60818 zcmaI719WB0)-@d4wmY`dLC3aj+v+48+qP{x9ox3;6Fd3)x%a;RbHDfd?%jLTIAfnt zdz@Nz=32GptX1+-pkQb~P=9Xj77;$6f4iW8z<^{#lm+M{WJMW1$AEx9f#jtiq5kRt z@~>|4|M6wCKRy2cmt_QGB}7G(l<8$e?_?&%rKRZTXW^yjsHY~T8x$EASauE_=_O@o zC1hq@%E6#hPq7DZv1!b~QjVyeP02A2G1V|q3Xd>o+>gGUTiiO{I0FA;AajiOPhx+5 z=CAhGKw$ncsPF&F3dld-n%TNASlBWAJ=*`>3FGfhib|}UZ1Q%F&h$ps22M^k%34bE z{AhfzL5`4Z;o&6iRHe$X8UE%OjaXDN6!4*)jIcUs<{8fMGD$gy2`qfipzjLNW-U@s z*sr$_Z&O^`tGpen-CsUmz`nG~ueqp?bMlh?e13eqX2-X|I=mXB{BR%v>BkV6?eDQm zLQ7%abZ#nL@|=g0;PVRi$BN}Wq3+cjlMR0HQDq$Z#{wlJ8lSbxkEkf_*2-fj4ktC{ zG&}|_Na*uREK15I=u+L6ubGOlYYzp|khnUcYY{Ul(x&CGHdt$!O3(-Q2y540ln1AY zru7`aw|nSQfQXsCjL>433@*q3Ys__Bwjyj3&!lN^lEuz-;*c_GJ8G*U;Fe6ZoLb-~ z&H6f2w70jZ-gxQe@!5cZ&0&N7%CD{g>_@V-1q{bSSg-t=a?cKCi*O|GifS%alD$Jf zT&o=GGR=4(5mF1$Yt%wN-*V$Iy88Lx-IlK``iRZjO}umn)^0P(KHN%5o5GsJh4IaQ zKh`+CSiUBl(^1FYL|n!60b-)+&|9>7H*H3~Ds-f}zV@8a7G#J+6Z8cnSs+E`t8U zzOvClkw?L=NOb(Vlst1rDLsn_gdSR+0R{4s;1p?z^kwtLq)0`C4I*oH1w%Gu){Kdg zJzJ5K$evEXRPaWbna%Wi$<^RtVgMx#8!SH2?|v;tc&d{=xehI_&REec)cvlJH^WZC z7yd{@62kBsg9B0~`gbuSFSr-?NI9aM$Q!DI7SxA%=r3;Q?vAkMF5wSpk}se9?EtH{ zG=Tvp0TYC;Ng|Y+Q2I}hTczKAcYEMTd-T5zV|JK6f01Of4wRG{>kIt0i-5_Y@~Q@a z(|)6_r@lo={#M;X6IKDUocO!iUTC+tZy9Dgx1on_CECS3Vhq7nTzHFW_zA`d<_?A} zsJ+j>FTYPGh!8Blg*fE#=Eq+l;n!XvJTWK`P!_~LJ@KFM}cU? z;`mQ5lZg_5>0?9_KDM%H(kV@ebu~hmDI$Ra5AuIg7ZkTZg|fgTm<)mh$Q$~HP962X z^=BNPe**Qyep4qChfYJYHGBQEt|Ya-Ek7hR!(WbHoT8>1H&T$`Wi(l7QA1HIN>b`n z;t)tZ8XPQGgKI{HT=Zy!+w4*)s9o15tNbF%fi!r)<<`-fZB6A*B{D|wU?S<+#x|6L zlGsV@2L8*!>5bC$tUu!)`qTb%7(x2mLJ4M}f0`Dh2JNoAh|b56#+Wb~8yHB7gwjXe zUmK#rP7KQ!3q%eqVgJ)ux3A}5lJgEFv?|b1gF&{I1$xZy)R+LA z{+hIR_$S@tEnK*6ljp3AwNn|2k1ZLGdkoml%R;w}L_&(s9)MV|8}^pbGirYh_LkW* zY{d)B)8|kZA{L+XoR}?+*e|!aVfxpQjPjG5i7(9lZl}{9_j)NrEl4D=cyW_E_F;Io zV*E+=?PaR1SdQ2ma2{|3!EtaeaNux3a0R(4Xj~$785naJ^h`Kalm?V0luqG}9CWBg z>TfGN3dBQPB1IXhhIjceFeh|N%-MPA1|a>y!4hx@*u{*QgN#YNM9}QGS!7u>ilVPPb6*RLlml038Du&NJ%7FOP~Cfv0<*S5FnLT@*q1@ z+B~bHbp~Ang;H}U7MC8KUCR}$S!_obHD>C|^3)dZhxDb@*p8GnBiGQP&m{U8XfD8(|I;6g=9QTVo&ziJNE zT=l+{=G{TJ3){R{b3*;tf6X|s1B#|vbl|)%od7;0z3>;FZkxV>T6&$A^bXo-C<}O$ zD%+z$!&+Wn`ywN>uKzhmuljc%4aVdN%h-9)Is3w&&=@iprI-6QNj9+^a*@pl6lNw~ z0}EDgsh$*r!?=~EILuXHjRd=F8`dlv+Tq*fWsF8~;?EMOiB8Ww*n85o>*63a3%0q)$t5mGLg_%T{p^GhEG0stY z7=~di1!Q^SHoYELs_;z2a5v|1_mwc%rP6$uD9%|G7n^1RcVj@gQc{Ls_++6(K`feg zl~>?r<{ZIvS+cGbun8B?+S23wxPe$kBj5{ka6M(z8XBxQt)$9tu$Vh{$+M4C$|K!s z{~nO8;Cq)CjlhPH!Q(cS$pt7~^p-Mtchq`i^$sbAE>BKjFqF#dDp9u%{V&v-T7# znMH862ky!UhV9CHVF<+(h)rKG&g+c)#3!Wo^Mt$|`Hh{SEb8dt1Q~Xfxu)*Glx_(% zY{#qAuif75X($v&MSUW1xT}k}QY}N#`iTG$e}{KV!i{T?#9EN{=qYw7LK{XIHwK}E zbDcD~_5$BIBZN=vO+g{VWFq}IFQAf2k|{==I@x2uF&G{0n6c7WeNcW}epr5brl&R? zlfG#i&~KOaPGFOQu~nrqep@#{x)j<3=M$q&vE-B{U4^ugZGJBwNbe9%93aV{WoCQ5&?&6I=yn19u6xjx_~2 zqjqXV@cO-{R2g`J|92Jd4-e~(=p46LZ-3>9Q9 z8!ryWO4zazxvWmKa0uuBctA$-$g4#$hqH~Lp5;pqm|k%8lEzfTSmwOf&IFi8wEB-> z1fkeqEK9ClF|Os#Ua_v}h~hG@*%mBDvRgM&0@sUJ#8=V3MD-TEBzA$aj;WpC9%~XYdIhg0dFt(R)zYgQEkj*BN8tq4b%3@TChc&#<;uXWRwfj%p5%v6vV}(j>p7K;P=qf z*_WH==``ZHqwCnTTJj$5g20B zG4D8;?7=+|6>3C|9uwtWQ)3cG520$Y7$Q=6Y)FxO-It90*oT8xIRM)N-U7D24otbq zk8|eWaY5v9VJPIwSPDhyK!yb7kRT1#8Ijt6ljX5RH9#wAeFIZzLXRTMKTBYTf1=>} z!U&}OeSt+4qStNH7to`1$}VJGX`rLpyxBUok_i$|ewVY8SE zwGKX+V0WCL5E?pT!||sO;DE^qKeOUNmr~vg2LM zP>hvNs&S9Rg+$9%}PgE8{#1 zbDp2Hg`{;t>c!eRpjzpPhfc1W%YjX?joZR-4OTS}t(tW&Lzs*19h?Q;8~ZRr@!+TL_9SIDu}C)PFY1E#A+(pxQqpNG zmHoyv_6O0m#JkJ7(G*_cV-muQ8>`)rE3EYZIql%ew# z%ak1i>dX)+5Gb{as+EI2W2nn}2KRVdeXEYDD*3I53e_JHdo7(lzZohrB7A&*GV!(G zkRlwt6vtHHku0Z8d?MQ}Sg*4&2~V-4y@GtKaIWu14X4bj%|@?TbQ`@NCFab?%~vKT zd?$8k!enAQ|I$keYw}5U6&!2IN(G>N@N|(jObz@_nYWe699>YG$V{U$0nAXYc||tk z72MSm7FC6*AB?BCp^hy?Znr{E40;lbO2uoNL){h9=cK3vPZlqbq*@4??G_ zUC>qs2LyD9|KI4&UmDN9q?JE(=ifDxbwyMGq)TEyekja8qDj6xbg8gBJxust?XtKul1P=GFU7X8_VQD0Mig*M1FLL2M|R3_*#&B)U8}6fAl( zn&5|*M&smLK@e%h__A|B;;zDXh2La_zq6fVt1e)vM2U(DEx9TrYB)Vr=o)Bos|^KX zLbL7-t-yw0MeY(zlPkkD5+jHi@sp`lRr;k1g!PYbHhCIJ{EQl$tubKg4IkTmX|Y%8 z@H4JaLW@$Op$6Dmrl2CxZ9->bsBPFEmfm5n_E3G|9;47=G5I^zG36Cg2e3H^aAb&ZLMtHx_uMacFc}& z;JIh9YPR>DuHJolt}4>Z)%&5}R(NwCMv06kMmEnH#DyC1Y!{g>3d1<;pTRqT{5{;sdl6tQ`Z_^-t*|_icHXd{uN@cvh zVCziI?NOEiYzNM>Z5_r0r&sPct3#f$XVpw25GI ztZAG}pg z|Nd+M;7BFllrb3{uR4~u4_SpFSxN728sVY5QGWG6QcIc10^EX&J??^7>g2#>(4B#< z=gWt*y$zolz^d%fBN0I|`V{yPj z%op))TIfT+_lsuFjT@yKwJay*U_0u*!_DN!U8p;CDNoO@yHH`C?D1~j_e8txe0Q#* z){vTIA@{&9!iW;M8)#|^_4wN4*Nym_f8QLOb#-V>%{$^K^j3^LFs}z2D%0UyV-q+AhYYSLT}H6e@P0~sjE{Y ze?*g{|0j{XouK76dj{ivcX`E_TL74bI0?^bE{wsX{Z`!`4yoQ zYnN-W`$n!VzH>(mG*hn$t*;6Fv_OwpD>g6BClgkfC0JW~`+Z%`TUAxn)wyM7`j~DV z&NKb_^<&%X%dPY4se3zcShw|B07%E3DR^i8Q!*drMH~C9k?qO>Qq9JW6?$1pgEk z)=!Q~_ziNs>$uzGHwMVQ++%lkx(~?4=OQAOZ*t=Om~aPPs|abpKK(+eU~`G50|;+2E=0UVCbU%A8=vhBt{>u}hWx+S;)+QFTT!_VGB2+2Jy_j`v3; z|Eg#QFE-8bNwQOQ_82a4n3ljKf~UMEdLXlhSa1Aq1Db6U)Am;gb_8Q(0Hd^L0QAG{zENtdh$BD+>*Dx+=`WBXi{HGy5zoriO@lzJ3=w4}bT52qMEVOg< z@2t2|W|bhq_`TxPM1}Qh<7P^7X_!or@?NKHFlSSYRNP7eR-M;g-Yr{6b5*;rvRa*=-?TViE+-6d5)_@(;g#iYIdj5ZR;lz zCXUYP8TUsR{V0?iI1&L2%d4L0`W`n(&r8HCYL^dqENWDm=bCxPs)7nHmXo0@gVXwX zuOJBFxACBQ%Fc`x2f3xZ9dqtwxyN7=DO;>5X;c!&NgEh}BEvFV-2_VqZWlx=w!j?l zYRK%zFea+R_&!{7F3kcK7lP}~a02xHIrX#~!+)f1GhpGp@16k5G8h)2LyoiOalY7+bP4Xg6B|;n+K!5!6 zEVQ7YO>>m9X$$fSZ~qwQQFNToIK!d6R|X{q+5iEWv{{J7OJv^JXkpwqo$l6a>rxs>?2#R(1bt)h@}3LM)SxN&@Nc*!^u-*D zlfhN8kpk43V5gZ9gRbWlBB5?LyMYYWcf9VITGV3yRy(tXq;a!`Mq{`KW+&pp&@s8dxFZI1t7k&_?xl4!+x0pC*q+4?_syk zbs817L$IVhw6iHvwrQuSzI|CK<9w2uzpDYMYbz9~0M7B4uy(!xc-KNEr?M6Aos?FO z1ZHx?D)0<34zPXp>2Q} zP4l+H@3*ronaQ3iaT z0309SnnNY{r5iE6qjm|(r7VfutB!~2PEqrPthbLevV*C@Z!fs~3Wo%3dW*(1W7|DV zQH*LmV*|tsWC)~;*8rMGBO01OeQTcy;z@Y)I`0EiQuL zQUO8(=3{A2^I<5AJ%q9AL1yb=D)BvMls9(EptfTklQ<+kH4*4vc0*d6lGd=CG?`YY zkP1K5W@WNyI(_%G^3)WfAXM(~TIQdW7gyBzA4sBw7`^OYxyHANoltC0%~zOVTt1*t zQ#DwU%}I&!nB1iB8*J~RoQe8w49DmT?omox(co94Sn0)RwlpS`?%e>iouSDyQR?BF zQ5rHbsOIzn5!wX8bc4 z5jpm;HU#N#xd<;r0yRn05DOfv=HG?PxluSXXJOtm4YlvIc|Q@r*e{M#&Aw-^d426z z3HB;=N9|u3`bpQgaSy{{*Os*S?@IQ_*u1$@s3V`1x~VKE;=$W~;OXD;P;LmFW*e^H z^JTjD@n$m!PMj-+dWR9t!wJ@036b%H0}=u5K(srSF4Yh!6r8`SQb$L9$R}-fwYrqg zzM;3B_bOiv!}0K6dBo1Os7Vw##vETj4ZA5vRq$ag3*j-)n|f#3H47+XYd$m*n$GI> zX&1UP6yc^ly-ugROs8}>rK&Nix#Dk$jCR~)`yRk>R5^|&*#ZvZpJd}YW7Mj(L9`DO zE+NBTXrGjF2EEn@iRUuOYxQY)F!)~_wlXNx59Mw@y^#oSn`vaE)j!3|1h2N6s*|F{ zMn)TNDJ}brY+Cw1TINi=uVrcPmM`;IHqBDAK4w7b83QCg}6>ojk~ zaC;!j-a6?B@d0I%u<%83xd(sjYGe+|tq}N_A^>QdNV7?urxWsY2XqPc(2a{Kl8{7` zG%wT)IY*+KkqCzt`2yfxyJ)Y16nV@YGFLDyP)ZBfPih+^z09w%3P=Byte1X=5{~6I-7wqmGdy%nYRGInj|x`4!kJ&` z`)nocfcBw!`WqA<&H>8qof?uG(;|DAnk}?8|2MzVdbox})YdPf#itz2wO?zAA37V` zlON-03&Rno10Q1x2SlR`#`p7}bRt~n4`UXEw{kn0gn-+MO0b&4@7l+zMv3ow_6-Z( z{mqMwjwlu64x+KTQ{<}0_ELnr+Skcf@a|1N`H8o(7u}PreAsp&zg1`mx-&h;b|H0bG{xCN=7iW7HXC-Gx69b!n?Rv*g+RpPM4S$_;I_%Ll#wz9# z%4?>yhY4@!3gCtE*DKEoA*Ez%H?T%1meenMb%KKU@dI$giAWHsYY)>l zSHGrN8alea-oJy-h|UKEoUZ&R1~&x2!4a0azl_} zZi`ulZ5yedV+bb|tW~shiu6eP1?+O;5UhuFqlMPc_U^Bz@qzdWUH!}xq}RI#^<*xJ zpEvf5bg1zNi+A&YXSGWmMW@|gB`B1VYWsl$!2AmxoYV+%Gr&MVxPLguf8N6U1D*fj z^nY#A{u|*?(vm|J_)`dBgK3$EMhT9FUPDLu-~-yrPgKUfpgu6rV#7@<l;J|kMTHIoL4jGV0}B;-WZZOqx;gi;R` zBTaT>{*i@wr!5!V%8e|s_74_oYhFEbL~yroUO$yAMx4`H)eydQq3bB$%7D)Em{sSH zg&*dma~5w{!R7mHF3tUm8~P{KZ$gTUW{>B?srxT8&C!`y*Cr+b*vy#$Dff>fQiFWDiCk`(w=*uV}%j)fr zemTLK>=B!;l?Br9UDV%~+LqwHA+(3E>VwP~W|U$D|Aq65X@xcxn+(-D+SHpH653=M z;}YQo;YYzgTASUyJdF+Y)9P&OSsutqU%7u4^JM&_Bp z8niEz(>?CW!LI_JKF{&)lJ&2DR0t)JlHt|xQb~mp1>|fzJM+zOCbd~?9ZCyszk*_Z1FQoj zLw6yc4;U1u(dJ;x6Q;QCE;H}Ek8k&vdx?P%V0e_tK-zTjTg~ z_StO>1qrL8;Oa5R>7+FPSnbUpnw|l9-K~dqZ`oh69yED+$9HgHbC6~1Z7Ar$t!ros zp6SFIm1DsaAPj^f@Cr78uO?ms;(5tfLz@jbauidQ%&9N>SuFSHK?l>g56p;qFNf)( z@8KwZUZed{M3r|g!Ava)zIIM!o!aN4ESD|ut3|oUs?Xsw(4Ol9O5Z1XiHh;zh)#1c zy)jJjCJd+g@FCqCru)1h5dkxOq>y*&wY4Yu&@uQt0#kkU0X&Y%_ow-DPH_76Fp9T~ zdUpk(VhjDrGwBQ!TM@SyHI%^%KbkN_3OPlXv8t=}55CY9m#Edm`1wkgy(M_D9a#nu z)FgrgRgdKWga)krr3%r}9|kRe=~_Po)!1;grs}H}AFLbyBSP0{2oo61<+5VN0csPJ zr*@Xwck-G}D#+_~+FtVw|nXneukRZUG_eM@Xp;i4~>H8op$<0 z3=6~yO4pAk$fWAXIA4FE!-VTJUh>Ze z&nlM6F58S@M{2TMm5J&^9FwN?IA&U;GuFazd6jZSkupuTGDBsXqCI7oA{1qlCK4(n zyS5TbCw5jMg0&pX)Y@sAX^w8M!sy?QJi|YbM$prZ>`XaP3#{bu$8F?_KYffCDIdZ6 zl18bVmeZrn2&ecWFUl;Uw7-|tT`ngNHVVdx>E*R;L~iZ$X+0)f$ePZhc3h3%;EsPN zmvyn6Z%7*8GANGh+rnruZzK#)d@ko{6350_MW$W120LmNu)GPs1{J3}(AimQr@jsH zS&2RW@MCohB}UKGb7xa%~#DXh_#dbiUutIk}4SU8h*wKqET!bFC!h=ODfA7FT2KeacSM` z{%dh(sv9AE`m?w@{#O7I{tckNpd(?c;$$M?Ze(KbY++~n&wytlCnMF*kK{ei8YN>? zQAI-VvjV#H2mg&CT4286*)Us_lzLf5J^9)8tvn3DU*K_I59LiX3E{BY>P&n2e0c{U zszvP+tBE3S##=*}L1ZKn_AsehI6TZqPM*o(F|A2UHETz*ut=hhhN?0uQt=R6!LLd| zw1u9m^N0=H61MPA;GHbYGHu{u*&0deUr=UGF&q0sK8KQzUynqxe;?nrDT@+*pNGOV z7c(cerzE++Y)2Ujb>TIr)!fe+jE%W_QQsS8kHmRjO}dL!=xa0j?obalI0_OKqq()a z5;T014zGwImv;E=6DOqU+s?3*dqr}sYsY@s(}eZXUHd;~bKdDUJMf>`bokT$bE@=j zMWaG?|5`Wxr{?RYJK7@pmrVSmbTD+_A{31>B#kqwxW53E4*xfc*hHC7YhU`tGvg<6 z?)EedH#4DpRpdHL8tO-jK}iLvOEtn=>q@PvYc;~=#ihBBCb`RbzV1)6w~mGk$kx}k z?UpBpskUyX>HX}V$3ZOwK4|>7p9Q4R$nfhfk%rR!-*D)Y`o~$l1p5zhs!I!c5YW}m zQvI^(+a&wx;nl`>DcH1?#7?c)v`hAto*To@U04lUw4DQ@!CMvBIZjssGQRDz)W1}Q zPg^{9CcgMbn>^=-Ymu#`6kgcEw@B{k;>)a*?XpunnxMB>tx!mGd|Oguy;!yn$=oj6 zqsNyzTuKM1phF()Wl{ew-iOoeA@Rg+7x@LoQ!oAWo1w0SgE>AF{1u|kQQ z*%kw%ua~;&s7!Q2-^j>B0`@z>GYi-mhSCMaZl736ard^+P zkwnrd34~Y3ZJBHX8nuNKHe5nBObk}sLJMdU8l)u2$k0D$FeKVoOLD(&G-?RQlw=&# zYbdNEcfITa$6dBS%oQ$ZjTYJohj3Fzoz9bG@*y+Z2ojJj(OYc;&UPr0y35no+b89UV15*DWQlr*Tm&Q_sQ|>MLnS zYbjmT%HL%A9p8X_V@Xc2oD7RhYU1ILnieHH=01Q!Iir-t4YSbX6JaH?2d?5=3XFc= z$t7C$v)So*CiqBc#=hfXEm(BbYADz;w~{utp}Blp?s>Y&_n&cgB~&S#Hx%4~d?sFW zQ3{mO5W-D{#}{JG9AXGa9R2J4wB z8tWO`$94yEj$>E`$l2}$MrdXV@XzPj62JykR@JeQrvR3hJKuYYE#O zzFReMaQ<@Ccr$Xuvw0+qipK1FwHX4`ZmPs?SRh4wRr^*BiiW{jyajpcMsv>AQEhEz z<|#t5$f+I+X_jGo^l1?#y&qi;0q(emVe2Am2QQ)62w8o-QSotlb3!9(GR4Ft6?Yu9 zkh(IbVbSFQnfg@7&K88utz^ezkW6pEK25%PpQE`bIVm3XxfCo7#YCVpxPUPBx|GHi zH%)D3VH&Chj`5KD4ji0eC~~&*#aboXgfcsY(rV>}3}|<+U7CItuII^Tb0L-m3xNAQ zd)O4EqJNTHgxxZ#&11TU5b)|O;uDlM0wlpnVMReX{)2(=^Kxt(Y zCo7rSt+FQHanNb8bNvjNw*(u16`o=^ zdxjQGQP124omSrX=nVQb&<*#lmA+(8n^9u<|Y>KDye{{R9mXpTdAC-ri|nj zBW3mBB}1)#o1K^xj)Q=Dvm=h#paS?A;959 zzH?{(urjp@lb;F?Hfl<0#W%|CG_~xLS^tiea;c$JjS$%4GV+r+R=k62%BsO|B`Gcw zHQRN$y&rqoK=^~kShw{}hqmUZsaSuJyZs%jQ&L!$8(LN!;&s!l71JYS`OrKe!!4dw z65j3Zc-xNaG=yop?XEaYKL0@-f#IgoPLxPnlc@#72V44^`owSH-@u$_l54uBXVuVl zNf$?B^ySub&`As8i9zVLXD74YCbzGxzq`r^s?JeHblM-Bem7Y|7=S`=!lz?uvxlW= z>-gBjAfQw5l%F}7be$@mWPE|M+M6#;{3v-XTw`3cO*-!DXd9alL3=S^IuHu^w3_eJ1@?QKSR zAo7_r@*DU*jca$B07>lRccOTKQHq{$B>Sl1C zlQ>_MVHu}sb)}B5B8;g-!HPluiq4!KRAx>P%!&$F)KbW@wAmLGHnl}c3E}*Px$Ks#EZ$TvoYafIGo~QI{MjS5-<201cToD?ekmfso*u)1lqzE;W-@U- z9B=b#_Aq5GO>KUXW45Vfwg_=PP0ryHq)7Kj#Ssh>b+fl(1h6!QlCdl`y1F7pck7)| zuFJdKa%*fc`~GM)(<9)a=-XBi?%JtJ8dSXzqr%rkt})>6zKVRW`SA`SoA+1?X!kV48!}US0Q)8w!Ub;}_E{g9W^dp_ zzOY$ih*fc5j5DZDQ|RXe+8tYi;}YRJMF$P_K9NMo$(fX2b|-X|8O$N_x(Kn z0QP7^u`@vz5$C}St)aSRUnfD$(rU7LA_8qOGg0SwX9>$vZ?Mfc?8R|-7Y}cvF2ab1 zJnSe@3(_h1*vh2%$UQ0Vvy@@K8j| zi-i8ySYo9%4rRTw##rLzx|$SkDZmC&R(l-ikAotE?g>YP@D(!erZ!(XI-Xd%^dm7teeCdOZI>Hc6Pdv975w;S#wB3(ro9%&bQk5EZ5GvW#i zLVJC{p7Z+>ozl^%F>nq4KBWK9e9ECVeH?{0J@gFCSfYEC98U%$j!)42JNxtpXvvHi zjr^-i(wlkPw({90;$QjkVOE()G&B%U(4P|h|D4g0{GA{FYqOn9#?IEl+0Ief{Es+l z{LkzzC}I6CaWweL0YO%qgNhYT>%<%wwq$-@!L<3~)7iMa&Q!R9)-7UMml&6|Xz7lQH`nDA^;qsTFnq3mZA!An|A*9s@*5#}0g`==<-spGD2-jL(HtxA~ zZ$cG)z`ehUn(Da=7^79BS6W+(eX4(TtY%F9B)c;mHR?P1b+WbXE(T>cUD#ZsD@w99 zT^d5MOLngid~75jjm}2MhoQsj#rh3ep0rZ8IWk6ds8KAB&-RO6WeU}6$ui%0j_oK> zo-<;>+}GuIABvt6LbUkK2r~LbO*jMqZA9syCH&h|js1OK2i{}T4vV9JRk+um6IKJ9 zgsrmDZ#}+2YiU1L*FUTe&=!q(9l9B_7tHJ$fzH%Z5$MV zY>oDS1WdjC5LXCiokB~oKhFW0gtRsK2D;>f548h9({*Yxa)xJIO&c;8+7*F&!TWi1 zTjPxX{15#+0>OoB@lVQc|6fVe|5Gkv{U`LYBf9!%)RywxrxU;}a@li#3u9)Fn++Gh~c@CKhVuO|TqEIzhe@7tf2v9=p=B ztm==o4D_CoH-{db9xkAdp?|mKPufr(@!_-qGoU^2HJG>2c|+a4D;e8IrB8CRfGYXU zUU1>c8SVKlee(F;GLdcT6gyZR07naJg|MVReAf-Hf{4|#!&-EpKnmDT9fnK#Wg_?b z8MTKjG`F}WA_U{b1z2lPzxkuAZ^MY}uv_6<&k`2rm83L6_i>#hv6GgU7MU9n4k~|5I&D{44_Ltx0 z!gyTC{P{}`|0@$C``d5-moOr2;pA*$`#;BwxF776`5+)5ydi8|ArM?4WL+WXMIo;C z7V;+s@RsWrI#EO+EZ}qQI~6}Bygu}6?fHEapw-7TSt8^7XgIqYDY+KuSSXU>1R!LB z+p2&hQm&sA*0>;j3H~GD`*6|U4A;}}q`0Q`I5YO4@y0o)b~#h_YdEL&-Sh2t$f6GsGNn1;XM(Qsu?I8Y5!M=#=8aV|Ml?zcu7@rrQ_Lqtd6r8sH#)`c0d3@72KIcQJb zEaS=Tx>FCc;ql@vJKj55@0#0d57#9b;ffIsKj3lL-#b|!W9?uatn@mN4kHaS4nqx7 z4$I(iI9(jH2JKtmwK(!*?PKFjAGl)-H*oza$Kk-6K5UKJ_rRMzb_X8bcI=GZ7r|pV za)%z4;?fNOVtb=EF z)S9tR5Zz+mnY3?%*TK0pb%TiaHFARx?dI4SvA>CTz1y0y--UOLD$%;_hse2$95(}* zRjapi1tzH;gZlG^I2zGugChJ4IXWg>_{we1=(!b0juRk}w+kID=kT5Yn&Y|y49ORu zZ^g7Es)cO-tuHiOp9t>;jAWemvoufsB>-*3_!&7Kr1wyi@&XpZvLG(nJdl%h{|*P% zi?|;bI=GqWMCF_}g9qG4F1M)%ANmEP$_eSB*FLIblgO}|VJBijNWQ+9K}?>;pKd^= z{1!Yi-TY0HbYVy1oFbZlS<`zr6j>7o$`)Y(TqurF!c0Y$0#(V&ptlqj``jzbxVQ$K znC`q}9Y%wIsV~m6Ux=h21Uc^YUX`?7zZADjV?M-*jB6+CnH@VKDij?mzVL7^+@}DJ znVrUJk&zs}UudS&CfF^2`{XImYd43*`NcPPpoR4GV)qd{_!}Fi$h~*tD{HtzcuCRV zrh&WnxtFN)`pF805QXACs;(Rnl37Gz?3Qr2fJkkZjy-ZQ!a2W+6_Mr+EXKfru*L!| zzT;r+DQTBi^|Bp$Hx)Ez z5itFX2fcfT^9lY{-rE7l21T@d2KimOEA?C-T(eVw^BaQD++Eyv`34>yaeteY6V8r^ zll={Zu6NP^etUovtvnBRGNxL~ERfY5-+Wc;xjFc{2eOeiTRnptr_{v?fcTuc(rfSI zI~5o#7Q}Qt9}K|mEa+Va@j}oeio5^_uif^GhEN>jl^23)k{8Ahw;pWGhECgEZX#{y zgHcux@9uQq{0_OcdiJy56ME;)vLw#2a~8eT@*=J`KKKr^&p&BYC^!}!YG>j*#eT}h zb9q2sM7NDOf#@Ro{f=9(7l9P*2HODnvZjpo6~62|r#}Wjh~pFawE#eUPXYb02w-{N z@Y6GYE@Hf(d2b2UgB25ffOIe@Sw0CJA!Re&$+jgGMz>nbu$_c6Y=;P6j+64~M$V9G zI)bQUdsZ&&Y3q|VHysp|lohdYQAi7mpyTQ9`-YKiv8xC>T@SGCgE5GEvs3|9~lTb?^qDxKef}ql|&XS;NI3FZ?LIoIBWR#5e@2|+fmEVE|rqNjz^5>|+$j7DY&wTE#i z-;`ml6Vz$@za|PcN8Z+wfjSadgejj>bRrb_8)KKtQkF^>6L`wS24C}(^6My z=!{oEGW85WGA8i1{I&hbN*A+u;d+kr?uA?!T88XRWoJPJKJPQ}OZhGRy4C(r30+0) z$Ycpw$8P1w!FOeh@NUxl-XFWDn#SJe17>6Il=IhGxG9({ z7NFW!U(ATF1k2Sra+l~q9{d!Hk*PmnAF%gq?*au0+GoEvhtii=mS%G$_17A67>;YI z5Z7+v!nyPQn2&{9-)alKr%a*~B_dfPs%MN_`_{{XyL#IHz^U@XLE4qcwHw(ys&TY9 z#dbW<-q}XI8YxQdur`=X)ISRUo~sHF2+vgxV;dbM8mdZ*Rf>U)l6ACFIc8uTS5>vS zg7xs+FN8x&qb}GZpvPa(;JP{8sBc`gDdY-vay0oNBEXuF%awnJ&`w7o;%4pwnK-uE zfASJ^n)W!JtT^(gYGU_He?Ey?uq9qj=+X0;hVXQeIVTc-JOx9iBbuNTv#9dM4M;EYg72H>>g8!aODh8q={*949 z_=9J_s27maWUMT?cY|V^Q zQf~#TkIhIby|{#u6a9@rSb{{bE<9Mw3}pZ#O0&rm&=!r}Z#(2hsiV;mVJlf_aso7z zd00V1%PnY%P^)AzmuGG-;Il zMiLIA41dFutztv9?K-%41|=u-lyc*H5ahwerJ}2-^(4eFuo_@UYYIz>NZ$;7xC?&? zdzJ%~|BtbE4703D(!VQh>!fYlwr!i0th6d^tJ1b@+pMg#Z5wYsJ=4=Y|DNva{cz5; zKJOiCMeKEfI+U!fik3WsM3*e1RAAzTp)ly2Vt1Qk=JhRX-#sQ_LpUoPTs>|(Q^3s8J#CPNg|g>!+1-?Ji%lw_L;7IW<)V(TkkSlm?@Nl7PV7b z%T67&5swjfpbiwBQOp`)GGG}&0D1IibUn7ur8m$!hyAgK!l>9qIBh0t5iWlmxxHt5 z$23ex2cKA-T+)MPHu2L&-AD|frH$Zs0fmhmkw{I`atXnhsV3^Z_$lfbn*tb>im%I2 zK%4|Ss_HJu0wIjJAW%`Cj7-2Y2bPsRO~rr%Vjpa%5m`n^f&qqHkao>Cyj~)XpMzIK z$vvkHAGC9~cv%wdZ`3|4D-;`%_L5C}RTy*lB=g6yk-msZzgv%+cvVJ@d1Grq;=!_N zKw5fGnh7=E^tuNVexkI3BhP?PE=esm36i~xtlY_O-_ zysE3Ytw*h>XcOqhuB)S4Ku>CywoM>gmW)-M9|YwdCA93qf7T;)aPE$iOnNkb{n&uS z>`=+&pv;xZH6EQi0|BG2!cR0v9jL#&H=3J5>Q8@|8wgD=b}qWB5Xc7TsRM>hU;4Jr z8rlNQp$odt_LyzE^{P$yHdr15c;wR9KDEYX??0yWhL|jT# zQwZH=Jae6S+sd`n+i;_VSmo3$t{e`1a+hl=gJo7cW=lKh$fJqb}Y+f+1Zq zx(VXZ-dsnJ8=zv)R0V}%Xc*ttJ3I{>_0r0k25wqHl@i59wPf6x>{i(eOS}>9@HlkaX8+^0z`HCohZKls{a8Ml~cLcdld>g`ci>$(~Cq zS3|6u$}8Vaw0BpRUoxj;J^-juL$w1{7WU$R74LqxPXF|%zLP@@NxyT9er*$bZIgJdBNuy04M}z+3!3S{+$-WuDk!TjY9H8@ zwk7fY2Q=YM8ItU@7+Gfa58{F|La*YBmVaqmib2|jkbQ$KB2IoaF^8HR>CxOOWc0i@ z==}XX=$}ov9^Fwhwc5Y1y%`rwoC%2Ot(ND>1`h3OpzTx352{uRr#Rfrcki z0wI5{Uv{p4GMUIlhUz3SJS|@QS2$N-9<9)Qeu0^53k2aMCDJm{uDlJ-J=OWl7 z_23g4%(8l8>pWkV@_iUNA%Xk8kd_5rOBJE>*q!ZWAQ)S@d>p(j_Nr$?bDN2DNX5!9+g#TF^C zZ7a+mYZKI}B*hjvF~j!AK6p)rKjZ$bg6LnyP6L-Q|5i!#2|7&%_HqAZS{Sn!nqS%` zy=zo32W*-Qx^)J&DPXq{nhd@qzj8MP?freod&6FI8BmUgnH? z8Yjq)jlS-g_|&aubn1g103rQ?5H)BMHSiEI@Gu^y!*j&{-grkB4po6~FJzp~hnil2 zr;t!P8e*IfB&;$Fnmhm+kGjk*r8PP=nV;^X|0XPf;YQt?BG|8PNY@2_dj&@^++PE` z8A!$htmlP2Mc_q;7bJb9+cp=|xBkR;Hi)q0^M;sZ9(F}EzlFvI3|~vaF%-XToD8gA z%XkHne-Y5i5N8jK9a2hzJ6r?Bkt#cIOoQUIOhRL#TpKDotdtz(Y=c*2MqVp&U`V{I zmE6~H#$i^Yvp59(D+aCp-Ujcso?>-^>)4liwU3U#EmU^^zCL0Z$pz{)RClP$D5c(R z3GK|&r7*s)N-^4)J8^gUevHK>fGOZyg=)#x6lpbIrA`|Sp_TnIwv6 zkuFtzqs`LcC4>|5Gx2-o#bTdQl2U#uI&FWZjUr0SCK6MBTHVnGSyi&tBI@B)$0W+8 z)-jet(H5$| zwz+57j^2(88$>mgQ~6`x`19W*uiKXI0`xrdFw=DBLHz2@K*hFa&t+#g?q$WX7fb3d z^m|%O7F{1Jm&I$zc$0Te<2kMaJs4uNok>_nnN7iFoh+MT$g~W8Q$r-7u@ht^{_~cD z%}isP?|*ZUk&(hVoFIMs21)RrT1FKAyJhs3@Pp*Px@7;V`)yQvwL?`!{V3vn%^J(! zuN5jx%v-g!j8Gj&GWQ!>{9ugH+mt zN_kASD4qu;Wi%rqdq=MS8F0NOt=)t$sJ}M3cA4cg&HFgvI@Q{)|KaQeD?pmoNzr44 zaC2RP5kt7OovQ+CY6JWGC=XJg45q?ZY`gxN^_4vAK=Du}o(^0k!kp^5 ziTTY(W0%HLfx(;@o3+i=P(7YmFE@`%t#lk&UNE1x*kU8Gtr`3Z?vxZ1G)Oi*)&pyp z3_pQB_>En(@$2GZCV^H<+@Cf2U9#V-KtN;3-4!SFh_}fwl~NC;LxDJvZm34b{C6-bl2}e9g{7|) zc`e(Q4?5SO(WeSk`in}*n@I;aWwd(t#$}q**!#PIv(dwjN>xTz%F4=GZ_(9iT2`5~ zcZeK`(b&H8rpi1nd8qi!4JC%8pHz-Wdo&P!KZW4vczG3Jb^dXTq)2)pNxaby|NhF_ zU)2~->(HrKAEl>@DpnsSac3&ppeC{xm!vpPUe=EU**qF4y}oFoWbD*T15>JxI>MEv zzsz79dZ&fN4SYC=fpWDNE zJ`1uAPIN6CY%Ogfq=bKn6cHbSUwYg8*)R)@IHdb$vkj<}ragc&z;T)hFEcU+!(As@ zsL9B)5UJJvb|u7Ac%;>D%_&Ea5f^wisI)b|aT|rv8rMHzC1jfhzZ=%o`1vUYVQ{Q&Eg(QKgzBPp1g;=n{A+JMbSC|%sM$VtimCT- zX8Hy*nZAxk#BQ3P)xv)-U#2cb-Dix*-QCdB{tS07im2LoTbTG)3T4MWyrO+;wj+$4 z!3RGQf9I2M^s(j@H;E|l`_!2XWOY4rTqm!GZ@aXO>!?Xfu?#P>4DZ~+_|c=;n{8?& zx(L!PMie2TgPF6o&U)VIusyab;Bu>KK+r4jwYgWXOH?3*12ZK~UR^;#T~P*~Ktgy) zqJK$}Smz!;Kgd=nUr~c4SZ{2LBg$#ecMRk#YF^k8BjWgQ z&AYpkq|MB=)w}mc{*aG?D~FInFKhA@^$=!?NFEYCi{8rz9%EJ#BTCSgsF#tz+B~Y#0W@L3+Q;KK1?J!}{PI8}vxqHn4pSa?^p> zYdY!*guQS2C`UgwM?dv(^Qq|>m;4w3w#F-K->@kiY(Es+TJ3Fb9tp_& z<%<2UQAQ*-or%Vm5c&7l81mmY_{sn6X)S5{|Clry)pTueeq-|f$?`OJaltX>#&$L4 zc0lG{p4?d?GsR_#PPaks_xA;6+Y2eWAK;D})}3x^udme&Qfnv?(2KROB>b6!W+i13 z8;i^h9G4WDCxuq+{l)7lRZ>k%dB|1uB`WiAU2NZ2xB1;wlYHoPJK4I~$+g+)dcgkq zamDphPv+D@JO<(WQvUq+>~J64@QJ$}pw#8^?Q$+W5fwA=J=1I%eiC}d%%Sr?3?^w;rd;kBS)wwW9Xnmx9>Y)) z$fFCHFMPl^2jX|9G^%)I2LxAZ*9n88ay@@-7XuRej|&E8IE=U#HEb^;lTulx3n=nU zXqZP8@S({8cliytpZnNepqZanljdn%VAC4az}SFCttisZGJ(-RBiD zT&HOiVSnr}g5WOY84dJM3<0$EKwA3-+${M(b~((p9C&(bsFmKF#|DG?GMy~V9mR%R z*tT2L$iCDkl?CBYAPH`BJ<1%mTcC1S9Hi!+SnJ?zh(=2)G%9SW6cx-iw0wZ^LZ#uk zrV6dmrJYJ9Q!aB)YX?A^RAXjABS)6ga5tL#VTMUl-Bk2F*JqN&)+vD59&4Sd%Wy0G z7n1>z$rfxM>}na-3ag>14RezHfzw5xblpT8ztvu3;I2k$KP7W|W8HpTNiZTAI+dQJAu{=ASfT5Ox(3Ktm*}e4#Rl zEdfhi6?Wxsm#GT`<=NnYCkc<2RW(PJL#+tdNz;aF=K95kHGo!}2u!XjIEKtBuADiU zc?IKqpEtqpTrd8>b}FtMKv>|b@TlUEl`#@?p?Fxi!--kgf~1>WIJ=@+ zGAcLz{-GbgqyQ?nf?36b4@Qt77Na5d1Hve`Bgkg8TiXoLyFA+wZg6&pU4Ge~Zg`uw zH(-pxGm!RRlNtG$9*f=_H4=H`(cCwW^609gx zq_6kZ8p#gX+8$>U)E5VoH^8g)s|fp4k|0I5iSFZ0sh8|?yh}*Jlt{yNB>SKwW1{ze zQ850dDmr0g?aN652a4EgQ(;$G;mb(i;kS`epJC$aZ_;{P1fJbhYf3?g-P4jD}k5 zb76?jJ~u%^0Gl`QsVwEs3;s!-dyCm%kNuq|sB%p()Hej{R7rW7us7w3WN zK0<%+uwZiYi3;V7%6gl+BB5Fhlcd88k)H$0qSUjSB_PxjE)QH~nm`Ck1XSf1f)I{q z43@|WTcnanLNtOJj>vxunoZpOr}*zVx5~21H1-W~30Z`aamG%Odv(Qkyx#<{SkF0n z<3z4k^j?BbVCA=0WVXy62sPhM%?SGtPp=h>+a}aC_L@!Nrbmdni6hpCBG$+v-u!58 z#Op?~M~GZUhe}z<+U?GDAA}}@-(p~m=BSLLdj78^QzMxN>x`J0nEm^diX^|di4?UMPx$5EM*{}VjDn_y@id9-h8imExZ_p z0LGC+u?HRJnM)4=ZX$WNd2zLiBU^!ckvvC`N1SEJjaq@lhWT!DmI2JWaYJ~2!iI<^ zb#yVJ#MfV2+Kawx;`66>3J2lNw){@Q!mP>Ab0QFnK<8tzAYG%VM}}M&>3p7;cHlmf z7;pqV`2F^$d#w;&eAkS|BarVVIG@paA*?Mv*_hO3xO1=-m*>zfbg}{%(wJ zwQ>y*v7EmcTd-JW%sbLO0V9OJI`I_CTjyXuBSrRZ>n1n+r7Uo7 zfSkxQN(n341iR zHJF!VcFw&K@LN1tq91UVHLizGE?iN#;B&e@@~)?RDuAX7ytN@#$zn|1AIkv6ZL z-dJvBq{ef2^mt#M@{!hB^l^_{+0Q!&>prfrjx(}gFeBGo`&QmRf}5~^Swx?LN9$DJ zUe_`|2`nW<a*<_wuQV z)=liD<9t4vmbfsX#H(^GSh<^?7~;5K%(>YM{=9OXNq8K(2c(1mt2|?-kstch+iktsA!boHTvmemn-LD{L#iE}OxS*yd1TCj>L|3Wx@{ zTjJbZ@d-=s#)h~8o-H#@w_SwgjR*U!qc|-qJX#hht{i;gd~-T3u%J6N4U6%WpiK5j zp9V5}BvA`*v5O1@BA+7#K4#De{GROfafWZ*VC>}QX?RL8r7;M=$EpZIC>>PjV)x-tAF+o( z4}4-vh5d@pxqk(?a^k0NSF@g1hf5?gtGJ?(DvK`g?2_WO@SSg=BO`6}n!ZU9xhqjK zp4Z?%lOcGIz(0kmb!8nJBWdkht;0>6cKaje{{X(_K0O^)WQ)G>P%{HMY>pDV?C|G~ z40oXEI2$Y__`Nz0bWxzag;MlD`5ECA4EB_9s_!t2q4OHgerSDRBkaj_|E9kjOZ#tT;Hs5y~QU1A1=MsmAFS61oQ#08tw0_=LjubZfoy|Z_~uT1>n1zq9)_04iZgO`(p{K=U4+t}{{UY0N?wz=YDF@f z=0J1%D|rf)gGKgF;~7;bw4=ul6 zQD!`p(`A~>)TYXtw6J%&RTr~vJ9DRp*}47BK(pAXS|LIM9h&EK^w$!Qby^hd~XEod6@W99P=bYr5Odij7r8K6%*RAfK zj$26p>MgHG^nl&}(ov<{@^$W}}WE1a^YE2d@F%s}R2o z+_nfegdgAd!yI(i-$i9;Cn<8#_c0|;79;oY-}Yy{`RMDSk!UmSXiB;&NrD@2$hn9r*pMOC76+zbfi>l9Gl101! zze>`-#C&R|j!ysM!~0T_%JnHA@}{WgR{EoP`48b*6hLW*F*44=0TBn*(Av-IC}}xS zf_{t*3+?tHT;)g37Xf+M95^^0Or$=)d3=5|*wF~`_X4Lxom*0@jp$@~K*OQnFi~jJ zkqbOz0z}2J*2@e#ey=~5-d@3gEp4+8@*PE_S8sz2v$h+-tb^OWIry=5SZ92XE2NVC zDqZN+dXud-)#$~z+iX4Y!+T2vW}%5a3B-pOxOL6DdOkwqw}4a#w5&K5rqNg{znv2$ zjkutqIFvZ72ZMuN`63=k^(vk9;oKlpr*iINe0mS6YFN!8#mPbv1@qYGyn0P>Y>OZxn!h?rO_+@cZ7=|ehxp+s zV%ZpTFBD3vt`U_#f{cauBRDY5OVFm#2*n{>mSmQQ-!%|xVYucU?ynb9qDtJW{Pkjx zzRb4&zTPDJN4@!X2!g!pmx|QM!qWbKD@vVXd*%B6(t_tEQHAH=Ekt~EvL6YpqGVw! zX}97kOhBZ^sw|tIZ1KFl;g4}t_S!QhNFID1rpuUhb@B57L1usfz&W7orH%?E*|JFK z^N+Fw7<2KplsPTeRL~o5+g+m0V)!O#egKN=X*{w-o}Zd8k-#6;OX{>v zn(Zkkg^=FTpIpYMowQS&?sH%ss)XA0ikp6nCi~1KDeG)j?uj8+VHBaSg!^*#)ewsI zN{igIDLILA*j26^3yENxuAyJt#2wjt;G|sD4m&q<9heBHRmG;^7#V4ACPj` zcJ}^FNq^C+h;#Avd{q9UA{X-?Pf*Fw_5buKqXuspKGQX*fmWhhH&WR&o(`MJqVS<0 zYeCYG6k_R&fj9RKZp{sS?nQ+S48ZvQ%okrKJo2_EVEBLsTuLQT7)K@aTZKwWRh3Fi zRfT&8cy`FG1m0YjcPGxfw&$0(ZF|=9K8o{G)GpVCp8%OUek*f-DuDJhk2BTdwNa0L z6Adz%Oqi_dH|`(4G;f?G<$0{gW64OH>bi=8{hJKWps9VyyL&qCakHKCQ5z2{bzDtj zZ--xH^{!@_+E6yTs=%6S@&?jr5RN?ypPi*Y{2JB_CV0$kDCCXp&9bF@tEz~M$8j-J zrry0$f`s3q1dy>q37|IuJG=%)srlq$6ZrH!<7}1jCd>xC(M-m>A8R#c~$L+e5ijA{%eT{lwWMhai1>rhi zS!1wAX)a;ZjP=%h=T8SPD1M!Pq`^_6P1qpZ4ZJa)?;05cMifG}_{@ps#c<<~?k%Uw ziUXy$HP`CBhZ zutZiBi|r17-QfcP`Th4I)74iP{rHbz^iS7~{KFLR-!=_ZYo}Ea)K06676;@TIZB0` zhC(SAR@yrtO4=q9WyN8p_?@ByB({ek$g?Cdt=2vB>o82dE08BeSXXsy?c_|%!>5eb zmCi@4m&fkzud98SGLj5{d?vvSkk`J?Z76j^9G?;o+L12CxrIkjf3$Aev(kAmD~X$&;7oxr^&8M5;Z+m7^G)TAfjOta4Xv9hXR7jKT~ z$k<-8#aq2^i)>+~=T*X3-%n@hRuzQMROW3B2;&J2>Fy$^Vho7EBJpSWK3P5Kmco~Y=TPr;`sbJC8 z7rmCarJg|`dcjVIvC$+%%Y$du5;F0av5PP!9}JO=d3wmraxNr z2O!dd&MO6Mji0+Y)k4Hn1OlMfo*oX8|cchLVeV0giWWLq}8PFXs z5uKkV=QN};Q*NY^4p1}ZeVs6JJ6>qOoVKDa!BxeX=0>Ph_w?9hXCm4MGJLNaC+67{ z6fZ!bW_4JdeKdcc(=lQ$CsDKhO$nT7V^fwgfc#9%kN$`5p4kMBHfl>21S8$qLCpHy zvdhmU02Z8M1Ve)ZYF>0;_xqhW4Jo@s9$n?D5yT=nwzE8r7aCvSX`bY>x3GF4X0QD( zsy3x)jf_A-sRr^$7YSk_pXA+5@~WCMslIo?Wf*_qzA5?#<|()D()1G+%f^lNxO9GQ zb>I6`DSC+bXi^4t5yMC5&7YWVl!B`X^e3-a_v}ocxNf3gF`k>5ys)3;f-i|S$FHbI zHm3&lCkML|B7E7^KRb2a8g<@YTRre))(`1?h8?y)V-#A0OTzxlWp)d^DtD>chPuY1 z%6ieS1!_ON8(+r@bVP;2zurVSh;Qo#WmCMNUhET%joo0br96G(OL+?F3z70IxT@_V zhwfH+LNh~m`zFtf)+M%&sVAY4RUWxXQ9)5b#e$j!Z4G@2-L3j0lnb`Ge{qA1#kp@k zRLr?+EKFVOg&-&A(5pO#41dCgle;)Hwcn`j^cH>i)Hzfot9~>=@r9G-+%LAoe|w|B zINbC7>(F2$9}&CyIxud(E-?G|mES)RGX7rqNt%2CRxHgd|861*Qg=5{zQ_H1n~%zYy7D;hra5l;s97te_@feik_>JWg9e3$UMn7!YQecQQ#EL zov+KwaXT#%UfVuc3u^1vg*VOKluip~>%qT#e8=5g$X54ogv6BvZ7Na+XX})u=W|2-gYw6(Nq}rZQ-}L=%EfdE{us(=?KRPwnOfXfjIoCs#q0xC7=n?omdz zP3eb6*+)l1Ars6?%rGAjgU7suQhJGkN>XqNSYVbGTldKLu<{%h+SD||? z7=j>usCRtGKnbzefS;yz>kVt=$lDTy(2LK|PiE`f=_yC~%tIY4uTZR}!CyNbcXVOJ^nUyxDAFY?Ju@6}Gvm7!ns_xV z%P}snF+_c-%^V0){xU;tB~T}Eyh3wVebmZQ+FjDD>KyD;Y>Z(z*)2A0P_wF-Ix_BZZl&jw#z_x%uW24iB?*2mm5xQqQTdC~*q@rJFi5 zMJZjPBU%#%ZSE2EQxEsMZfVHLF1+(gS{nEpKWS9teC-6CofX!VytjfDnZ4~SrWeKN z5DDla?ET0dVS{k240>w$orEY0D$%A0MI=>PJe?yd(GVQ==yK^8WMUDc%NQoHK<4Ib zNwX;Ifq%~e;YwBzmvt!o-pHKZ|m_8%Zb!{qua4bf#K6(v|JTcI1s1=ox z6vOal>^Y^n0oNU;)*Z6R zCCu9cu5P5rzd1A$6p83n(*s{?xvSXmU3c1rEgD|RuYl{);tD&Igz^cn=L`8I*=4B zlX2o2)*vn?wBF4bb0Q;E=A9W$w<3iYg40c=Nr@=Y4dgoMQbS(y{$5dBQI%C6Dj^%c z955rV&602wEm89+RyR)}q~A1}#LsZ#_{w?f_zuwe4|lw)@` z*~zso=71$Pu5ig9Miq4M%W=P%zz>o5Gp2;;;&61U0&*?mP+EG_<2@?mJ8<>WTxk7Y z=HZ0;POOu~4w&gB%8RtswM=_3&PGJ|lPR}g?8tOFK~i0NRmt?4G-fj`=@^>c<7!NaE zFWF6Ws*-Ke_?$@%bi~!0t~DcN9d5vEH(mG*>UKb2+D_wXtnWVd9H4s|xVF3HoiXTF zu{+QZxNf0qSpH$C*w5})kDc`30B^pT-eHsJJ70UuXC*8IxQv9@nIU{}X&D#mG5Xtb z;Tcp)!R_fW*M~V@P5rOXFSMcPH=xV|n(fFN`W4pObDRxnFjTYFYw-P*{mZHnvfb8H znw|_WaDER$NPD=(u0$j22*6`(5upt2qbczkpvdC~1y8DcIDB}0A8d8=H(xEilAGwE zGiu&G2&DVXB50*~v=Ox(!q~SSfCT3m*9y_UFXsSzX!Dbq5tq|IJNkHwkHRf^%GVFx z11aH}Nq$)#xnmdw`Z+-Ors7;uslC-b(U^qRYRF&#!9pGNd_g(SR^H97^-eG8F01(o z(J@@|D4H;R#nI}~C6h+mSbWNCU!lkb@eIH;+(VapiGx9PsuV}Xk+5WLS z8K|JkmeOiYU+;`Gs$~|xGG3ue&hDIB;z3UKkn=NKjw)=sqhgd1)okA(bo&hu?uONm z%I;l~OnDJNSJ(_;ON3!pT#096=(0B-V|Ntx-QKcye zsx?LhW`N{kyb0~N77y?(l<5Vx$5yVqlm~=iwfs<6NZe#du6tK<;Y>kuVhy;7qjg<- z?!*fD{uJ)#H?$E6)HRxvq-fVMZ0@7F`{>P|*lmo?Yv*05YR$aCO7Wa8J16Kj2G25{ z(f1o6v4>N&2TS(=x+AV;mnK5^fclTmXLHw21-sSrT&lUx-E3<+JjLt zs!|agBD_2)jxBOD!H=jTXUnfSsIIYQWUpO3l=V_+Re#X0ei;4nd#wuQ?bEjq_n0f* zWIm|NVJ9z7;SwVCJ*0y$DC8ZE%~|sJlm~{X!ym*pMkpwsNZ{wjBk1XjVn1>fZ2Yu& zqAiWE&BDnw^Rk4ZmcmT)u>XXux9APbP(0k=+wuoALkM285ba?82nT6VzT39Frn$hF zW_F7)NO6OD9i%=&tKQ+fX469!zixAbunRNhAluk4f0xZbw1Y|Kkc!$wO5OPd7<~UQ zNSm_Ltpn2i1=$x@H;m(-Y{8mBgL}kEY?6*PoEwVZ5d9R&-MkwO`YcP5Ec@ie&Ru%^ zw1=jF31MosS@DHLICfrj`^`O(ciP7hAK4FzQj|O?Z-_?DAmb%==^brDm28dl%c3@C zhG_5#f`+h#P_avT#{)wWvEN1$M><+F3>XZ=Ne;Ix?gZ84 z5E_iTQXDIN@N;t1$cWZV6t!z?tCa^4f9|hz>*r*p*0F7Ca~wM>V0`*#FO~_@*R

    |?;pLbFYxmJMHlf-T$M*rL=5pQ z4%*NFZAFib_z4VVsd<5}Xek)6k1XYNw5r6W5THG0E9rHMa5E^va^34H+p(GF8|hF) z=P2=Dli=fI#=XfoKP9>O?*0xgfU|0-)5o;SwhO;YXB-q~RDf9?$vV^tnP#7@o(j2m zO%Q5>hz7!t6wuaShz;ylS>~+7 z)k*BJL$6M|LxCY0Db!mrLkY!I?2s>#o;21TVmiN6?8KaGnyf4?R%oOK>akB8Ous-j zd~@h2d#z_4Mn^vH$V5zD@5n?>e(P9AKpx>3FL4CyjfZPx55OzZELoR0+jN8Upo4aSCANW%Y#zAzS&<|4pZh>Q6dPRnoPmn!gcayR zIzh2op{>w@jSLr5pbLG0S;+q52lxL;Q(^qCHl4GguJspknm3_f*<`(ll})w$ zK3}X|G8|h!3KAJL@E(MS?}=@B!?u{D{)a2uT(_da@ibyXIKsy_-$XZa5cGWbiOkgT z*2fH|!{KSWO(4)E2Swp>c!*Ovx*a9B6kVIG@QCuIANG^IvJl$9s`b@%F8Vo`LtF?d z$gn7##XCHOegfV<3MTn5(HL+1=EitXEuMXaxvRmb`c1x|#6pF~?tHk)FH+IuY{bO* z$nWuUog|raRXxu9Jh9A}Bh6$vn3gC!R0J{SofZet@jOvSVRdj=-C-9iObFvG`@Msl z5Z@7+9YRLM9mU|Ac%P0qjy#TXd#lisnl7OPdOXWm3;V++n8rZWU|3N~cee(gei9Ma zJj?s#j=?$<3M@A>v47YFv=5magc&krN=**^d6RP+Vr43wyWT?haE~6A4Qb6V8EnD$ z4aa3?^9W|m!-6TAcP4>U6%i+~qSr=xRM6C5AljKCH?)MAnhSFhuu;9{RdSnR5*Wywg> zyea-(6rO%Qbt{)~^a^Y1ig>JBsw&`spi*!r94zF_R`U?vyAkdgZ&+GM^xV+hO`L#X zL{1WuDKTq2qGHV#@c1N5fY1=0pGc_fU2mBIFS*H{MhzbX{dsZQ=D-iNhhhrLC*^D% zL&R~@GE@tBvBf2h#!5Bz#=!bKJw(ZILSfHRGv)vS7JTz#Jt?YdJW*rxLhcTIFglkqjJ!NvkYqRu<92Uc6MHDW#vy#P?AT;BX^KL7z%n0c8nr{PG#791G)X@@ zy|s*z+1-PjqPeC;+MGmG7awRhStXJFB&bR{_~r?ksNCc9xp~z`Ba8Ii&fjdC=NO$X zhbc1GDPP(d?CEb3L4khBtBbD~3i*%S{(oxReGME*zJC7_I;P6fUzrv4LynvIdq|u= zhlM+ZLDC!*Z4CT~HKElU=yxI!;Wds#q@f;xqb*_w)3x*#605whIz!_0>~&-@Y`;XSaKyt0>v6_Ds1x5v}HS}axj(J z28_A)p`>^ML_hWXcj#80Ey8nTX*o_MJxSevcW_HV%5J)@iH4#R`r z>kvyMP~W0+7ugKA)phZhS5E$Nl8%(HPUe)q8unlUz2E7~Xgpb=mgD`-@HX0#K1u2KangNbhVv-8SSGtCcsPW78`1TwAQ`4hAFw` zRp6-w*~F$lgsg^^o&m4sO5>Co$TpSC6Y|35^9A}i6`~Yap(-xTBj6Pc&Dy3|Mcy6m zAc}h@%8U#v%603@rnnH=C_8@6Bday#zlJa-OqL&8e-GYcH`&kU8c(XLSF&W6a#6FL zj;25oP4Qr#DUn7hd_v9@NB;ityq_D~fzaf6;1uY*JP!>CsKafbsnhGdfU-(^je=d-P@V(-7W{R9N+TRywiXY_dZ^P0`N;m%U zuXED^^gk7p|0GrT`;hd1rtSY*s_=iquQvP#@&+<87XFUE()=I%)wdFnzu~Xu{uO@( zFC6v%;IDw`>J3i*!e3F{{}cYo77+p_DcR)UD4)X2*u=oZ0NBVZhaI2^z|;U}2-1MF z5sVJ>z<-s?5ReaDGOTG|#qsvn@86ft#Q!LtmHyIPk^JrdUv~O;rN8g=`C$g7GWaoR zpz=gDOZOiFdN7I!WELpWECW%6kqkvLlH9CmEld}(U#3vNu5rJZs12kVit9gidST_1 z$<%K8CC}yigLN@5L9iwmg#82p+A!K(o_wfJ&^k&VG$luvavv6=hK5FfkVr?U2cyW< zQn?u;DtW_HwMOBu)EHgK7+I1c$d5bSaXnTW=J5*4d8TtsA%-;x><+2egPU=1LIyjn zq5Zj)ctwp7ntebVw3u1y7CZtO)L0O=rtv!4t=en^KoDM^pWL4Q)I>?+W8yqAwLF;W z*sC;_l`buMcLA$y@KY5ONqsi_Cq_S&7(jntXc(CvZacowK>qD_jY4AR$$4)V!dM%vG4w)9E&Xk7q_WP`hNZB%MWQt)N zC$TZI78BntH5W8ct~vBElISse&ci3`Z3Em)KKvuE-)!#t2kCP}`22}x5$rpijo|C= zYQTBTN^>(c{e6R3!(iiRTh>fls-f~>E$h*XLIdwWeLTX6&!i*qo#TI;ksce%eBmGR zE-Xy3Z_Jb@4`ux_J^eN@Z|i+j$}bj4o9R4Vl0>HxwGzwL-IO96<*nxCw`U7-;XqkS z`<2~+K0(4Z-ad|V+BzT}I4+n$S(a zX}cBorK$Ycn)-QBp}V&J>5k5k*=i6TAEg7TR|4k;%hli1V%HXjIDhSQum8By|IbR9 z>Ax#wC0)BOBxQ#5TnnSElF*oVZi9b=bA}S}fHo4chKf+#H%e7Jw{{MU!<8qR<&R1nOXWZWW7^g z1k1#jvdoI=UL>eW@tJMfG5G0`U0-0o_!H2bpifN?( zA8~IP+}M_^X_uLqnVFfHnVIb}Gc(A{E;F;s%*@P;Rc6LAGrPv;bl>SSefv9i=GV6) zq>y$fLLtSq2+N)f(oTVXfr;3Iu|gbtD}@n|8&E!L_1lk?w%@$^bD!JP(WW zI|*Z47e<&lNsq+o{kI6BHSOJH5TP9Mr!q>)8q`sj7k45xKVvcsGnV?317@_C%MGiq zHEDGl20&cs{nDFo%PIerIW^=O?a{R)j_yS(#qt-nv<3D+Gzg=@f`>;p&D>fq^*Mt| zv&?Hf*L8QG=Y~pBXO~KnzyRiQQIj;1hr%gZ<3|8K^5|@1Cj+JHj3U@SNTKr|0I8S@*m|7Z^OD& zl0Uus(%<_3zhGs5-R=Hi1f~faf9SG?nB#DS&KAKP5I`p>J3ucg(hEtFaw-?SX-bES z+Lzn%mZ5W5TX)5dnmC3Gf+6&NfLvSjbb>C1#2zYIZr0SQW2z+|b_RthNAlsqeGa8@Pp!$+=TP<*Usp)Sff|9U`I zVj?*7%HF35(;f+DUn)qnA!VtOLC!QDU?sYHi@8L&q%}>ok^K!>xzMV3EKtbyobNmF zP+m8<2&`iP6J>pTn$fqRbLF5r3VBzSYM?Nss1Jx(5zs-(8_8ZZr%w%@=_ZwvAImv?oT)4BGZRsywZ?N#Potaih?S+)T z9NRS6aw{t;eV4y9fS9(zpxew3Cr=3j){Rvrhf0 z6`c4+?=5pYAZMT>W+FH}l|f>eeT|Z)_06h!USR&Ppd5McB(zWK8Ti{11kt}@i+@hD z{*&hZzX_t?6-dngX+2Q?+j{ae|FoX<{~kUeYpqf=w34zhG)Xeh)X~Pr3t>k=F_J~Y z0uze8a7B2YynIM$m5m9?4D|_rCrjsgp^RL?#KOc%3rNE{{wj_x4vr44ju-`ilVoP9 z1^F|48eiYwPWt=-Q-5nd^#A&aYIe@94i2AM5T;-M038$@Or4DWm;&-|<3K{D@Gc}J0U!cvQw2vdR203HqNJLwHto(|dm1Rf`{;_Nio1dy>ZsuCYyrWdpe5PBp zlIS;QRiRgXs8&ng6EDd8=&G6NO*8ATlXF^sm(QG8#}FAd52~kiNnT^EBJE5k(r!V5 ze1bT?zBKN2Z=c}s@ftvY;mi8WQ;$uUK7oB(J(JwpEWtC2!x2pKBbbSBZ}cv}z55#I z)d@MK+Rfta07I63MGF2W?j_J4%Oo~poAdaO|L@b;{@;b5pV0One)d15aYIt9RTcz4 z8CTnh2jhH7ccg1&v|r!JbUOn$peeP%EXB^ESwgYNC*e1`8#m zc!!lEYjH;sIn_Z6hNQIwRV`UHih1knB`K$oQVyXeqQQn~eUUO3^z;Pj**2<*WkB2q zY`($(OUT$)nr$}T(pV=qnXWmOfF&F#q;wdyn#G_`r=^^1A|K;(H9EN@v(vBEzyf5) z8ly+1rd$Wgj!#`PBDm$EIQyfJYvg2VGIK4t*?!lV*|ZhgvhC)OKwQpKr!U0&qq(?7MiX|$%abmASJ0cDhhTq461=8;*R6h30 z1=-$gL2#<>XHSVnDq(Y^_I_aH3MIIpv)v*ersIt~YDf6Kw5wP~Q!?Pbl0$ z8*~|C%-UYm&mN59Fuc2#kB0mh;5|MiN+5KuF%4)zzVsox_HQ3(bG5U2=~n06Cvv#w@+Do z+yVQJfCcvPr?t_%@;KmqI^@;AO%MM?4nfUM<-a_Zti8Fpsnfr?D%ZF^D`2Ki6yMRc zrCL2?-gzabGSKr&6o#dOHG@u94Yu$gxy&+}K?OyA7{4Habe5#05M!7>vwye1z~`vt zZXn1zP2xrn!S)#JgPd;FrZ}viwXdlCAQ%?=F|mP7~9<0vr1sx;D;* zqUzkXi^~wZlj?S422El3hvXHJ{q4%tDiujC46n(w(za8hC2JOhck`sADy&%&)nF<2nVv6Istw;29kw|atuc7U2>{HFH< zv!8g2U4j4bQI3o1JbxUKh5yz^{UuEL>sIzp`1Q{zVgF9wSKQYZL*+t2(Sf2TD8td4 z2CZ!yJCt1uc$yG1UH21g$1!)akkzwyEwfxYPp7jSO-^452>1grUQx#~<_sbBJ6k>! zF%f$p^~nI1ocd_*U^axS^eKPP02h#dqm^4%$T{%Rv*vAJQ%3?W6Cbg0oAj$wsZ!T| zA6Hu7jn5AVP-k9Kwn{p1lNrww%u`FG7FDB`K`XXW{Tvs@mK>?9mK>>swiMec*vN!m zKCq$~=V9ZoZ#daqt2gs@6VLs&>WFu#NSIg=x1PQu8@=jR_DSDE{2P6rXlTUO9mGb<90_$# z^b>uE6Gp&^B7)fq>+|pKqY+SyN)gl~{Pgh&>b%&?+)s+Lk6+-Q{$~IB1n)U8;x6^* z(>WG*OVib)=?QZq#b}O$U`Y@Ue}dpRb=)C9cz1>i#D|w9vcPc!>6d5^&%<0@FK^+U zb)WR!W8`m-K}xdvk0`s@k1ryWN|2(qDo{{1 zOG50;8?_q+zZYe`f%4sfyeQ3OD=`m-@ws2L9p$t+KfWH_!UV(?V)jeY5e7E~@BzId z;fj7UQam*mFIoJR1Y2mvSPK*Sz2KDBt9Y+TcI`;$#!yt=pFm_~;t*$%$03F2*aC0J z{m|G&^~&j?;Mz|kJFzA6q?SOJhV?BYWhaZfL_FWE;bd+y9AL({=C>>FLLI!TSs7`% z$kyZI*53cj7uG`McO`zhg`B@VCldZE1pH^7{J-~9*e1;UagZABam?a$MAw$I%=gbj z{m1m*C{9HHYFPoYkS=GN8PT89f0Md}!GHm)kAlER_p=b&`G^hb8LU7$IV;)kemUtI z@9#%vxPmCI81Qy#iv68YV6@l`UngxL+;J-rrP~XY83@C(TO5C=b{*bcIYcR15)8GI zG(~FODh^2t5X(NNik&h*J-A&q?T6r@O_;BI=FXg-fRd+Nn zgzN6*1~u|i%^caXD@I`6_z?m7*w*h)T!1#hymAq0Nv+Z!EoFG+sCG`gzKYk}dk~|H zRBnVi#(K{l81U%mWfOPvBQy|>q%;PKewDzAJ?P7Xk0Cq(;ZD0{N*By6>T`wg)f;r2 zy5TiU>%Bpq*B(XXC6{xuZ3N$O+Bs^mmg!vui0}6gkRQSXA@S>MFn36%FTvKlEw_u{ z)o_NnCZBP>9V+&b?{easx$4OTr~u3MPfoMU8)rYq6M-j<);n9+9>5CF#dS1~@XEF? zD#Z#4r;Ara6XS>p42L7f&nvP+ozKBee=d)tQen)w&*ky=NDqh|z%Z zK|Mh8H*ibjVCxG^qS5+0*R&_NtKg_FFH8(G*d#`psPMv*T^a*bdzkM%#FTJ;3H$NZUo;!Oc z3c!MRJU6Jht%!P%#HP zK>bOdQ2M+<{mGwT`V62pDcA@UFYOm!dHTZepGFbXt`HF37GQqgh`@a|%KavKszKln z{q2eMgk{1tYD5p*l6s(=8CIS)f*?03vrTe^vX24@iUJ7@2@MqqNnW6?er81GrwMKE zwL)s6MJ0qK)E1`pWJH!JyIQi}Agz92wB)B5lWERY`el=xP6f&esu)R@B29=f(Gb1Q z60`&b6w(X@bnJ=?)EVk9UuDJT*VJP@w*Yo?KzK-_TUQv}P3;gYxl3teWrg;Pyc{wK zZ1A@NNo{A<=#lT7K?T(pLvS*}(l(NpKa`BW^@&cevKFm*aJ_RThhTaTJ66$A!xJuz z^nQmwfwfwLNXqBds@g*_FP5_(e6H^|v;yZ^8>dXciIYOE-j4}yUnV`Z$m6K4U@FYS zKw;A&5YqQo-C!vdCvB8Sg&?yFQ5eA+b}v`T%AHwahr552!M0mrBo{(=b8m*1iQJ?g zag`#HFE`3AFD`-1GwK#nlk%vgcEzFk5SxSg`kG;+6dTD?V398nyjPEz%plm0mevKv zQLik%Ne%uT@SwL_tBHY@4B);Ctb}Dv2tk7PeqRvgN9nX0T0n9V0bK5{3M?-PvWIO;WVrtEYcVaRf=y z@3qY6+I?}>cxe56NBF_7OjpYBjE66!ncQd^cT$}}N^^)3ijiW-Z4R3?CKIoO^WMo$~~1y1mpDXrV56w~v95s?vx ziP1)~`!$qW))zHNwxbrqHmPH#u-ZymF0mrFptDbRjx`0NjLaIQk}dNx6NypCF=fl{ z`Ps*oJ4%Pv&Uw9>4$%%g8*|bQ?Xh6cYu0{Qpj!gO@P>9@Ac<{Joj5I%cYhm{!P|`} z)lt4DWt$^PCa$v(@+~$MVUHieN?s;Q`4%ijCzqdM%C=aY4=C!S8Bn_AzHzS*(blv| zd{!Hvm@0u03>ewA0uncp4G?-t`U2gDw0pA113LiB3mpdP0a}e=hycq{%JrNXP^6Dc z2&)NFuJA&OC>ZDm1a6U^4a(d{AGiUk1f0BW+$tJyTk4LcOVtbKz(=0s3b3uOmIu(0 z!GIjrXC^->(uS6ZN3vD~1!Wp1kSC;(#ks$TtJO3WjXrb%pOtiNLbrG>Gp|Eh_uk?w zhcXQiHysuZ4y3@?fQ|V9*&%PEw_=NAe5+ zH80MPr*8%F%C%J@z#A&3@GFlH(4D3CeU}dzAX`KqzkmqIZ98$s4nke-i2YibSxYn| zY07b|IjSG?1#v+E_VQwGkP$zWI5SAXVeN^Vx@l89JGAkcoqDWy7|A5O`#c&MRVjzxmlDcphdIRqr%yb=XT+F z0Kf1i{0-?$;EUZEkPSj?kbnp7jdvYMbU)}BhGLHcYGfdaxgI+-N|4y55+!`QL_X;; zc8C<{cTiJMdSDJAE+KtJPIFvpaibfe?tQTEiabO|8hR|Duu(;@)PC4jV6%ZGMuO@f zv04P|Z6i*Q2?>-=DC-?M)(+_DK-=R%{Z>Tt4yB)Bv|$&>XIi*#deEsv^Tp+Lrpt%m zalOG3RR_16D#CB9x;a9Pqg3rM*U+u=E=%B}L;e9}edFc7lpi@|q0|#J%15b|_LG!d zri%96O7gIKF`pQL!H!>%@BF}Cy1~1089TvS0#oyCY1p1#VYx{+x+4&{&kEo56O|g5 zsibLiGnE<#sl4EJ%~>Mlog($)Jn%m2>Jk!dwb`hZxIyDV+ox$f;7ehnGT*~s$h8QyiU;50v;WJ)1tlEXkF;z`Ca>;cdT$@>AtQ=gJ zN8=NWe!WB9q2t$WT|7_WT5!Z6&Bkzv>1!;K^Xu*+IUZBmKWo{o+vHtapt%f&juh6a zMaDbs&-I2aCJ25TU@Y`F#GI7=MZHJGAIlPFEQ&9I!3(soErr`PuxihYZQ_vOOrt=u znNH+j;?S;ghc(ziYt18TZJyth{I!ZEJT&Dy4(_PomAm83j|(}O@@`V|W9yRq5G zlpFVj>Eac%6Z}`%mPXC4NRO6-D6QgSP-MO@_>V#kC32o{*D^oE$1-s3;Oyql8xQds z5B2taxC+_qN`5^e_9SSzwyE5|mcD@O`>+-Maw_?Szy#G}Mo!Xw;o<$Qh3js1@6Pw| z>D}x#V1?hJ86o|Az=HU5%G-SwF+`6vZq@*=o!hj@x*^YzBsN5kuQF%S;IOGX>Oz`* zs$LY2v&m^iC&BS$uxI!Clg=;#>}NOQ8~2ZEcdn0zoy#vDqdX(W7ZV}|U~&Cf@88@J zFSx%dI&--ONloo7nv%2Ss$@tlIZ_)d!*DhY6`?y>WlJqNTZKr`?JnvjYEPDBh=du9 zIcl!*z)wWt3>$L&*g!Lxm4w*mtUMcv`UTHIRx!L29mDCq)WEBzG3AXrJqh?4N~svJ zfo);f?ORocY0AoecS)2kkqimIFQ6as=p#GkYx&=@;S#S<3RALSN*Zn}Sv6yNC@Pt2 zhiO3)gQ8Ij>&^3LXIG>v^Hi?kM@@|oH9@7*K+$ZzNb~^GbG??|iVAKh8*N>6QDnt^ zm@D2^S)Vt;6-<*2Qpp9vpIp?R6*D>mpQb8rP8aso2JKCgx4w}Ri1?6K_;_c$J%?{i zQ*XtS*AV$pRJ;wdK6CCiXdQ5TA3N2Q$YH4%hN7|6o@^}3TPtKa#tv&>B0#7vp& zJCT0>@Jfyqe>ZR6IDcjKG*9tV#*Im5!-B?yT<*8-RRu?@X$phnu_pa#PNMTwd3g^o zzm%1Dt`g&|3apl4dDCArRaxmHrdRn&ozIjuT+ljtaed8SX`!Dcy!le9;ND-TwsAI6dC^&doQS!gY+s@g#Cw5cUm|(|I;zZ zh0I+KQ;v#6E2(pdRFAWQcenSQrdGOEl2)2l3Qgo9P|Lo0Loo+| zNN(P5OID}|*ObAskk-M5Ry;C!c>3QPbb@<-P?IE^+0e4EgV6+%eH0Mea+Bv<74GAv z;_7pTwe1=vC}wii%0%e$*Cl6XWa<-&*3Z}+%{n=G>o%n|I8WOO4_qD8$B%THQuo*+ z{bJ@?(`Mk?Xo6llyg>3trcJUrtVI=@>f);yr67B*%xL1ZPi3$nK&N%iMbv4st@y^x z93@sEdEDhQy8ZoENPKqG2Km>P-x2xbiB`Rs+!8C;ZnPqKi|_cLGfrcsjk?#7=>vS}dQN3< zw@)P)j7TUsPg#)&5*ip7SrjZj^$ew&8E(+y83l=3gw9tG#aEQaSD3?BT)?*v(RT>b zcL3CP1opib_$TrU)3zJSXgp`=UJasmOh#08;XK*9aJV1Iq^n3))Z96CvW=)gR;tEW z*xhE=K4U0fNVq=cHEO>X(FIlTU4Lnb;&+T;-Vo_7hXIw+S(v>XVMPW~-jD!&NiXOk z4DzR(7m7P#&2ANu>*{Qk9xVkc)LcsiOVnIX1#8sYh#3pi-1M2xdu219_u6JY?~QFO zQt~$#%u=qNK-6j;(&>i1fn1TK6Wsn2&n9&WhaCA#6|w$p{vGu%`G9}uxcoDToM@}C zpols$V`?(QY#13(I*Llbu1Z~MQNWb0m&zKuSxg7w+kon3V%6++GB6l-K!alN1oWmj z>}+kCit}>#@S7*@ap&#h_7>xdMQx2RlpETz$~G5J)5eYVrQFPU52>ImFpL)}c^N@5 zpMP2%a_D5F{%e-1=uISw7-+lfL=@xyY^ORirZEY{6k4+8Y^;JF_&m&z-AmtX03WIONGi#_K($a!0rEVaouF;$9e+2M7?8 z{{BWBQYsiN*}TnTKX?|p@J8YZu-8m81t8w4gS40es6=Bmj`3ZUA5b17OT>OhQ3BT$ zwP24>wED6!?-+t{;)VW2O5X+;$A(QrZF*x|CXI7B{JKGab$A<6zV|8K7995?^t{`X zaXnK1Gy;FRXBgm4GD0^{4e7yBRGfi&fwoecy)CT>)EDfcJSJOY6EYC-yjnqzMd?OuDhF_5>l-sG#5%@3e` zZ~hE~XTzh~pn-cx?5C~$#L!drgpn&zLWTGAziC}~}q1Qi%*=G)sl!QvanTIN~+q`WwI**}Ja`qElqVFe@E z1w=7ZGBbi?!vf0+!m>sH;sJQ0%D^{5#5UTiYgm{Zz*^C;xPl2Vw7-BKpOioDEq;hLvj8r=L5R2LpDB5kM(cMJbz)H|7a%qeEc^O@;@-o z7Jp@)&w&ew>}XAcnM|cu%jO1?9qo4$FlAi;VL=J*UFTcVV#1@DU`kA}I z4-}F?r~k^i1h96tG#eQlY6j|yxyTr71VERkFH5gNn;|Bgd3;I!6JlxB#g*6Huvo0hm4wx_ zUaLV976G0j6p{`Ow|zhZL>xKdw`BDrMM%%edFI6O#5E0;d5BK6cJnstlIA30pPIF* zrY+p}-;M?`STdwfRu(?_U;2&u*e1}!B@Sznndtjhr97U%7LVyEvDZS6k~5uyHA2Xa zp=!8S|6ra?KAGn`Hr`F<&YvO5p^a*CDq=#bf&n?Ze=^VO#QE>UU7yS|z+w_-Z4J=r zns;;y5`p&`A%F=}>!W*q!A4p`M02?WR-L5(jk-f=9>&StOBaf&owYVrT@RYddj`>i za_%tKfZl5K)v(3dj<9s1T0L~;+eUA0yDrs{JCmM%7%`TU3k1*r1#ca_9=!i)F>p(Mkc#9CSbo^FxeZ^guIUX&|B`*YEt(iKDRvpL|;o*@v0h1vg^dH&0~|1XgaF*{e=KL{3k zr+@BG%Ac)6N{DQrG;Y9w$Fu-VbQL;IP4vqr+(SCj;NKmKU1Zs>@bp zXtLDNf4fyxz=xu3dZlje>a_z4>a#->NAOY>+XPSybco&;;XHy3vH;T6^Freb3w%f7 zOjA@5NA11Gqb{JnTHpHu6{6i036`BxJu~9BGW+bd^0O>RikMbpLgxh@An65<$W*&k|Ec=?XdhtRP(}Xc-q_O#UHyg6Ra#`v@C_(|FgvxG+Z z`!XR^omh$Ge8Iby8pBk1hU)9n&7i6~(gGzj#{g##4CApUli49=)f~)=?jFnF$X6^= z?I=aV)a{W;-9aB{0Ym*un^Vm6lJm1;-zyEZhES;Mz zAD`}%`TrLB`Yeb2KS7hRd4o>>|3Y7~-vieFXXuOcFCpB&nEC%u^-I*TUts*~tdd_S zmYbqK>o>({j$RB;~xR%9N4{j;Wcq*c1Tzs ziD#BQW%Wu>T3R%1ZDXMfS>6eTU1E$B&G5<;672BeYcVMP%t5Hgm^md(OktK@Kmes2 zL*j8OXDDIFZ-)3q-l_GK`NwF})EBO-=~-U_IYTvlfJ$6!k+XtRxHrl*#y-TZ#`uN7x9s`BQhp{An|pD4irR3011Heq z0QP}K|H>XXW7r($y;vBj_`?HXi1!r_o(IR6ZauF#BvX?eyM6JFfEMT<#sC8j#QO(B zO!v3OfcTe{V&wk6OMf0ZLr6JIrZOp?%0MPO5qpDey-M^FZ480u%c2q418x@%ostZ( z$j?%|d^}9%0m4!+RH&2(7RT96Xu!+xQZmvqp8{(TirUb8d32~2mN0aDmcO<5J})WM z*M%OQhR=(oq2V>>WqXiBlvU!+!xG{>V`mAu*BZ9R=%!`;74K2s+#_h`QGZp+{0 zkxF_{4ei0RKQfE}ZGh=7oigzddbbKRn5N#rTp8tPOn~1^UpN za#?em{iB`Qh+Sf6(dbPzT-AHIS)&AUXgO2KwAo^4n%!5}fy#uIJ5 zj?9;2N!1m!5Z6t@VG?OpC!p~D(38x%hy$dnX++hf*=~&!jYCniDsy;V#5u2&x_}BX zyUI?!g0j;WFZVL9ma@uwLCF}L__P# zku)lL>J~DBwv2^30JJs4?UXdL<2s8l7l=z_81=h-Oqv1E>2SpaDvQSQx(V*3Z_uZ! zhR9hkabYA8x^Eeh#EDDPC1KxpMlYyoGpJ^Hd)LafDBN@L&*cw{^_&Lht>HDjN=Qd^ z&doBIH%@5j?HD)C7}vGQopaGU$S>OqotCw;%SgL?Voa9qB)`kaW$>_f*+g2>O)@oQ zkI{P)QhPZu(x8;_PH#W#@1lz#SG4o&P)^3DHqMx57UBz7}S{HflU%y69nTpKZ-$!OAFUYP1$7Qz!ru_#g4BA;#Ls z)~B)}(PUJ$jE(DB+sbLV6juWC zd{`yJd{|{id{GPa_#;_hnQC&q5$1ph_yiGNjxq5b=Dy(dqNV@F>P4P;mHfU@is5Mh z%>OH&k3aGX*cMz| zmot&hhB0-si0oz8F^i0C9r^TJk3hpUWQf*N_vF-Ayo+q;!C<>^&7&swyUuz6R3_3C zq^jbn#Ae9p)ML0#{qw-JJO`V!6HaaCsa*cYg-#uiFUqxkD6lrb;tN=1L>Y ziP`DdzS>wLz5#Ik*46Z-GIM#Y+-8NS^Pj&=%d~!>;D}L(q$;>9u;?l`R6sRTus=&u zbTYEP4x-ngxhL!9&4h~{xr`X&MY4aRri04N9Nzavdmdyj3SXYC6*;?$Xuz5_;!NG) z1rIU85D6!m%mY5A^qrD$XW|{BdPIe=zg{%-u=J%CGJp6vlg;PuX85o!cF*Y?WzI&Q z&gSmtOIXWV`}L%A_@ygylc3C=53wdU61b9p5#F#^;p}ks>}VfODa|^>l)opInKyA- zwK#CKIM{0KskHHT8|QuPDW69v`6404T~-aRU-i(OSUXL=??yXve>{$5B2GZiHFZV( z=2x7A`NPagW(b_jMC!uYG3y*ht;Jv1H$9rqu6uH+y{t&JD7R;WK0I~3^0bX8QD=k3 zJaxel!m5tCGt#&Unyvn3^bDqT3F$HKKX;kZ{1;6I6L4|ikFwinTlF?;nh2bd$t!X_ ziQ>jQPsf$@lNeE_V-qA_l%>7#mwfxfJp8;` zb({(%iJM5b^3}^|+yPk)a@@)L%*7P}SLYvlJ_+VkZ4=Y!EE+e0>BgFN{Iq#Yw_UXH zo>FI7OIsP9dhlrwbB(7f&#`$W>jBw1=Zrgss;=8M2>)PgnEIY}6E|4L9$ zH^6E)!PIsq)@#-pWC4?+KDQ>bcS0zaA2;XHo?*7Y#u`^$GUP%~C8%Uo{PTp?D`-^= z&$g(l5$<<~1&JDC$*LaL!QQC38FOC{Cpa%`AFfG}5Earyk3p-8Pygr;baJ ziVMM&bo;o=nl^R6z?`W6`BOXfPsXJe>lKl`6(QHNJnB|U7q5xEyimk=gFoh!AmRdq=9awN6xbj*r$lB0yOzK8bIzOmG%j$X}+~nWUO1{ z=k&%D_{@TH2UVigxsO#MZ2u%_ZZr6~V3V`&OoKUXCp-}tan_o7kwl^UeHbhAr zN>X>=6s1}Of2nZAlsaKM(rj~EijIfS`tfm&waSGmWnFA0vO4OBy)(*jAIv(i4m{_I zhbK(LCObXhIm@=9c!1c*N5-&J(NMZ%xM5t8TVp&QT%ZRUuoy!7t&XBI5K*~Sm`;DS z3Hl!O9wNfZWt*sBXOEbJ3w*>*gKs_Q%-{CFjy<4M`tY+K5c1R(YB8=v?Hf?6E{jvJ zFyCHo8c8rR8HN;(;?T!oiJaYkeE!34VGgrkAzQ~2^{EXPfKvcoa%|E|lG21%+_Yd= z#j6#fD^}hBmy9QU*d!^#QpUbjQZ+wq@1(U*1 zoL)epc?a31Coz`Hc7V2xQ593q1~!jvGk?%{NT+$r&S@}i88cD#g14ROTv6>wV`Xq<}xgT_fqqa3k)Kc z3w~OJ`LoQJ$GSX?HNLxTLLDR`xxGK+9w<-VLIZDPPTxq@4&nU;9!7pkbK(x+I zT4MpyGZ8@pLnIZk%tP^nPa9g}Fg4W~5*astK9aCVD}~2iwvxbYq3lfhJyW%?sQ+_r zwQ{B$HDTEjIh%sAjGR?>ky*wfu#_C;r6)KA+uBY5XEQ(J>Ve}ZZPr@wr&*y-MFcK%%K?b@lmnQ zR}>`b4{*%C8Yfl8r;*hF^<-=K$))(f zK4o{_SU2qN^^V+(x0sLRBD~q@KgZH~;yrojKf7w(Ky5$XjMvO0a0Dpqf7T(%w!*k? zNDT(^a_Z-cm!xsl@p0Zm1{NcH&Q^hs4>c||N1_yT!yDVeJ9mxu56C35W#YLZ;^Vej zRORdSqB3z!wRNTF`w;p|POvgLb@Wy1+I)xK;jdH66gvYk78_dMpuax_-_bG3l1;bB zWS*zriD-fI-I2W1akb!+&>ud3HXh-S&q$=d0%WqK(?0- zw-ktvmh)E8A`JmI+NmDP;KFS)i*N13Q_$5v`@&18$w{3x@FFl;c##SDNc1Iw*3 zml?xzp(6!h*V#*x)(U==CI%NzP0%9*~;>sX*-u@apM$Fu{2>|gE#m?{<6R!u9SM`zfdk+Txh`WU05Ae30fqls8+69cfpcH`x&lJ~ zkO!f?k}vy!=)F9Ie=UfU{zB>a5la4IiyhuG2_ot>IfVaMOR<~?n&Z?^a<3#w($7Nw zKs)vptC>r332U_f?CZj%8soO&%|p!R62pUo5sGc3N+09qhB<+kyFFOfasdS5~L39pC%agaW;+xDRRL|5*>xyVltfEh?!@+&R? z1LRv`Zz^av@f8E`Z}KYwU;~t=&|ZJNGaY8w_s8roy!`;tX-4U+j@JEM=Ag=@9YsR? zST~!9@@_kDX&K5Qah#xTP1zWxQEQDtAcI;m)RU-|k@)YaLfzulr%{>VmK(o{yYay* zVO-fmMe14^P`9>W9?B9NNIXCXELUltr9g!$88AoMnAvt8EC{O$h_H(vDu}Qr=V$%c z+Np2{jG7aLWHPqD*?mNv;sf7WLl^a%>ox9hBG4}92CPfutossQV4U}(7K z$)2hk^wiKF!`v@6*{+tq?3ISwi`z-TZBL$Yo{0g6ceI<#B?}Q$D?>jHVaZBO_Sl(> z3^(mq_(+$VOKK1f8r~pz$mNLrXw(!X%r9cs>~C0y_#n{QX*DSq$9 z@vh}4W^8Fb$#Gvy{6gVyRi>BjluHcSPb(NJc4i%Uv51JD*o#@;7-Dhiv|?(O_9%Wf z&Ia#A1ClWncv-J4I9s)8iwTQ|6Z-_Y3>fUbXvo2Q%w@>1%{~0?3xQxliLtr5cdfY{ zVsme{Xe2jrNBUuBQyZOA6!Xjk(J<Zn=rqQ>en8 z{>30tUeD-v`Gg$GK9KQq#hayq^&-Ny%V`_A+al}YDE&N@7p`eqlIH&#IAC5 zBAN634twK>eIUlN@|1OyOd^Y8rtgPwMZ*3aS+iCm2^cw>l$E8^D(GBVnz7Y5?D=le z!6PT4B@5YyqGjH)f#c|0J=PC{!Z&-k*_(t_(nZz%SkURW#M{>Bz6ATlSeftosqSflTY01`jI>?BW=q{kxks##;&ab9U*TQk-lit z?R-WumRT~&p3v%khCH@rnRSkjR%gGy8x@ID&}V4jZurd_dlZc#l;Y_nx%!+B8tmO==m>1`0(|Lsn*juD@ zSSwj+e8p1LUs+{LB>0u2C!O?VEyBRi*4SChHV1RkEGw`@V$j9&StH`*MWUOX{o)4T z3p!XrBso0C{UW~4GsidkJ-5T#mMG4d_8T8QQAo4H-44nMJ>I2#t4w1X*Wy#>Ehgrl4tn@40I-CT5=PPgAVo0!F-J9)@3M?|nWO?=z+v?y1dL87EIgRr$NDlDMSlJ6ye@F;;g^Wh__|{7V z+(QK8FSE@AJoBl>=Ht^*$Niog_xT+F3A7wQ)YtEg^RH4XxjSm$Z0*}Gij@q=LQ+kc z#LMVPh5TM;m^Jv(f-QL(Chzz%~IbjCfcx`RoIvAxFI^m|JW+9?3>s!2UrBjQmoW z+JQn%pHt=V&reQ=w1*lCB2Gnq#X^Q^oL55=A&`|*zr*P+DQw}OK4J1JZj*T6SyM?| zi0Wl+3&{RZ=c%(p)-Q`RD*I;W2Z^ulK|iD7!4Cii4grmXL`9(_VvH4}0x;(s?H)ka zY$vDTz6!4i@0{mTD+$wAJ6&Hx=MoC<>fX<#h*n2H=MGZrMFuCn>;eq+Bse=)F|Z|7 z{h1O|56v)*TuM=_i#47D8^^%QfyYML`b+Y*H2Lk=^`}ahG3_HI5~k9IhDxY9Llrby zQ-!rzhNFvpm1S085>^vCf|8kB7Ge2Sb{ZfTk<#Q0;Q?O2&QOyijJdtQz%WuKRYm~H z+wyiXK*Y}a{%LhVr}9$K@Y|dqC_*~0!2k!ePgFomAqzWNj`A|=uO&py;E^OeF+(N* z8K~6?Y{=Bt22^zkLw0~?>)HzNr=;y95o2nvFtE(Z9JJ1GtkQDbqK^&4N~@3s>0C&pM$8Y-Ev}-ze(j9+r((JWiOT9muZm(~X%wD& zWbs}s%s-=Tiv2~X9SHX_)hcs& zm401W=+4iR<+$zresoq=C1foSkei6R`#{y{MGHU4RXeCMI?wjSos*-H?sRW>^PQs{ zCv&g{*!a*)LXzfMTh`^3i%%L=atMUSAr8}9$_Lo1`AnqQ7 zy7-D(JftGgtudJ{?Ok5|Dq7+q9*__7E6G0i0@R>x1Y$Nq2u%=dA7TYFG*%H#Ky^2j z9Nc3ChyAV)={@UGrTD-k>bc{&yao0R1yWx;Ux~IdHGDVyzIC--yes~%#?AyBs`mZk zlfAJ-ya+YMF1yOUgof<9qA1H)vSrV%vNo1#vXqnwHAoR^RM@D9i6Ldp3mIR{cLBmBI~=c|}BUFwh*nKO07 zkH_&kDH_GkU;uF~HKylfi}3x8nWfkKj{V-0ax}&oGYn7vP-Dva<>PR^?RC$|wJ-QGzU;Wij#%FKK~WKBKvWk}t6xVsJME3HDv!07;zxIy1%|wx(glTY5f>hri(oDV zzEyV$=*WBF*jqZVGkHbU*}XRdsvmR_O}5%hWAT=Z$osUh`z|z-qTU_1v85>flt`F**meue15N`) zqEE$fuN-amimKy8gwF@Yat2Py@SQ~@T;@Sd=a)%nxgOD>tEDp-l+Nn-GCrlrBqQX! zpGt3#>kMN<7hi)i6Kd{mg)TW+ zu(8Z$3$E$!6{Txok@|YQm)&0q>ks2!!t0(i2Srj3wmQ^#SVrL7os+z^ZzL_A_<3`C z%b>^vg8!4#^-?C$>oI~qgRh^y9@*egRrXU*VSgU|CHJ2ab|$`pXQS_=2-XhBKgNYg zOqV3bQ=@Hp3>9w%po|-ePQ&RgDzX#04mHVqc0(xfU^^;!((rpyndss!p7!g zY(&OlF2%u-1+ zYf3*pW^*?_v~JvlqG6kF3T%rM-y{8RcDaa~-<8W?PjE?o>B!D`Cz}p~ zWnRC7rbT+5o*jH~3d_SoI#wytI(FZDvgBROuXV6G45?TgsLJ=aC}^CZ{hSfk!^G5P zlm&lruG(U^zW{RhRt2n0v^cJ7_Zgpr4Hduzyyei>mx(1+RUP9rssS1D$}9-+7%m)O=J=~&wse-R9pDv64!1x85I=(_$&cmX0P9B2$_3vnx_iZoEM?0njLM!yu zyW!qvO~fI0435>yc%PTDVC}pZCg+&RGh?4xT=1C_(~qp>uVgh*f!tw=S_z#Q4Y_$) zU)o$g=afl(4@6~Evh{MOF8xi^E-X`fXxqVmvh)r-^jxkoF`y|-mNwLvhMnzg)x^<{ zF0;ppUapsD?4a&RJ6)Zr9Ur)hCINoW52V0yvJi$IhHwi^}m_ z=3fo5YsfcZ_YW2l%B1LeirX+eFg}-^^z0 zal<8;fBk@6W3*Z~wOSY6`xBaOy2~;&UjHkn9_~!6%T=xT4vbkwu0@99eAD;W{7{jpy zxYFv#Sijw5QREd1d*!#@eL9A1p0Fm-*=s|3ZhHjKJ~5I8j*kW6%s=zs4Fp+l_a#;G zU4y~fCGb1zO=*6>~e0i{X9i0c-B(RxlabxXsT z?eTJ_i{3C~*|2CT*I;H|Q zo!5S4qUaE|?2xxQ_|9{B_-ba6=p@E>_V&4ZJmUKXB>_pJIC<4L`Go#qu>ec4PZnaI zEX5ct#278bP?GQL68){9NIxhtDWzfhDXl`Ad~kA#zZbL%&vAn;TQO#RloNd-em0LPFcyFc=1?2uoGr?%zi`_6mjW?paOE>-unZ(X={>e75& z)v2EMpT7x>pmzD*iR>)kEd1PQ;Wkt-BxS*Sy`b}fq4&eXIf-t9{o-!Jah(qtyaPE@ zTBHLXBCx&YQ^#w&a}n5f^C`93ZY*L-NDuRAPP@xC)+CstrcT=BZ9;O+Ik#i%*OEE# z)r`IgjF}mtO%)|e|KwLcd6anLf6t(B`q|Zj~Le-v)Rc$Fdnc#A6&+XdM#pfBG{LuvFXvm%Xwx_xTdQNJ)=yS=|jZf z0zth4ky$PyS?_1jDRn#MoPH?C^@rBL26564o_hyhpKl2+EB1C$&yulhl75JqdsyXy z%Iii3V}_-)w@v!ly~YI>nxpz`S_lK4Lg0wwGZ#yG_4E;&`PDWL>&$~CH3uq+v} z=Uz4V%zkhRSAS&t`YmKJnvlUp?aGORuKMQlS9%_YzgD5bM+vziN0#n>LhFemE=Bt{=Ue(=kk3Z7Kgt2cPviVas=?1 z{>}2p%~!sVXJxx}sWZ4Mxhr>taJMIO2);P#cvpU2w>vTXUpv&v-7Du?Y0BWErgN^e zhf-~(XH#Q*-BK!*l5CeONKN)1lw@3P)nl^98mCTnu3VdV+_}@<bP!kU_1OY}80n_!yO?l8!mONQiSm^Uu&i(slxlx^sG&Q+z|hk1z? zZ5UVQ0dx`)f9WK!-IU{ha`I?IQ`Ks`{?hL9-#Q5~T6ePHn>q=7H^DjyS%6LgUtR{8 zP68W2CqdVqsFN^B&`GE&HY?`5R|`FG%CUi5CqbW}lc2F$OwdW_%mH)~3jJrLIe1I2m(Y*{3_caK->gbF-BNvN$H#D%B;5$<`Q|;9@*s;J>cbpix9;_D(b*3 zv8u6_iM}qv(n{J`mq36*pub+Ivhn7dwdgl*e*N1F{C4vRlJW2bviy_YmQX2rJf9g3 zoHERzgFt{;4k|OGO24u;6As({{_U4#)GV%YdN2x!n*5;b0@F$kP%bK*+?_CU|8Hb_xK^NC%oh?mak=B4xvbjoeyW z6N;m4Vp#!a-UGhmBPYZG|Fc2ZkUQ9#ptP68w0k3C3qQ$x;O-T00-j_8A@JjWkMmOc zBVAC4uM`+dB|z%|13=|7oJiX|PQSH5F2hw#&H!Q+a10?EF{w-ehm48+;^XS!7UYi< z+P5`DuB;Wd0yN7Xpzx6pz}+QLB88;b3raji*`(fpCkopDk{@spVgg8|2hfn-u!k_r z^nI)YkQC|SC}FsqLPY9z2qX>|EaU^1UxEnJ@!e?L)=fjP1PS#6#+(M4CP1?Y~XZWL{~Pqriad2OLjO0U?zZLoyJd z7lnS?`1hfHU@6qH4w$%w!1M=(6sQE5|9{l~iJYQrGtJ9VCMy7H%Lsw6gOCu&g`-5M zU1&fc(tGP}@Wc&Re+4?y7Z@DmPJ^8w0*EW)ABH{FTCm;{0I>m6k$hA*I+8$u_id2= z0mPU&%J$6HoAb>B?XUt!B4q9P=0v1yt_P+eJ^;(zRVWb#tnOPq|5CERQaj|M0vSPMk=BY0i6u%3SZsxSLV83IDU`1N>)ZiL zS&%!eB!)=cNJsZq7+5KSeBc(GC&D&I1!3LzHI@E6aKRb)1xdXC+@WKM%s(kkQ2G&^ zw4J;+(h`V3Qg3Xe@u#GKlcJNGRgy%ckS`g3ZYMYsHu(faUmya(zT0{dfU`}*$=tP& zOvG$F9sLLIgA*?kQdE;lPYMzD9}`wnx)7XZmfVG$X+$<*tNOLW{D(amoPm{mbBVo7 z1pX~oEv1#<)STq~QiCQ!!4BQJU%)9K$=8!F=|l{;d;hS@fb#|NlX?WW>(3;zHslh^+*Yb7`mo&4FHrierX=R2UZ2OOYH zZcp%CBH{myU#Fyj!(PePM@$KkM%V{{>#yr0IPj4icD0lU+uWfj<7O!>1BV!r&-950 zL>PES|MU6-jzc4V6m2Od;r}MlO4^Z lM1ViOlh3(`ArfNizhRjf($WzIvm)@bumb`~A;kPc{ts-&V&VV* literal 0 HcmV?d00001 diff --git a/build/build.xml b/build/build.xml index 1e5f0259b..36b3abc74 100644 --- a/build/build.xml +++ b/build/build.xml @@ -1,17 +1,23 @@ + + + + + value="linux"> + @@ -317,13 +323,25 @@ + + + + + + + + description="Run Linux (32-bit) version"> - + + + + diff --git a/build/linux/dist/lib/librxtxSerial64.so b/build/linux/dist/lib/librxtxSerial64.so new file mode 100755 index 0000000000000000000000000000000000000000..d7adf2d9ca5f1f9d246ba2ec170cbaa5f2cd0a2b GIT binary patch literal 61280 zcmd>ndwi6|_4mUi5CWKZ!Qus3FDQ5cykHTG1jyo|1R@Z{3k^%MftB3c3j`||OrlxW zl~hIX9;;Srt)^8pqGCWKQL)B~N-fohsL#5zqP7|@HShO(W}e+=Ll$Y@-|zkBefTW% zoHJ+6oH=vm%$a%Sd2+3D#L=sr=r!W4Sh6u1%M-(nd1IWu@r~ zH1agA}d#*e5M8~gka)$I>)jP{bA5h;r>-c(OlW|ky&4I~>)n6UOJBIJQ^) zZKn)1I{q>s{i3~%xoHQ3gOX=D(hKmr5WgAt<>6;tGp!q>v+q`8V;8%uUIewM+`SA+yRUzi04!7C&Ck;kOaL7W{Z^!fy+H0e{CGRsX*EX9Mr} z?Zv@~>&89#($u@IoqPK=vrfC_^fy{Em))3M^nLT;zn^@?3-dNVaFwTHb(~6{(p!$m znd*Fd&u`YAHhav*lLpmY|E~*5!v(L->09#7ZIE;Os?96UZ!dUk;>zrU?rHdF>&FFm zT=U5#)#=->eL6O(al{?t7XEwv^JRmN&#C*{;mdZSG*ej``!1~PisAEW%<;?L;p~^dceCozB_By+wbHyemP>; zJ+}=T)biM}^%aGW9=+`TH79+&#rt}%`>uH{cgN{tKB-=^=2v%IlYaVPi$9+5<_pEy zkr`hs+fs0I=J0#hwS9Hd-!A|C%Hp|qzjy0%7r#~ZSW(8{9K}C!AAH{0FAs^KA+~ z=fE-d#0TOVj(wyzj8jtJuTD|#XK<`N@xL_%{oA0Y@9loIrQmZ^3O$^ZqP>S>e(Fh{ zFH_L}8uLp}^pz>-Ur2!;ox&czPN9dtrJ(-@6w{MDc`52$n}YxR%%1sFrfBccsQ0+; zodS4h3OO57)LWN=zCDHfT`BPWQtV9jEjyG{9`Hf@V6BB&J_6a6zyG^g8uUq@~lgNKM#CV z6qRheTT|%g?G*KHNx}c76nJL}J};-BAD5zEmZiYog5dTLM5u?9sdjDG&D$&V8HRbG zLfi-c&g&o<*BQpqIv|`0I$ph{-!qIqx)uDPN(`UIe^jIpR*aMj@L=O`V{FtR8J4de zCGE;EK3%8i(R{foWPD{9>mmx?s^z&!^pjz1ctGLl7kE7<<2S>j9u#9lF2Pmn+!ff2QWMMF-Ya{5^A!lIP1? z6#elkG5(B{az1;WLY$=KpQGhJ=_-Y19LpQ25+xLWc39N{nm4pZ2gI>QERhk3;M2i&YB!S4}@x_xtnrDg@U7yq@T* z_|N0w1lK=QVrgKc@v1;sT97N$WEjRtT%#AJcN)G)Lhr{x|7*&#P1TwYpu013bhSXbgQ?;jRDw zs_8$|a()25yt42+q=$HZwcs<18*e9-VN6ts@q29#Z~7FXzpnQ%J?`FKr|^ep`V3v~ zlvN7xgvL+RdVb^zg=p0HPOXOrZczx!uDUdz%d~$xRns4)^>df*$2&BBCHku;dwyKo zPoB1atKTl=z2MA(a+@Q@d&e#>qgzK1)n)IMkRx^-d?#;!4BOomutNZu2J}VHJ*DI zw9iw|RrpgizFPP1$J(#%QQ5|Wn$J7eD+I)rt4iy$LT_vwrTKiK+xy7^MYl~Q##G(j zr>;~8%g%S`dUyC0{&h|7*8KCeo*{-@Z)*4(Q3Ss$^ z*ED|G0)=l>iR?d7{`_w8->m({GVM34`R)YB#dh7gP%(H>^J&xaTzacQFfQkHp{Ac* zq+rYb7b2g09+;-^Ug(`yK7JxkH~sjuJv>?~WQJwWx9WD?#E!sa_4_Q{k1uO~64LVI z>3UC@s_2*MdOy)}K3}5nmYv+I?Yz7};k7!9wVM8(VuiQb#qO4I)J^{K0jj;H>Ww^W zoYrVL_gt#@xK(0Q>2~eW{n)7SYc-!6G@qYo|L~f&lRF<*grDnnm1+E*-zxkun$PK) z&xahCxOS+-=+gc8j#jW921c5;hlc}-aJZ&_Uh6aC0)=>A>-iu(?k-%c@LnzF6S^OF zUa#;oG@pr@&*mJ3u;_Q89PQ-10)^kK660|_UYZ&eB16|ZQq#|ztMH#_`h{8#&uKlJ zuJM=adav&`-i^Y-MP(J`h5mrs7bq+=3ccmtfKgb21X)E@Zr-{}y;pmT!V8ub&h{+w z`U4){)Ka(K@9`V{@``1KhyVFKr4<#GhDRk=x|g~y&NC`~o{CCOxu>|WvceZI%G@lA zDvCW-#=@Ytw74*^*ynK<``sm;LXX#PEb;^jS+;Pg+h<(Bn?>cpLT^Rk?0IwN<%37z zbjasb0{Oj*+(iLzMY(}HPg!MPx!)5oATO#*su*$xd_`rIMv2ekF^Ve6OT3GMK93SA zP=rd|fq>5_DnWU#ztZC=R`(U^K2QX_P=K=1TWpjRl?O@_vMzK7i+%2Z2RcYd?>BrN zX>^aRpjM2M#s0$5ilQZkztZO|50pUR9-ptgf=IV&SHK5Mh0lPRDwZkDc&ds#m6F>d z=W>)>>X}+v;rAGUqLR{}f3Z{)ELT-QM2b~d>GrwH{6@g%F87y0_`+g$z+Je|8?fX2 zfr`rRI27~-mK)xRBIsa0BFymw=6HPQDt23b_u7dtelvyfPU0DPq{>f4~~l-B_ctx!$5BQ!9dSqKiC%0R3J`G2ArVU@080 zySQ*6yn3Nqd^Z9VPf@@K`b#~Y%AQp@Q#`41hRF_cF2WT5;)>D~E|PVno;a zQjgm=wW6#{bl0yU@ccAOgiqd5I`*Eq`8|OW%&-WDIKvt$Kqy2o=nYi( z^o(f~E%p>GDJ=Dtdl(`Hg8o9E4grnDZiFvAWE8rKiadV5E?Tt6TUaDrROTrwf;W}u zgJp{ryUQ0LG%P^`$Cl{=2yKeW(49ITvDX(V1k)j`=B_~?Moh21$g)ay*fG}vkH9LDG$cl#!`;zxKoP=x!qu%b>7khku zw5l*ZDl}prLUwqrYE!`D#|%z2l$5!bczo_<`=hpc;X=gT<&3cQ^$s@PUcUrkK2M3a z>SCy5Uo*B5L-)C!rQRY>uGfbVQ{hW7144gdt5bp{B_7`#E)lxxfwdNb-vQBq->hH& zj^h9r9vC5lYV>TdGT>zYOHX%%a{-|ZZJFCw?nQ9t z4-}&UqeRE=ph5s)jTuDtddwKXU{R$C^|_oW_AD*L7{)9ghul{JQe$nAuOe7k$PxyG z1uc3)%5qhrMk6_M9fBe2EnlLz>-gRsz)*(9E16%E2xHtZ`6U=eSOclGN0F=${Qe?$ zd5N*aTUv^>oYf39;EF3e{=)K#fD&Af!Z=dbR~#&>EQCJGycM?9o2PuK*H=+qCgJVO z>4me(XH>wlFOb^;tV95yqq#;31byYu8?{-fhM}hl1D!$^dwt@?nToi)p}>VD#ReMc zg+7QXkpSL+e_mD?gby$(-98L@w{MY%6oe*VTOOt*s=ZXuGQkIY#lht9%aK64on8#- zy(M0_8)XoMr&s#Ry#AuX)3p_xj-iLzO1%p!)iQRpzhd-Q!bQA08|%3$I!&Y{)=jCt z@o>3?jD>!`T6-IXXfVtAssdFi#yahc(Pv;GdpejI=g*ivWojW1qsPVX&m4WGtnPbb z)7|>lha2#?6wH(u^{;RI&cY<}EDTdS)_!l%^u(tRO7;5x{2%pB9ZZ$IWW#U(4j$>n z4pbjw9dNvQLBDf!K4Je6%im0^3j6HA-BSHaxcU z;#ZXo|8N|OGj=w-+lH^V;T<-7gAK2@3MF@~4WDhJZ?xg>wc%Sd9^2E+3?uXi(f-+cx>IpFNY1kDULOakv6sb@C^KJM;ZS?bO_`_`Y1vdN;8-B43f4B`_X~XNSb;+%=;eTeMueRZ>J!WRt+wene z^bI!r5jOl<8{T@x#q35K{yrQ1Ivc*)hHtXrkF?>NZTO>X_!b-fXdAxGhJVb4Z@1yY zHvA47ewYp4VZ&Q{;mkH|cx!K)_`No~g9v?f+VFZyT;+V?kd*d+tis6u={CHzhs}&E z8~!*O{ZJde(S~=}@Wa8-9lkztD#7u;Hy|Aj~#x z`15V_du{kLZ1_$aUPW6v^HYc1^vC}XXcUul8~!C5KFfx`#)cni!=GitJ8bxIHvC8% z{%jk5tPOvU4L{z7*N^fgH^+vrvC+G1_@CSG`8NDbHvBvre!LC8z=p4~;TPNR=h*O- zHv9w|zRHGQX~S3B@cPlP32LZa)l6A6S`rs|>?cvnBndu5pJnIgG9mhvEOs z;W@Y?EDu?u9bLFaWDxEJR?&8WdlT+WxJBUao&em3aFf7a67Ea5QQ*%Arx9)tco$&^ zVnnM2evdGl7p)Zd&x9EQMi&VDD&YZy^99~an4x$yN8k;F8G=X03j9aH3<;wSfgdHz zP%xS$@UICUMA#7cF2W4)qI-V?V#FOOgsTO9kMK!^D+T^DVNU(g z1p>cHcogA$fj1N8lpf6ycmrWh+0n5A|B*1K>Zn8DM+tMvjb;h_Yr>-m8v@@&cnsma zKS=)*=F}JM5co#IrxR`$_&UOzx}q%tUrm@(Rk!kki~ z)dF8em{UNsQsCKy>Efdc1ipYUU3fHK;3Q!qW&>3;Z78^9ff9{Aa>0!V3g`l`vgdG+*G&gfAeRBk%^o7ZM&T z@E-}!AnXwMQNnqIvjqM%;hBUDf$t(bi}2p>r2h%$6YdcBM#2{nZWs7E!kprxEdpOn zcn;wvfqjJM5^fauO2P$%8w6fR_+rA<0$)b>62g@N&n7&N@B)D^Abcs|e1WGBzKn2= zz!L~xPI#=qXAr)EutVUJ3C|~-CGc^Ce?iy~_(;Nqg!g_c{ZDuS;SPZ_2)hZl3*4LV zLc%QqfA<*RBEn4qe@VEQaHGJV5%v&n5O^2i62jF2zejix;Yxx3Oqdf;bb-LH67~|# z7kD$_D+%WSMk*KQH&;0A-|HNPtF9%`yQ^KMw+8DfsLo!Cf`%*f@#ML4f(uBEtqg;xJ}JM*Q#_Fbb!YA!o*@k6fF+@8hb8^>UrF!|%u(4(oQ+ zwDfX?((;f$x$CekAf19+7@y)K`8#VaqBWDc*@@?|%JrBMI@0!M=UaxLjW zu1NO%$a$r!gY)kzFEuof212@4?dib0J3aJ+E3|$je6=gIhA!O|iVS5Eu9GCPhJN1_ zda0RC!xehh6?)$l+Vqv{VNj@Ib?u5?pfK81-$~MNov;gM#R=bWg<8AbbcO0VQ~_08 z-3}WR9%@lX2G3d!E|DP@Fkz*wW&~XlsuO{9x;EV5h6)ndLv=3J5EdaJYrcZ^ zG6~hqQ&6XZ7AS~82J#jwC|yC73SvNlyeb6^RZz8p915ye&`1R}D2Ra$%B@w(Y`ATWe3nR={=QjM0WQ$7Lnh^JHb-s(=J1FdI**F*()aBb!xT-7( z*$xToTB`BT;Brmp;LKW=zF9qu=&|@OI~~f+tPNVX*UriAE12_% z;qA32X5%9OpyBH{>UQ<(VtdsKFYVED$K zx?N4T7yTFXlYNk64Q7_y-t;}OnS%Whw^2N>Fhu!L<}^!u`33JJviX#E<)r%?@2=A9r`~ZovTDMR?bOm7Bm~ReT>+p%?237W;Z(?KyqQT@oKse%WXEY z4l-id%{fytjo8`E#%%{1u`$gHK04fpjcl&2J;sO~+uS(fL?d=&bIaD#jM$;g9gD^p zu|dtofNUd{){Nn#J&v0G8X*$A`eJcZ>*=*aYp%fmtgLlrxz zTd|g(DE8J>BILJBY(h3CieZ|kMZqJ-+h*w?vZfN63D;4X$~!&v6`jWNafn9#0G8$! z_>Kc%6{U9w*HwX0O~=@p&a8j~|1IkW*LzTGOiiaFa70b#*ub#Z@#wTPh!Z;!H~n~X zFm7OsMl2mS^iU0o&j>um%gM%nM&N8omJJ5CBeFR}0!PKp0j4H~?6y=x%bggyWbE zIiE`CW=6P?+aF=)Q}Df!FJYsbpmG??y-C)`@Mv<^c6+&MDVMhg$+$dgS@k?#gM zjN?GbF=-dTD0(JewWSeFiw9B+IoAP^JmwH~&O`U2lkk7CQFM|xoMM=DB(+r!vp6y` z_}~f@jtnVcVzb~Kh%0s&!NrNvu5d%ORHOztLexJeW!CQ}(;5Qtdi4+xUlT1s&zg7s z1AXR1FIfHq0c)aXFm`&@U7S2I&bn8UVCf34b;0^w;Rsc!@jBf46D*_aE%>GOZ~>%n zwyW@I;TIf{fwNta!RN#Ei%mu9IBs1b7LnP)9P~M03*BlChW$myz?@O)jMSyxL6dEz z-bGccn32XW|4waet68zl2+Rr>Y=g*2cFd~Hr&+5_0c-Q6akP4S1C^j%Z-t%PWLje# z8+&8giHlEpb#2QBnfHTj7_)lcz}qN-dPYMS48{fjL0xG0#bp?dkla#BLR;^HUmV?P zez2FJ(6-pZhX0@f^IIq{jBv`_OKzdfR@u;-u;#BysrzwXFagQm(IPQ5tXVj+E?05X z94uE|h^X6Kp%#19ylLuFrP;QYF2DFf(*J<^?ERpr;J1kt%hND zv^4-Rj+muan33%7QKk6{aF1^0@Qq|2&g$}{x;@6qOMn?l%tTPy^dFU6*j0)g!ogHdKRYQ*`o5ixbIRdN}rIN8fDL2?DEklQv zmHI6cZ@_kgOZP6kXcOC{BA3wmVvO04ILT0iGj1-HijqX;B58GUfdma;tx!i&H6b4l zVKE^e4uKn~sxu*mMB>qLP(@hcAQzShu81o=ZhQMqg9sh3{Ums14XDlehl`3HPO-C@ejd5u8__JKx)ziRJ8ueY-t^t@Z$ylcvtEgj$t*Po#>E(+ z#2DHgKTO0}eke423oDp!RS;VU?sY9Ik8@eEnFu%BCftXgh;aQypx7A+gRvHVIf{VH zJ!EdgnWxEQk?=iON3Ylc-_sr{*cQrb%MCfVmDL{HYfuXT?h@fib4WTVpD;qB9iyCl=({?DovsGcXF&?dq4%0oh#jWY%uO|8jfn zoSM$^%-Y*%eVyf8g`SaF>l5h0%v!!h&sFFpNTXaOWpg2wS-Tu?cAMN*@sY~ErS$%R#Bt(O?CtTJmC^Oh_#Yp3Hj`W;~|t1@es@Rm!f%-Sn?%Vk$) z?S-V;PMFK4%-UaBx7Yq6n~SW>+9G0ji~6_-%dDL$nA$$Log}v(lGBN}jov6QmSdT< z=g2LVWSO;GS7&prmRZZyb+ni;mtvW<+14$%bE%eDE6ZIj*D`Cl+|A}XF0+>5ca&Pr z=AtdL_E5>f!Y#8lleb*aW!8QTjmi30EG{|-Icfm$1>R<;#fUO!Y-Vg7{Ri(Dmq&N= zj?07Sd%WZNAllA5E)b#|w9Vd3PW02J!AT-f{CJdL!=+ z;$1cGI9OxLo4L-9`I@;25L?pRhe>fWcLrkfo70$F+{~qaY*urBCaz{~Da0l>4`4E( znJfL+nau;4oZ8HtfY^xU!Ay>6=K4PNvu3UnVp%mS{%KUDaU03Lx|O}OP_QFZu#>YB z=Bkc2LQZV0?5yeR7Z{2EuEpXc4J)Y2v9l65wWc#YaBd9I*bgKRWr=QMx!j6r%VGeM zK#mclBN=(5Ajc*n)1+VGJ68?})d`odH&n0(^KDIM@4$TQ-vowX|0cKuRqRE~v$0*tnxpWT7x&_>a|1h5O^)W!-E>?5?YK@*(hu9GsKR#>YXQV+hFDFZg2!5& zKY*#4DV>k0Y4Qi~#xxG6-M1$1hdyCv%LslG0@V@jD=;if!|6~&yv26b;!G``>qK6e zdhw2$VK&3Bs`bKks2;VA;8+05;c^e7#{HpkZx}ha?=R*Zy9DdH1U7R`OXkxp$F@s8 zjXw5s8!J^@vC@hfYRm2~LkC7g1;krm?=wrCt9Et$(4{-6X2qVqnK!Hvq4&5Vht;vP zE0Xp`F`Ci0x2vcfz-9ohNZ;OF9l|_XOB&tX)qm>6TdTWE6Zk%M{9Fsa>Qyev?OEs8 zvaoEoXB~sePp2dWdt^3|>doJMpl1)=N?4`3W6V5K=B-HfaVTaU`>}*@2rR1`MP@!} zP|Hki@vLo94T1rSr}8Sq7K4X^FZc4hvA)N~x)H2p>OT{^#OAIK z$ppOrLK5*cXeHM~yBAW#ai20_K59b&tca+LxNd6p%!6XupqLh}h(dW!AuMUig?gMA z`6fuQQND+XZL#$FFUWdG8xy6Vfv}&D6PqM@S+ceTp2&*UyDye@Kr7*brqG+CHigMwYJM}Zd|03xfW1a2M_7zDZx$BNSshxXbP5Gg zr_Z}lATsV&Cd`NOVQKT?+FZ4VF^DVlLNg9I;E`Apl2Chn-D3p9_Y!o4>m)NW_y#;4 z2G8r5C@sGHH)-iUlr0N{@;N4oav~azy^9X3Zz9>JLMAg80z*R(?K@$$v&OlnSIvr@ zM&J;$nR#612m8T8^6Ga^t2UPA901~@GvGyhnIi{ zL+iY48{W!Wm=$XK=Ce)T^$oRc>Kr}_&u`!!QPHpe3JDScG2I~t%sLF1MsUZ^xekha z4o3l?gr}J(?%$#yxMPZIqJ0Z9_Ai!6gWyNDVpdwxQXD(0!5j{4$`C#r*~;9&o;tl> z9MQNF#1XZcheQ8rTf=U1JqvWQ5@*O*Ty;XSJXMyf)eZ!Ds)nBET5r8f^mH>5rKdOFv-D&_Pe}{ZlD{Zx-n?oT zr+yS~7RQt9cB|P#;xAjxTB_1%T9JaD(&NjTDw~!hK6BsiVu`4aW)Aaz{5o8a~+hHLZ8_XwfY4z>J=Qwo^0!j2{Sf8I`wiJ=Mc7+gy{(tk+UngurfBVvNo@P{z;-;?TCF{oqoZ4EYxeBNWju$YX7v9|R1?2N zg(;eN^Y*x9^@i$e#V01K{&!H2CCn4b4c2t@{vWZdEG6o|gs3x9i~7-9$-1s->uu>; z_6>WqtjAF(VObl*b0s%#8)~$g*G?--(lzE-2c{4+6huIow&OYn1_spX?0hi?gT(wC z>;ZAXi(mZU%VPE)S5O7JL-`{SYv7p7NTJb3RP_CLHPX_mg>S)LS12pC7Epgiz*t^T0{{Ip@N$* z?i)}J(eGd%&NRZ1%9toaYC?0BAvJP>v~Qd`|19yuSZVKhgtelwPgRR8@olm{JzHe|0~00t zKT&TA*+XxN?AWDwo&s@via-Z<7w9hWgyV*5fexlX@N|sI7I&M^d(h``N~U26nHHp$ z>0j+4)5&3Hb1F^#S&<|(>E>YO0&Jd`CAWpNCchH5H?Bkm8uHP?1Jz{dMWV??Oq3=c zg}PE`a*`4Wnrx;f+aZSt)QW~Cb**0s^fq?48AG>1@}4y5Lf5v%7lc>{K7}|=G#+2w zsi&$b9)+13ArQO-hbH&PEsl+2AgWwzMKxpAk=7FhhK z685=q&!144UHs>ue)XJKx92mOXlG-h{vi_+xy)7`Q9bz65x zsG_=~rURi$kKHjJC6c=1RP=pPcU;cF_y43j<|T~|Yr731eo~IfjLV;rw%1>XRxcwlGuhl$( z+;(G3goBTT^O~?#p`K^8<%aXJ;t#YAf^e9vXa96%=93U#oa3X=LQ*@t;&R7br)z!` zJY!`^M)wSgBpKZ&knX4JjGe@Z|EKBrEIYB2)a|6ge>3iX{@T9gRHH|!-3@oWy5Di% z0Zk;_u#ZZxkNaMz<-o@M9j_#fdjyi!xW@zN9>={0C6c<}tZm)8pa{bKv}*tF-Ei_! z#}!&rg~8tH>=1v=tqlaI)(Mda^B`3H2FVR^y(lM*I31dt_#$W*c1vqk>^6dWb8J4Q zp$=?$CT)Ot+R$9gpm+epCFrzSoZpfo>FdyWRwPaT0rH-fph@vH51iR|#Q$%bvk_u; zYtF)+nsa-rZccnZ=boASwx|ipv0C&L``g*k^M20%YMVZTtliqwV;61S8t)>m<-W?> zw?Q93JywH0!f25$+IKDYzuKIm4zM|2y&P{&4w^HghvsD2nsb=d9QFJSZa=HfIII?ADwf2T;Kl-8=DpvpqQ1 zprlXiN>xBPR*U@fpcp{a=$UG^QA$tv zaC)kw6%%W($6zUj2LN2G;z1Ah+J6JV@kp5mQ_e)Y%%@>#vOtLq!7lpSj~ zcd?QC?oR%<(trQbeSsZ8PW!MPa&zf-=e>DbNjKm1LDoSoSnrd z(&{w%8T*_jKO%OfI!!)8ohJWT?0B^?ajbgYex#bO4^_|e2gzBL|9Lf}Z~ia3d`6NE z{sm2H9ZZ-G9i+i1RhOS2T`pdc=iLXy4pMCzWSuCW`P=#wiwZ;rK|Ifs!xlP;pPNYa z*eQ21hyRdCOw>NXb(`3#`@wjXRv%0y{@57iOEu~wYi3FoBqJSgs3bJEEvEVXe7@B-_@ zMvJ>Q&U7)$oTCcvh|UB`=U0tac|TWq9bmNsd#fETJXKY%aISTov-ujnLf8^6cs`V$ zj<7g7-r~6dZXR}ZZ7It6|NIytaWWN`?JU(nRoVV^k zdJ?o)+WiFWTdq+rxk5X%xo{pFwbd1}%m*y6@yn>**&a)GwL04&9|UB3BJ*$(2-zztInlk! zC0^DYF`cys4hlOT>g6=?|0w64SjLMSQRWXXpdn6kqFCOFL-AU~p_%u!)Ht{G!c*$H z+x~6mPMKG2;gGStQDci`u)iM4tIrKNYi(QA1J9Kq(2t240@I~^IGb~mu^g`<$&r`X z5Q2OwX%DR`hSMk5Xs2^U&;ozWpydmQ3MX|g)_e8NXTo`VHVm(eU8D{Zq{(3dby0P?T_xvBw$=cxuq_TnBWr! zx4LhFsNFU+k&dbjP0XDjO`OC;HSs!;3TK|2i9z7jb;+dS~lOg@3ls*jM%y-ar(g&}jfvOMMR3G$GP5G;sd#m|6 z`bhNwj&AP6v}fOM?#YyPju(x*%S7q<$Y;frZ1eF@U}T8_?J#N;KWsmSSF>j^th5Jj>TNyY}PI}zLkRs4RYGa*7igO zhx(AX)je{wt+%TWMrLSJIJleJS`pQh?gQ(Cr>WX)ctr{)-S|e>@?3PYk8ODfqMDyW z(bCPIKx);^P%4Mok*Z<;l;O~7egZR44GSBx*V}8Q3sT}69WA{wpU$GoU+bzddr_*) zr;YKOgQJ*j%MyWA7QdJ=kTN(RLky?wwizo2fyG2;W9Cgmy0nhN-OkyG@?_Fdc7t3n z%^#p8J;7MPFoV*XJ!3I=+fWq0>P9pJ@rtRzbp$aOusR0@SXe=$J`+sHWqtaD!In&% zP%~c&e@Vc|;1iT6!)1=J-r1FR<}|lz7s3KKQ;fU$!Xw$H&{bpOvp;q>m3-ZkCT>R369eO)#T=S*lrEihIhl3q+RkMJ44F1ztkn-xCu zN6|31FK%PmZ_wwe>=ajx$YKP}w2J18N572#=>sIa2_~a+lFvEbie77mw6@ddp%okh zcx_=%QtjtR?buG1NabK~zJZ*56e5Yi0$G%a3DlQ@*cEX$ZOEraWT)C3E3!D(Q8NWi z8|T|v`1T*9h3A2}kIaXFc{GPSz)lH1Kp3@}??D`5!_~FOkL2@2`?^pA0UXU(X9RNO z^=pgZoXx@KVy7k8a07%x@zyw-dOI5eaW>mjJ;Zfq!}SZ<%!sqO${WV_~lAESW)u9U_4&R}UOE($i)+#FX5@{5y^4U*smX2lLqoeO~e~)QtfNQ%rSK z(mIRN>gL{OF-7#wq$e47KOvUG1;SpE{`Dye zk$o8CGvB~{ly6bt3=SW4I27k|aUM~H&a8s<29B&*@q-aKOudm~ZiGvaZYhUeq^y3f zAcCDM4-L98I8hilQ1h#lq5w5p%=&6($0nFn6Q!B)z8kY{(xj0ZM$&<%>XzihTavT? zvfTD#*@sY8H8n#%z2h`P`R78~8I*PrMjyPlvK93|dM678(}{XIU6BSE&bSR5NyGM- z$EkeTS3RDLjsYXF6dk4YzzedhmBF4_cRyw0X)1m|3%sju0|FK^NGb*4{a|n1zlB1I zxYR<8Rb79D6wbkP6+8|t+J))HTmxOd=)f}|b71_g7w%$XK(Ut;Pb!n@RL%u9B5GQJ z8dbTsz&x%KHBaS0A72o!lG!LiP^JAId*nv9Q6i3`9Ks5401>+_2P=k<&M3?|W4P4-hIIU8c z%wyvr(ALW-5KCkMY8D6|Ns1Ez`CuF=y96j{EmaREN3Jc3{@j||Kx;PC;r~4uE4eG* z+*-YsNL&iyE0YT1S6HBt$l!mSip?xrSA}*Nw z+*i|Gp|@C3_>h`iljP4~yF%Z9!&{$nvuMD347@2vP0Nw4(2ULLB1voYyts0qhSur@ z0J*WjT%1N^rkbaZX@C}%jz{jdqCQYVm1VdWff3i_B;p$TU=LR}fr$&_5FrK6E~=Oe z=4^d`hR6mXvgONx6GUDLC3XoGGc-(_B1`rfSqdzU=oV$=mL)S&88tr|c8ky{yN>z0 z@#dr+CvO#&sD+vUR`W~SHqQZz%Zi`-a7|B*5`_-kL{tW{)hoW zi~AuN9b2c+W-|IPP~)*0S~eE_-h{=C`6f^{ezWOJ6YPtS+Yo&aT*6W%R&Fl+>A}d2 zG_p8!7p;7HNWIjw0rwoj5O6Xi3Dp%ta(n^+|8wM-k6{R^jly+tY?gVug~cn{h;*%- zs!W?ew>+98-;;2N(UUm-X-ZhhH>xf-uq9bcsL?I;elR_9I-b(tqp70#V} z;fW6s^Md}0V7Jf?yYIZ_d`ml^(hN9DV zorO-n=eOLq-@{&t@~!xq7udXBnRO-7r3DS#!IOpKEudtVS=3GtAX8!=E8aUuD&5Wx zhAd|l_pyrQXqZ(fOStC2*VN^so(+h)U>($xIR*T|Zv+1XOVW4go)yIizoq0i%EqsS z<#h;o6_mQw`5Ob0$azncY)u< zIy3$*@T&mSyTF*~+|0p|@QAa~9<|@~8%QWP%)jU}%x=(leBrQakBfaAdZCRjFSK4h zFBw{+zd0#L`=GJmdl)oyT1NCP{D&{IIw?;cR`Cd3BH|S%<7t*`H=RzoZR?J&*-wfF zyD1$PJCKXG-~=#8`wY+sV=upJ;K1Jv8n5X#((XVdW6BJoVHlv?+FY51ok^6Q{UFWj z^Iox`_}_^2<^MH!2ef8|IVtnzD_}s7@$oajBq5-Z?p7jg(#=bfZi<%f#^Wj7jAZHH zoTa77(!HzPhe(H~7L@L(Un}Y0JrX*$c@LYOE$@L|`y3|2?uwg?4&qZe%2!So9a)Z2 zFUdM#V*G3XhSquznDwyXZjn40MzUXI?v==ukRwjwkcC5>$0kzcrsDA$b5Bg>(QWH4 zt^Y+1^a6CwJ)8JbhzE{?@R1?+Fi|n(2T+>4y1JV~JhlRJ`L_H-QS>Z!2PC`(A1l1Z zt2&4POw z38tz{LyMwd@pW>WE@X)Xd)HfdI)Vo+JSLN<8b-hkITJ>}4_buscI1Xy>hT4saN4!A zEM@AC#STZ)FQ@oxFa%=rz`rx0*iIEEW6e0xxl?o-&xCFf`*JzSEN%dgC6`&`a!BUW zdHl#zC->xv{v4Xo(AS#A^#=n&!R;YDy5z(saU5IN$QIH*1bb9WA1-0&0$w}9vP8iu zY$bc^uVYZzAK_%hZlh0TE^TV^>77-yq4M z36dG%dUgxHW|etAJ{rNG1r;>VHR-xk2MrBN%T1g=w)vOIU2m#>LhT{4ZhnB%gT2qJ z0VAD;M3zOE z)}bk|yi&WeD9c5Q+DipbwdLB5uF>BCOq>aCsJ3d*CakKmEE|RGpChxr>7{B+tTxQ3 zR$~)phA)nV%`z54+F6zOxTFw56UB3GJWDKaX z^C}T|HG-6l2Ll}gTQyqUqI0z(5|5DMvAQhw(&k_2K($a138_QFyb*n+r;hlyLAsSX zY2Q+FdnhH#G*SNxBjI*K>rW8pTStIavG*QM5BwSqR^xp%+Sw6HbJdh}a2vUU&#drJ z>fAAbOuWF*3$Lx$l_*G!d_Y0590R8fC%`*w+$54~rMwI}9j`2(W?lj=vOhAD+;NB^GVF&_SbU8L zBHvR;bl)pzCc3nmP5$@&y1tmtTy-~iZbl&Zm6XM{NMb55Z<9iUKb9<>3rYTNL(s-^ zId~$JZbzW9VW0@jQ2H%kRp|2Vov0IdenDXe5*>_8E>K61=yP4_)9#`5XZ=WCgIBZK z@S5=g)j6OOyKJ2h9I8@iyblCzK*d6giZ?(st>QwkG2cVB^6UM$kcy-|bTX4QIb<$& zXMTQ!>@%`g=Ca5m@gi?gI8AF+A*;FPRgnu&9Ijr4&4}rk%Vk2@@OQlad9` ziC3exQ`JZ0(S%2!vB{yA(Wu2_1kA(e=*giiz$mftyaJdIdT-b;snS{P3`L!x4hfex zkAf7ofVA~4tVwSHQx+K9SK7bNmqvdLBI)KN)odS1)dbPb!LLX;x`%ywq(sWbdt?#K z(xSrqa{yHj)Y1xINJC&^A*$typLKe0Oq!kMdJXwt0M3^mppAQvo;kL5;? zpdn6h3cFG`wVEdYChgU z)|*1t!ICBPJ&e#Y+I7OmTO*q*4_D}gb+F;k`iEpl3?2x2jBMi2h4Oa`Y+mOWR2fIN zn!{zh$l@Ielrl=R^A4A;;Iqd+!Pd>MVSmx1Se^RDGqttwc54t-Y0WPHl^R6(8Z#3Z zj)`Hv;fRUI0Y+!Y%nf~L*G#=MT%B%ITM61Co*5DsV->}ypcuEo#=~n=bES+D`A{%{ zHk~kSzf`uypb-1&;7C=QQcbIQ6nJXM*s90YK=EgR$%Xr6@-`YD=iO?)!x5vGf(e_K zrx;tR(M8lyXnlYJDFs^3A(UaCPc`ZHV|DcVf{bK3{XI6Pf8TB3fc=xhfQGPLw^{@L zW-3K+G2pg3|4KK238&SZ2uWeb=2s{>(P;)2nnyrb-pzwePpqR*fjqM>kmx&e8^~nB z^J9F5mB9H719-hi@D4_b)%2&Sb*tM$Q=J=O6IZ;rO{I1f2ysoU4;+&-qX zqNoI?TbE!%uO(1cIS+rqVID5v+UCV2{{tI~g9ZFZD=PRk!AM{H9hsKidi%cByqBFB z56n2he1&0WF13V-s@+^PfCE@r$}1xEurFoA65DD{Bkw*=Q%94W+s!Y9Od@crmqya66(l}7l2)T28SRm@I)Tvlk+iV7t;g*;*&KiijHHDWb}epi zR=16~y;a@f5qjF4>K5yWw5wDxJYaFQh}0I-x*QqAR^2WIT`eVL2NZv9W*(3%1jCZD z_?8u$2JKWpBm68lMgjv+g?8=`pT2`W9cv_80NSp6db{@NX@5Nys)?*=5pL3TCmxLL zv`U0IVXV&)uh+i3KqOj||8LePG`&H?142$lL-<-SbFisICg*IG-LheaoXBPb zceo;TJh47KX{l_!PQg_UG}V#N!Vj6$LJ$W`2LEM*sF9^XTFt~ZC506Sg>E%>S~ebi zlls#KLFOyXu2@M`IObxtYcwzfQJh2lt9ogFrlscZ6`l5t6P#AI^hIFd&#>3 z<}P$Xk4-%|(bRunhZHiWXlkS4U^SKFRh&fh6LbQPL~8+Sr6b^6GV9!EWQ}t>a_pAD zoU4^xS+-CME#R}`iteXPeY-OC?N<15Dh21>h1JVgkql0k)(qK>b+z5={{>a`sEG7~ zl;s~nGgXX|=ea_51CRSg+R-ko?QK)rOA*1q+DG9IwfB+Q_0zjG*K{+R0EEC7AAyxB zQ0zKjI7k>Dm}{VFK3?dPSyu}f?4CQGNvf_%Q*E-k=3<*!gY_Md2!SWwaU1fGu#q$M zXd>x1=CcB8b$!K6q8y9SU@%IW6%i!B{n`U#EW+_&W^vYuWjQbj02>s06~uXTB%;d<$W_2%*{Y@z;$ z2}yR#z+;{xUJ5+prF2EGPpq@0qF%AVvNPLXS`q7SQ6z10W977c^w^x6p(zTd+ig7}S3BP;NHR9eoeTB%-SC9CLu7hkJUuY}W|<^wxDgPFa3ccm z);Nf3b&?Ph;R_@&0t4YY6fsYEq}_cUMByK!`cRxJH&k=R0|CWhOEhO_O-DK!db~{5 zfgwbKAl-%SYwLubig#k86M4cvI8-CDToYw~BAFhqKh(-ILg6SIpXwYAPOnfNDO}Tn z-gfR4-q4#7rcdSTHUi|NPpo50SID=3+0ivELrJd*7@llZo5G}LhcQ}7DjOVZ5hIF< zUGt&?sxmLRkt*eihnr}Oszw|ObPfi|i_j9zhlM}@dus&%)#5I^hLYe7AVKF00-z{z zvNQ>*&0;1#r$o&F#A+#&)tWXc8-MN3Vv~dKF%MiL9S7P_K8vVs;Ge`9PX;&uinGDN zQ!$!3VWB&f3CQH|&;a_Ns!a8_c|tt!0xs0-4$&%)KV{Y(hH-0+C_Jl%hzN+re~t#M zKa2mb8H@kPmY@CU%#WuxgD)gC$p5dRMx%bPS5Rhz;M%%$T3 zGhbp5B3;mGe#I`dqR`B`GjxBk2|MV+@GKN+(R)eU=^IHcMY2zWwB|H>VKI=yi5?C= zsSM=d8|3LQyH(DSAkc!A!21d`2lEu4a0f1nKR+J!H_S0Saj6*p;%*{7X_tkcp@ ztNG;h)N|G0nDOKRvupw1gEHm_P8B*n9;^gad*PXNKGn(&O7bPV3BQ`cV>fBz&UT;= zP#+enX$z$0H#eY3Mtr5w2TDBj^yia>}z&}!4o|L$S? zTg{K_DE)E=Xh^!@NK^$Q>L)d~noA*e^ae~6=DAQLwrwEdm**%EF%0Fr!0CCry;rd}zVyiiV49^Bbl>Dt`#LkZl`#mYbX-AI(cM!5Zc@rTmgBX0*+P)@w zzn;xXTV&OZ=o6Z54`bLz27AaUQr94DPHf6ON{*4i1uD0at?kR!${b|gM2?Xm6YXWi zyg!15TnrX!RWknH_Cz?JmvhXE;>*krCzQ1bB9kjYD2sk=tt#P~jY;rhKWEhW?nM!z?o7 zMr4G6SX+Jwv2CliaC|2o^XDL#G4WNy5RZZ8?U;dqfY+beUd%yL z&7n0Y!6{t6T*&G36YOT2y2xrh(UP>IwF8n#Q3k*vs23yp7-V*F zD28(!h&tM2!tT_^<#^bXCf90iu{s#lBB)9P6|DXsxEJ#}bh4^GU8?5;UwmJYb208Z zLitBasn#59{78SH67?rP6*nvn)b!p7#bawpP0hH+5X^T#N-w2EbMQAl)8>oB4Dj&x zMiFsQsCKg z4v2Bisma^Z8@IT_!NRoXktw$_DbQu5-Anp&@P<@fHFDri_KhSafDv5u5wHdoU$mN& z&?PUDllgKrmml*WiarX{f}=1Q*nv~wB4WYyF0rWy8KYC>YgfW(^0VZCb+j7ozvDVC z>EID3+wrIzZQa4CEVQ1hC0A%on@nu_uL+~o*19L{RaVL4hI}d=Rsj?{~`AK0^3^YQWuMug)*eK zq`w5c8YRWX$my4jy3Fu<%8Q*#J>>zzU+M7_8^!K`dx|&UH~fK$O1Y_Y`@DhWRGBf= zU0z-haGdW6IOceKUU#V@zrx4+K)_qR$e&?UdW%U{QRyk4;K2Un5}+N6-F`=j+gs`> zc9c~393w!NOt7rNw`8Bpl46I?6A1dsk>m9{MtD^zV^Miyp;kdG>)P42U8PuAQ zT%QjFZnUHXnk#pgp*%V(P*{nE7otzxWq!2jr#eLO-KX62@}=%lZ?Pkn;~1}X9ciXf3Y5b$Y}@H@&Y%CGkL zDjaA=&~t2t;qdAf6^R*VbZ>$-z$87xjFo&79F9QIB3*;B)C@z5Ugq`tVSzM1!^p*> zpc4FM;3 z6Sh?)@W@e?nkPuR)xeOV2HH2oNk4n@!50dj6|&tr~?p0u^`Ab7eKtRA{t4ERRvVb=Xe5B z+`-~07>rttu$*of(ByiTdi@o?1c`;P#`PSSu(t@5-L2jzDE9{|Ij}v&Q!C2KW>tE8 zAgw4*^eacDVX)HKZdgcBMX zd7fcSYAQ<@2(6(!WvRP-(HKEYFE92~%?bu)l}xD!mKXaI!>osj*i66UT*p}Bd}uY` z@s)YeeHA`TItjT{hr%CwOX>YEp?E8b0;TYz)^yU1LJg3T(u!pm%jE%IMX4jOywW2> zI_?XM%Z$7VOfMBas>fYC0|Av3EL!X-T2hG6!c*wSU=8{UeV#>LKZKj$C?Q6)Zy5Wd zn>&5h)H%-C=R4=lm@_+rAr|J;@?dEx%I>cm14d3BvkKmzL#Mh&Ah3+DcAH$2&nxnV^dZi1~?R6we#D*$4iWYeb;qb}>6NKda=~HJF%$%!5 z4midl>;%6;R$827d%xOmV__jyF4epwq7svNXpQF(=EEqC(V$%T_Io;-cV%nQBcB^4L~zF-lIqi3tK%g4AYb@@cD9<>+b?%_AWkAGfcOtEL_7=r+sh)6{yt0}>f5|3|=_iB&w zJ4v$@cw$T)5FPlzjbrxv35MWzKy+0`j&wx4o1HXn)%|fC*Ca|^2<3!eTB&=H<5(|e zm7cRoP(&;VYho;*l31}qTD-F8365ihkaVzaSR0IuHQGt6HKQG;mzv3hM6xh_6juv{ zI9Y`8sFzAySYj>gFYpM&T_@+tcE1w=n{0Fw98TK^&yt2@GYlxA6PlqxVOjy z`nY@(pjhG@%dq(&?Sx7%96e&}nZ=3!#D_TIWuRF0fS-}PPh{Rl-u)^x!va-}$Br7Au zf%XzCC*cIgY)@H*OmeK8b%Vs7Um)vJwgkI36-$+nicWe!@xjVZ*_9nP3SkPOl%mDD zQ!^5yqK8hkm5i68h*X-k5KCsH-g4O^qlsX1l;*u}rrtbLG_**Y-mp=ncG?`-6dfRM zypBb<%SOuSNZq0WRc?{5B8X~G*T_*59MiG(EGh98dEx2c*2`e{#u#PxJ<^vg33$pX z9cAuPZ041rgE0Lvwm6Lw144LfVY(Q-;H%;4<2oJ=D*#%KeIlI9p= zDt$okzLV7o7&RYvh9iG^F2;&6;_PCFk?*Tmgbhf-S6pFS0Y80p1-8I_#lcF* z((9-`6Sw0@9(TdFmv?pT2pY{kj`4&)zygfbEIuZZ)-sNke-D@Sws226$kM6VcdTpU5xZ*98YUNdKu2% z@{8RQaTs?8((jNOc+eEaVcU^Nb8#j&AL-jjtB@As>~tg2uW*dJ4e1s4q8_BN`%n+8 zal`$n2kFg?s0ZnMyi3)9^i;f*#y`RSJ<<-OZ{RJ~bUc=gJOuqAUH&lihqU@P_;VXb z^B(EyT8Fe0X&chFk?uu0d>!;Y$T0qfbUf06-=ZASyB|Y2q@mxV98&k=U0v-+w?6@W zBOTM!)#Vs$7}NfU=QBw6Agx6D*^}req<21r{zV#C5BZR;M{3}V-|S~l4(TUIT}W3x zi*_NcMOu%v9qBrxw`_o%NUwSUaw7fJM#zc7l8zS0i8LSSJfw%e1UZq0kv1Zo{xZrT zU5?a5dKc1kJbrxvDNkLzkJN><*H+Yvv`;JaiF75>W~4uBL%$(C;}yt@)3{|w9Z0`^ z73Gj_dkyVG+KIFQX>Y{%O-M&0ZAUsD>0YGoypH}m1pV8N{zLjtqzjNXy@_@r<=+Ww zLb`A}>O=bJ+o1{~ckv@fVFVY|0hkgz- zjN>|>AEc8%M14rdevEQRAN&M*MY{S^ltVhtL_Z>3j5G_cN>m{oiIf-6a`n1;w$ZC9 ztJhJ3`ls_tW+woPqXEXwE4#W*1#C<{C~NBVy$AQptLgiHn!Em(x~e<=b{|WZ*sv{j zDYe8sC!4h)H&AhPj-DB9pgS+K#z_Qzw1aiArlrUS`EQE4qZ# zCSy;+sv+94xDcZmq9QY9W6W)=*^=g+eZJ>>zxSSVWBW|@&u;Sg+&Gz8SA8b}Mz2!+#~E`hINfLe>-A&!`_;Oa6dM`nq zWA`XEZRcAH*=eb@sdqW}J)KGoIC&ik@~Q`aZl6*o5jV)Iu{-Ylf*TLTRKFhRdN4Ph zb^MHLKf3>u(s1>NmfQ+{gewnJCv>hdrihVR4pg-sB*265NBaf_k* zD|Ajj+y>n~S62hw=AU8Cu>Fy4udCY+T?V?RZCxF754gHx(0%iMrTU_}dtBWa=$?Tt z9o2Qax&`RI{6Oxwg?X5hpv&d261pW=d(YT$x1k=#+_%>*VrR3Ek`13;mu9rM|6bzX7+ttDwQR_wuY`CE)V-=+Pz^DBeyW#}??J!oD(?AD_my4piZ(Yh0~3+cvPT@Q52uqRw( z_b=%_aCIl3`!{rFqyC-^bu`{5p*#AdQuJJE5chVYq4B-|{s{P;Hc#`@Cf83PR`_?I z+hFTFGqIDOa`20eMA~69{8;@-`7}d!6uLc*pAH?2{PckT7x-^uUJCN{w&?ZyLmrZ( zI|1FL>B#)C1@R`ryh%3+-P_Q;h4a84)o;wzorms0?6K+J;|g^3cprSneP0z}k3NaL zx3hkdKVIV~48`3D-6HJGmtx%s{Oy3hzqtOA(9yqqHAsf?ej$Gc-TaO~mwZ~OtgWMY z=})fiG;|vVm3qO}?WN}P+C@qIFbCZm(EZWYd2eb7@r$v5_dbK)MA`g)A&rqHV;K#tfYxk44L65hCJl-geLfkWT;$A4>FVP@E-;@xB0mg&n6pZ2vOwKL>xM%{PR6 z4fq2Wu}^|eUBI5|(Fgt!@Sff7G>)cC3<^uS5$JZERB9g;NPTxhcT=dN`piO?f$os4 z^ZK-i{N&-Dbv5o$m)r3$kHuqdyA?yX@)Uj)ZTo5Jj@QBy`Pm5mM)34o$snIj__;as zL%Jk%gShv7)AoaYiq4xypsT^Xaj~u2Vd_Tp8Ueo*Jce@^kLM)fr@(Kxi2Zr+n=fEb zc^2Ycd=2;kyFTcL_+Ga@mC&91jZ!Il{C0MKwm0uUJYH?aFy+$>}3w;LA|{OB=%t7hjizmd+85K z{m$0W`_Nj4>GP4IFPhJAAP){IFGqPbKHEVv1_zbbpnN^bZM_H-9~Zioa%w6%*0OWC z-o)2>Y=D25uQA2x%Y=h%?ifevgn^|6$3&q{M5<>{-O#aXY?5>7^@f?7~2@T7*mV`j6;kW#tFu0 z#(BmpWBzK6&*(E&Fjg@(Ft#yvF{T&?7>5`$j1!F0jPs0H#{BCzKBLcA!C1xEz}Uvv z#h79oU>su1FitQ|GtM(+8S}rv@fm%_3dSnN2F5nVF2)q&0OJs2hH-*%nsJ^n%b0&X z$7l2zD;TR78yMRdyBJf91B^qA8O905X~ucREMvajjN$VFMxU{Qv5K+aql8X$-P*O+ zCswShs=Yc<=3nctibV?&t4c~sOG;O*POQLlP5cW4Oger?3%(3XHr)~P@%sxOkJ`1w z{N~QKj?Uc%lXn{iPm$3B1I|SwIJjye13qhSO~!nB-YVuNx9`DUJe;r-++Fm0ikQE> zrSW_CHg0=kOEYZcD(2H;865~{;LdFz;j?M?PJEY$$FuYwU;8$G?&yGe8=hyvHD)X+aCxT1zjDO)}UYDp}!U_2hUe+7IgL>11?`l{h_66(!zwHb-T_gmf ztXC|LMd$yof}piS;>&s=*vkgOM{yPGX)Tg+S&stbz|s6ApBr#OO(gcR{t3RtLgAzJ zzd2$r>#3luzhqBqXw<$IWmH93?`6LrD9s6pKDayOGFFW<+rFRIA;D2`%obuVd!a26 z`>p)`6g;&z2>NPD-01_OibblzoxtCB9tmV0&rb6(U1s@p$(Xn~({*&vMa6%dhli+nJ!S-uBQ5sCRm$4CC gQ~o89a+Pcd0!M`^EdT%j literal 0 HcmV?d00001 diff --git a/build/linux/dist/tools/avrdude64 b/build/linux/dist/tools/avrdude64 new file mode 100755 index 0000000000000000000000000000000000000000..8892ba528a1f8b151c6b13953df9e1802123144c GIT binary patch literal 704076 zcmbS!3w%_?_5W^?)u_Z96*MYJghjyz66KL7sk>o=cQt4fsHjlDKm?5tVHcvJ1b0_+ zdtHoHTWYP+_SdTQQR*XxRml<{0j(PFg;Evpb+6%#LIffI?|0_j-J58B?f-ZAEO%z^ znKS2{Idf*_%p;3R%f@85-7fpdaQ(t1VrTe7lKkK0T3U9j4dU{-3SGzG|8rbk*HK9I z;Ag}6tdRZguu$9YYzs>!-dXq+X2^uX44(+t@4hnGWxs6-`DS_P$7coQJ6cN2E|>jw zx$;Gv`ABQ_Rq}iGRTU!Le*c>v@vIvy-*!Hd$4^78d_%2#_ItTSnEkf-BX9hTxA+^M z;X{mZA72(#={I5dlz$bP&am%jNvH2Yab;!;{NVwZ7-^^J15 z#tP@*eWexVD~Mk|D^8k7_f_}}$L|;Torj-_AD;kz=i}F(5yx}26&{E8AS-+t-qhV8 zR-CU3@f(GoAHO2}_!Q$eQiT5d|LnT!DTiH0#{=MK{HP;c+f3J-#~_-6-?diqnRuUN zh552n*pcFLo$ZL7f^cvAE^wqVcn*GE{7z)VdQQgMwj=`)F2wIr>sueZ^YOa~zvJ+; zpE3kSSdmh^hv7Haiu%h z!wXAFKiEF_j0gHwPMDUv>Gp|z3-{`MSH$-m|LuFbj(_RT2b?S*NBSuM;-CAtrHAxW zdr1EfD1Q#mTM+)wrH6XJ^J)+2SN4#;tA}#m=mDOx&Bw3%laB=cx<9Ls@N@Lf=plV# z59wMD^c?CT{l*^Tc4-gr`+G>gqX&BK?E!vX5AZMcK#$S`p6njrGkSo3ya)KRdq~%M zpmS;u_}}jV&u@B2&+Y-wnjYXIJ*5Ak2RsXUfM47LU*GhA=b0YxRQ3RG^nm~OJ*4;T z0e@8w_%G=J{+S-|>pj5t=^>qc>d&>u?|Y!uJhxBzll>2ZG>AQNsb7v3f6+O@y>H+^JJ*3~# zL;7~$&votTY%;O+-xNFLkn{S&l zd&<1fw7H=vQ(RN7m_Oy(88^?G7n(75bk($ZfOAc$oHcuvYYP4gF?$6wj&RMJQ*rBz zkZWFOZbkJSKvO+;*6dK_l!}?Rx@Ob>a!T;(Db?Xng{yK-^^Dnsn_Y2RHQ#e9X3j+h zgr=_jT-)thBH|yrv)2am6w2E7ac1q>6SyiriGpYzScgD1; zsyP)vH+|M@*YsKQi8wT8x_sR#UsbMI^QMJDcepAl=gkPsnpZtz#&lQZ%`-yt_`Ql$ zAvrYbwi$C>x6QZ>5tIjVX3v=d+|xp{=FE15Dv%5$b6vEIcJ z%n65Fm6B!rKaVKMNo7UVoOv@OMd2#fZ8qU^E2hnxL6HFQjM?*nuOf6u^^7Suv2YiP znl|@l0)Fz_RCqyehtEU*9| zr};O9E5Yw<&4cEejxfz%pa{w9qu*xM|)z35=xK(_NRB1xrRxxoF6+ROsS#$dP&x+5(=8 zF8?w`%ubiFt`Hd*dGnXmC11u*;>`8a{2nWpo%^Q{%;&~G`L@Fx=V!TmAdK@kKD}qn z!qmp&+9T`==8Phq-iFn&~k?EY@rk-+HF6VDBS*a)6kq#D8Pp%`~B3*pTbEMn8 z0)EJMq_b@M8RSSO&-OFakxrWIr_hmZ+jf5QInp7})T27m?Rg+SmOIip7qy>>j&#mv z?PsbZ-Jb9B+e}BgtpiN2cBFF-V?Q;Hbmw@Z){#y>gZ8r4x<&JdpnyIJJkxrk3{UjafoDGUPpPq`!A=|`F9 zNFQPY<2}`pPQQ}<%ygs=wG;8KcBB_L(rX;)7dg^v9qAW4((4@Q9Anu}y(3+87}kfS zj&$3H!%xc`=}!OG(~fldhU{m#BfZE@#Jkav&at5VBpvCte~I7LInwEiv!6CcI(>5X zv&oT8f1v$rair6SX+MS|-DfA_z1xxQccgbX(n}oau4~i!KiZL=?MM$e(sLc@rH=GG zNBS5?dcGt5a!2|gN4n}rAL>XCI?@Xr>6}~Ij~dyYZM=mZ$G8FAi}6ZEhD(jC$zI(# z{LYaBT%7|K;(w)|4B}G_=$|O3o~;?{8+}>b(t;^&tRNgmbv=~5(XX|fjGM=bBl=YWt?4< z*(T!OGS05aOp5q6#@QvA%SHSX#@Q8_%S3!5&MwES7V!k* z>}t%ZBK{)d>|)Gv5&t{m>{?8pi2s>!b}8mi5r2$vb|q%Mh(F9YyAU&1#DC2=yAIPO z;`cJnF2me?nEc<#cs}D>M0_se>>|uI5x<3Tb`54y#BXApU4pq>#BX4nU4gkw#II$X zU4U6H;#V+E({I*_cnRY)`DV3;H+>@BpK+RWbEt@) z#yCy6nJ?leGENh2=8E{SjMH?RE)maQoF?1c{iCS=;fT{zn_EPDFXJ@PW}AqA%Q#K5 znH2GDjMF5W%SHSX#%YSpWg@!eK5l=8qQ)^BY@fR7V zi8aeb{O^p@w3spe1-e~fXOQZrw~A7-2;)XWv}Uo%eAX}U!GUdCxM&E1DY z{WI=ke2a+BWt=9`Y!mTY7^i78lOlc-<1~rpauL6QahgJNnTTJ@I8C5gFXC4)PSa=B zig*d*GX&F`-fUIt z?G0*s5>YryRBhEtTHk`C(RQ%26Gi5sz*KqvYyQW(=CA*m{QmKN?Z*k);mB78OUr(#sE{R=Q(`g)9U$~74k=ZoF3|}UGQ7xiLA-J zak78XYNa2vLHFE;v{*8{4Ja=|aIcb!QWCG(SR2WtvEv6K9%rFz^^8S`AKL$E)yt(9 z&_3MrTRmq4-dcNXedx6Iy0kp>K4&65wo!?(H&ONB??JtqNN1!y!}ttz7oE|8q=g@v zR|BBlf1UrA{s~eFs`mX#8iH}^;_ZcJxm+z#Z$A{?iT9b-`wZ*dmv2?S+cjO)vj);y zsan)K=qyoB9h7q{3H7-uh+Z}NKj^*GNRs+gjD!}?{A)l^i|4^;Wx5O(R%=T%wp`pzRqjvQz^t9kLZT09{`Yg=XH z9%!zub-9#4n;Q3Ls7hd+Yoi+ZI8$2}7;Gr9?GQ(T^YxYQqCU&CT}A*E+4OHjfIS~y zT}HE%iW04(wBnD8WRXb)wc6)~p8(p&|2HxQZwj3iG2H6X+SjvK-i4tPB|&e424a5+ zVv#9Zt&~3@1u{+?4UeQUWl|N077z8YzE7j0OyCq5=a zT?#FCx70EnwR8uDE(OWb*#6dlm%YAAc{GV2J8&1?R1}vM@J><@$)9?Ah0EXMn^nP2 zV!DC1m==^45Wz4)6O#6yFk*;F8R_WM znw994nJ!nnWL2c&lKEj(&sjMJ?NTppg2nV}ZK5?Nj_q4Q<1%kQrIGVEAQByC0GD38 z6kv9XACJ#Xq8@*ZP)gF!n+uhw8v}{7v|0V7Ai?|={i~Mj^sY6A2z)*o>iElEu0<7W zG)@rme?&x4a({j;dQ*wUfVB1!mlC@J0f^4m>iHH8f`yxX&}Jcy^F<_EMkXfI@T0MK-vAK0!LaXE}P# zulb1@VXH#$J9N{X#=Up}>Q)CesMJwHjZy*}%df1|t1!YAm0E%Tq!}=;K=}{^M@p{B zdh=3}qZju@2IIQ0WeHa+(N8h7M*8DKq_r3ijE12?F4RLb8(AWEWxJKw5ex{}$d`l! z@Ei%;>f@@d%b18f=4OBhzWS`Vkf_Fc^UYSIfrLC!VF^Y&H5Xf&_AF8Ao(BXl8l?Fc z5_Hc@eAh$XNwK6B@{U)c@htXjS0I&|k%Jhk?QD$a7@r8@YhRZJP>HTbW7ID=6R@`V zi|tTiR6I34-aA#*K9eo14w8)}YkE~i7J6%3O5Fs^o&x$AU!j4N#x^Ul>A*8Kwm)=& zzxEfGg^%;s-s6TLx(?=dY|O6TP;Y~Xga0Le#;c^HDB_X%oim1qM{mBoD%n<`)%RzM*D(X#JvN^A{ERu?anhODu6afM4& zN@3Sj)M!3Pl2ice^EVLdej|>7vgp%LlX<|Zf~7)NsDDt8C0RbJaT)~xnHEBFooz^| z`;L&P&dZ=2s@57ry$@p$6`v24R-zwc{uR)3-a}I<#R#CJj9*af*B1+X{ZEeXHTWI?hCnGsYNzVO3xO7bjQt7ywm#k)@N19042sx}#HdAO zYq~QdG*BOY4MF!Sv9AFtzwK47_Z)!U?e!}s#2S%JFY}gz##8k^UIJre@-Xqjp!l^| zlAMC~eDLn?eAEY-PylaJQ=pjCp^LH+&M~0UvGq#qY2=L*Z$-?lEcp?VlNqy-v0%Rp zZvsv`yu}eV9O2yvqkd$D4n$b$1e7FXmc|n)o-d19j~TYiU<`)2B4KVcu9crcj%C^b zBZL`{sA#CqTfi47E|wWj##CG{4ZOxShFnXIrwZv4YW%M=#V<6zU~~KFr3GI`f%I=c z8Xt|3$ZB^{X+T->G2)TpJ!pmC_aQ`-hOE;@b%xtY3p(3Bgn4Se49!U|-hN(JD+P4M zvR$nAN5rsfx_WVMF-{9;Ta?&qkfOSH4F`D~qWUNMf90RDTGdOTZl2!*qj{aMW5tgG ztyGxg*=dEk9EbyYpYfoo6crksBW%U+nE-AQ-N^ji=>D!pYMJi2a}Wv484aujNl;n> zDF2E9kXvFM46YD3yZmhGt=gL9y%3*_FL$ztfqRCqh%c4s-!L~qW)Cut)eK3}PzOmb z1KwN=peD!&{vAXi?S5!0pCYcddRl)mz*T*T&t+akpj%LeqhUwS0j*89GZB(Og|f9r zPh{eqT@zy`Bhl;t4rxcg*(_iNX}6rqK}9lAb8bpdvW|^B5F=HJV^0~%?WV9YRYhu~ zI1@~}l?VNzyURINqCXDk6AwGOq2?VRu^t}oc1AoF1MlVUJ?rq5-Zfucd% zhvK{VTCm^9rmQX(qs`Bay9%h6u#e}-;{JfFL2Xka_Z%^1c?3CaJ5r7krG>r^A!wMn z06mb>(Cai5aVr25iP9ABMt{Ii(M3n+Z;d^NR>4OK#cW4$0qu*xF^3|>C&Ds8z28L} zqkZoS?^xvSK&BGi1Iu5kG+Z^?kN@W2zcJNH1C{77lq!*XUJ1L>Y^7lps$ttG%!jhP z0AF8_9INdXR2z?PXRr0Q^KCU&kq3$q{UfY8Z1E(w5;agP%-ke&iQ@zMLT~TVSZDY+ zeC&&l{{jUF`Z_U<0ahFM+gJ~$(!4gRrOYDcYRpNA6;tW}0lBE_T z6Fv<5yO!8Xl!(DP`dYihK~{;m-Afz@jbnKfI?JOpQQi%x{~rgE!<-#O4i0NjT6Yc~ zH=+Lvy%V9*7JrEwB!7QK%jm*ihE*DCgr%_#WobG0p%@f;KMJ+wDcAyw%Q?5P0+z;D?q%%__ajXX6Rw7UR?4rtj2A~?mU5OTVM2{C`hmh@(ea~| zr>(zv7%W2rzK4?wwC?XOG{vyHT;ut#Da%*U3MtE10c`#Q9ZlLlhD_I>d?Pdzw8WBV z=;5=?CqcID_q6TjycB@6pZCyy-or$FC7&0Rx#Y#{9kUXs`(x-i>1YE1p3ldR z=2yZMkCLMo$u`#)g(Q5i!zd&Vg;7%yaMP%p{+h3yox29#Hqbq!mo*GJA7v54iv03ye z*IxjpWuKt*SP63+VJ@&4Do94wx{W4+y-Gs_s@NHUswQZ+ztKU}m89ygqR$N92C5RA zf9u7s_3J`ah^XpOVMKMNL>20e>KdZDL81x*)f|bcx+|)|L}jV^SP5|zA-WNtL9jDX zA`qP|!Or;^u+7^5Mzw^pAC2$Qa`ch4xc^Mx<=UaB<)$kkLgQStK{zfp;}h6C|34DUz$M6Xx-^HTQ ze^^Bqvgm(6>rwPnS@bhkIEo&M(fH36ectKt?ni)7`2Y0c0mzKeYhtKvp`nx?ZSCxA z*8y_`GiWf?c?QbvzyRH99YzBH%$udde;YnZCUy(bbJojDZ!%Mxoe7QrAif9rfc78! zl$YY=3h-jSET;nx$jos<`0UKc89+{H{p*oxzJp%Ej{}DRRaVVQn*FopkWm+f4 zoqxh2BrVkj2rANX2;B<;y5}k&gmoT|2tO}bA)DPVQj1B4T~)_{6dT-(0~S-{ za{vVD^N}S{O($ezuc(((m>K$E>DYX7d?lOB3-H)*Sghx4#~eH{6@w1*YMEy<5+H(q zpN@1#i+clXp?~@unJ9o=A}gWq6C{F!KSio7i_rA^C^TmR?6j42{Q^XexPczdp=|DX8?LjB05M7%ZTys0^{(1;iGI7pOBb|AV%ojgkWR7 z^LCG;VS-euQG96=)y{6&??kgP6@cfyO+1s zb18y+%CxWdDw9^hE!*b-6bE_s@cXyDU$?4uLW#AWc z-$`DqQXD4po659>-j0>)*mp&?t7075+iM)ac9x*Frm+(b_zGQ722q?+u;aBX5bDSL zHUM)k+BQ9&gFjX)unhlbwV3^Is2%X0vg)hsW7bC6-C?ZhWP!;}rSwxRj}E&@-aN9E zPqx5Ym3L?vrg(*Am{t0~r7G9Ap&rxVDnK*5=@cqoGLc=7Ziy#v?(7uQwf_T~1)Tc@ z{J#upANnT*(f05jAH-t(IPEPkmv5W}u56d;F|^XcUuzsIla;8j-1==b`fY(SeQHh7 zr^*9QfZy2Wdq$vx33Jwv<%L&lqpz?f)VF=OXzxf2^>O3>KyNr%o89;pK0UI^UG&zX zFTqW|w#jygO1|JZ@N4V9mVUBmVGH4#pwG#tG_;^oc#R_WOOw>$V0hpsFyLL$rRJqY zbZ_XzmjIz(+hk>~NoPhq=g}dW%b5`(*=5==uhwpC_!x%kOq7Srhx^bHLqYZ=eCeEm z8sizlaW5F)J`iw57dQzOA=I&e>RKN{+k~pA+IwjwEY;Qs%@q1a)eC9|g4#Q3Jmft^ zjhA`*1hlnW#5uVvj)@Ai6$@l1VC|!cz4Qu9;b5db+xNGyCs2pUNfXDUE8uRhQ+;(+ z-cy=5yzHKOauY|@-BbIBVno5BR6&O|UMs+GL1|bEmR0Q&^k#CZKNHISU+6O0A54tU z#z|iEh*fNa)4hgha+E*^m>(1rShWvc6P@ZE=%JmhZ>VT-ehpIJhQ^gF({`evt~#78 z8lLgk5wW^81Rnm#Ax{{~?W*3_%O;l1CYH-4md7TR4+R6CU;J0y)M7{EF=S}8g_xV^$yHOJhx^EX2wBE3&UG~YFF zI{Gk;8AY!^FO>SgN$6Z_Q6vbdIVU|YizM9vw1-~C#UKG5%U%+j!Qms88YuEy@&=Bk zr#K3LA1F&Nx$JZpxth4vvqH|S0399%$QlcB5bB!iSZJ$Q!_!u?>e8kJ zYb$pDTCf?*gy9R#07_ziK;wIk3c=-Sp9i!fby*k(aPh~;`~icqqR*83==`I)p93eT zqm+~Z*Vcv-sG#-}SfL-&BWEkdYp8=xP&nWo{utYyLbrMF#wLafWZ%0SObBAAh@$f z0!r*zNHR4V$gNlGaexwg2wylB*j}*Nd>x2|y>4P(XRXwTDw>Zk=EM|TsnSofO1~GM z9HqbHEInM1D*315kUnx8vRP~^O^t`**NgGUYK%uVnP&qxms+8Gy}XHc*$eB%b8}Oz zL0Z>8#*8d zSEzcI@dM^9FcJ~$wVRAJx||;{El0Tr721JSLCr|yU7R)r&%S4yg11>d_jkcibBh-S zP}mK4m`!M+8fhwo>Z06`H#blh@G4l}$VB_U!N(PtF$hxUu40Af+Cv3wsEPH(7o}mm zS1CxMi{d28RXE;zl@eW!;8^`R?=qx}h07`WBHE#Ue+v}vX?{X~v0)cJh+%*dU5qp} zKFRA7jH+lgkIMB~5#+KhIt(->6ygI$m$47-D!=mTM>5%%j&>;Mu;O1LPMd;Njn4!M zvR4Yt^KpeT=0S0E^!F_-*ulY3J{Ys1#1x1?B8dTsC`qj0qll~7<_CqU z)mce_)ZG@W!1|LqcoR0R%zeg><_Dj>5^Dm9el%Zy#U0h^btsJ%WMRsVAcG#(XG+Y{v!r1%*P^)TxOMVCoC2@M)B3UI|^t_*Lc~f&Bj~ zrz*rnQ>GL`9HGZ-@}qu`<0ul^dnufH(B4rwsaBI%4)rzmwW0rbWg#k_+bE79qk7Kq z5?BFnVz)!dTL3Kh;DTyXeOso>_zfg1v{j_rOZtbw3h=aW(yte{_5z-#hou|KJ-}0t zG`|zP)&dqP1D)i$+}V`Ga-=|KL#GNwj*dk0WO5^t&2tf{tY@^2`LqM(nMlEqvJu!& zb5G(`$^B5+E&%W?ST}||9l}U!K5MTL-#g7)kjVjJ1;uxCm7V4l_+A#jd?I+-3uhR3 z+IJjyDtE}{@9$a$z*-#6SuX+K1fae2Ad05{2e3f(l!SO15ExV@@*EPHBq3rF;yxBO zG5tBHtq*GJjFBu7%wx7qp*Y9)=^-SB_F@QW&1cYY+w&QxK?-VbE74=2O=Wsw9puIx zJy9>>Y9zthz?AP}{2$z~L-2rphgKxl-}#8w-rw;JBNSOse<2B2PM=2#D5wU<)kvdt zF_vLm3cszi7{-Yhk86|=Od3_w?shmcYvmJ5@&T&yBQP0AZ*r}A~DfrK-?+ocx%sduVuqj;y zM&fPT;6Da+`0^NNg|l>i^6 z+8?a(O&-R}oiK>41v_A`#pWt@fH2#r{(F(fAHTd4{sa#1+OHLI^P^kFfHibJ`+il0 zZ>$0u_8taP2lmCs5V#22L0^Dm)uJj63jcy&DVIj5Y$_}))AN=4=>H1>Sxv!4jK{QH zN<-g4#{6BHjMc`w@GYTCdT*<;Q7t@WBP8Fn51qbW`rIB9Eok_a0Fcg` z>row+^4d}~i%~I>50pqfFkeMWhrOUlOP6Xq4=X_*Bu8n%3JgxcDR{*GpQE`52`7mu zP^$;_1P5awCW!Gl)FH72nqbtwMJ|S~0;r_q-GBXr5}Pne#HwN;7|D!s|5lI%>Ev^O z>*zXC{ozl>Yuuq?cG4_J{TBQnGv-G(PDXvBs&Op9-h+hG0XAID3Gn`bvlBsXsrCcl z6V>2C5Ll25z!8$o-9nrs8x3!wkQfT#=nRTm{OM6_1|N$tnw7(N2tZ==VO}PrU5nZR z5WFkGNQwC_oG)UE3u9&3CwgEU115~6#%it7BniYnYkA7_XW5FyIOtsJs~87uK~iwT z&h#MYe@KA-Y?7}yCrUk8s{Me#q{XsSJdO4f%Ofn+F3VE2q~I}xG75hd9)qQRss`uw zu9kqepUdcPwd|DDVt?MxUw5>00{sW;WzTQG`BD^a_7)=cVH7Dkt5UiS_2Mxew37T0 zsaiA+ud|KUA7s5Fe=YNW1ht_@aa_Shzi?T$pCI%H{i_;j?G-tg9|}Y+bBA}uOOB3h z4Cq&9Ya0TXk_4M0TaU(hmiN9muu1zklFa1($o}e5D_?fsqmVVcHl?ox$r~{@^SRWe z2R7vQI;#E2Zu4gh(fwkY-+q!P-_HgG0{oGV{LrvaD!&p;%Hj`f z3dGAZ1KOtdw&+)9VVI7c#_D^<)9%pO!HQ-ZNg%t|QA8Ae)j^N+I}z%@h5$)=z}cUs z=usLrw&zyX+o%LRS41w${4eRz+TQB`HTgeB%?gfFl0Tv25y}atlSo1WD&_h~`H)9e zcn1X$FF!f}SN2v422yEzZ_BEKnFl_JG-s+68~bOS47bR89gs|9i~GGT(PXHReE73d zq7A=d&Hq6MYb15vhtrtvFpc?OobgZDEnx{ibZJU{T&3p*P}o8>UgN`-X}xY4yO((V zGB(6cIFUa$ew)e~euq{p?f;&jea{I}wlOXZGO)eKiC^e}EVy9 z*;-{i1JJR_kS6t7%*TYgQ~EmLHnaNNi7FS|lW2sf$_(YfM-U3=^L$FfxJivaT!KmP zn{Za>^Tz9Ul?U|EWdVJhic=Q>{l-8*zj7+}A+J*!Mo)%XU>_T&b-qO1NbHZpeq%al zh6-b);&fGB003}dZ9-~!0Ol{hOxvoDu7)9Cf2xn3iC4Bhdb$ShY0|iHEe5rRvlb~F zq&O@-T62w?DypkF zLU#!HsTHf$cyC{1)I(WnJnJF7CR?x0YW(A+C9Va%^{j_*h{83h1pDM0e~TpOC-};x z8wkrBDhLG&@O-F+775@5zHH;kHC*Mu0P<{YZElF)Da8)pE(yg-;g5x;@)Gt%*L#&go~ z62=dU-d@0uV0=e9elFvmrsHQZ{&qT^$M_rRcrN45r{md-|1llUU_73VABJw3cccdPfH_5%*;soD>TI@^vz+EA2>R-+H*ra@+qP*fK{;=2Hr+l(z8 zF*5*PSuZ?#@TW8_MHLyJarPQ?|}Z>dc2z0P%%Edj~$`@!UOn!e{)7ae}ePGfc`>Myc%lp z3Z2lzroF#8t8qE11&z2Pvdx`t?rhZ@+19N5ENe6towGvyuqB+z+~HZd3rQg*(s9(v zuMtvW?;;)Z{eU-=cn8QZFg*e1loFc|Zd@g>2imakfsX1CF|iG3k9$dPKzqQO#9Ncj zo1pe_0KriCxr~-lrNI2n4C?MMV@EE z2tWy+PoiF7hzFv61MzB4u;Peu{VJgtIN5=j0lh=5W!erS0(F668i(*T7!P=`6pLlp z7GDPJZ)b+GLK=P+`@pKpZY9Mg0_tIxmq$^t)oNaxOH?*mUt~3fLBX|y@;zd!cLt}W$S2I-7$Kt*l9#JSudKA@U+nvvwh%{uo5bc& zpMvBHdT~_kBaq0U9Hc;`^NF+;E%?Q=B*y1c7%K!uWSN8|Yq&Z(;Q9sfJmaxW>|v1D zS|PoC>R(~*XvB==$RdPNS`*4wD<%Z!{%JL|H>gbpmr`ITF?mJlc__WO+yj43R?DZ$8 zb1joW&>(Q2>TgKtwirLq2;;Lql`Fh~0s_sntl~+H--LW?QM%Dgw%Deme24y=d`||4 zO`9m+e97Qgv~S5^reF}`DXc`wBg~RjY|Z5G%}ZHIcDPkDM(2)fz}J^md=IYzG*k93 zpBqo(qnKT_z^_B-Q-B*vf3!cc#vRn)fcV_tW!4fw_+S3Wc6azh*%H8_J$GFVtnH@U z29f%|k=nXC-TwAp5%Sxr55AJk7y}3Yjs^d(qBkyn$SLW^n}4QKIZuilYdI{KfPV zv3^6p0`u?gN9Pail!Z0zLv|w*PpydmZ)lv{tJ?T6E^q#xl?=G9tR8qyb3j3u_7@eyY86gi&o`wpc?~C{K z$1v8@j3oiM8zGWS*sYhC=rAYefC?#($q32$oseOk9BN~pqi!%T(p8UX6D46MReys`E$M{D1JOp9hrFaIe;BMD^ZD7H_arf@+>O8&Gz;&zFXFcxC~ z#~;tsW0vd=Px`_*C#+0P`_6VpwA#V4Bg4ICk$LC}rqbg>8s1!>yh* z2=EcsGSw5vfT~}fi!LBdpMH7Q`%Lts*+V$LXLp0|l3#*5;jiPaEo|S&QuUHttGh{m z$L51x^r_hP`O=XKc9SMlyqs5UiyU!dejVx~Ts-wW{REdA8tVF@z2Q9!Krei`wm(DS z+lQzepAsK15M{^|C}g`N65Rz9`vpm9{)!glhAvTy7UYG-tNIvpU~r^h#|Zw1J!u^y zZGwJXK0f9~E_5SFng4Tea?$YWJ3qjH=v!4E zE$_4e(Xzg8oD6TE!+++7!P|o=Mxril52UM$2B^^J^%5KM*2EQPAHsMxEeD_xZs?xu z`UR4Ih2)@KIxKI0Y%GbC7GS}1lV&DzFH5MJO5ap2Pr&8q=A~&|BFOnrv?4het9Qp5yBX@A2clK`G1@ zIzN1%XtTN4MjXG+=Z{x+uAr4gdyJQ~VY{ZZ&c7YUJ)gn9TMD)fQ}Pi6QdD$7i--G?cj;+n-xGEQGd~4n=VgXrZdzjo{>bvzHhu z+zq`#f(6!kxnQ>avy}d)$L~U3m}_a@8(h1?yawGlnco;XTaDb63tDf<4PA(O!|qNF zIgr316SQ+&UL@1~lM%etZ;lfHc9zx>Nx?hTc#-1^43PJ+pVLo9Szf6}qHS^F^6Vkf zf)2jLCI zD;&rcC3hO!`q6%ZlsAUW+#K;0)~QKMK-=w@AfsQA`pQY6z6h4KGjy_*Pw!`xzkp1! z&CuHL?#jsBS+4L2QXI&as$ZeGaG#m|0S*4Z(2tTK*?)!lNV(~Epjv#0&0}#bD~}TP}zEU7G_X8)K5HsUFRJ^gRyw#sCf}+yF zBF+c2`j2vDwED9-yJ&x_o~GA>aYH-A@-F0HocBE2VtxBtQf^I!fHUSlN6Ep;t_r59ky$CVy`sS{u5`(!X6s9TWA!fWEBlELyGA#t5ds z6EvIe_;1>{z^1jT@p;)el@!pn`a3^_Y8w}(u!Uc>)quT?qdm&|=bS#tPk0b4mJDhw zO7vkcC;7xS0eEcWj*1RK=!HQL@Vo>DO`&3o-p>JNe1LS}#B4DR{+-SoD3xD%WR3AS zKHzLDGWe87)}ow{2PezJ>ww>=mB>)COw@UZ*7Eao*z{vl9j{(7ZF@xjp0u0Nzb6;G zizj_eN6UA-IB=rf{i5&90Wp|D{Q_Uh;-le7-71<{q8c#xyz?25t^dIVd16O0XqHnN zyHCaL2-1$RQ71OM|tAiEu?6cmaMCBmp5SwZ?`ibG$Bikkt)T*7cvocAfa;%-1FJqeEN za)-`S8a#8h^mo-Ag}@93G7z|t0XG8IAmFbBGH`Cg8DYUO(JvYZ*jA4*Cqa=3Fu>P=PtvbVx z?LrU!6~ZrzS9wPktQV(JxI3_52aF}R4-u5^W}H}{Q4+b72mg#LT>ct)2~aCh`jBvx z3AXC|Mhxj-MYu>N_YqF#KEf(*Id>FJ2^;1c zTl>oy6pYRtf3=;z&sNrB-IAQ>Ilm!vzJz|z0evN)%>wqK!rlt_k$|rNJjaN|Hvu@I zBBQWu#_51hvk@yoPLl^`E&GYVVl`Y3PvU&TN}dX$9Uf$)#Y@_yct`v%;yoEq|DWQ0 z$syhmO0-v|C0<+&gn<;rwg(Vk?ALI(CF)DC4z~s$3f7D3XmGH|-ry-~uMxm5rPQ!0 z?@^!=TniV7s6nxqZe9u;?C0S!t;Up|d@D;bAQbKnhur&|j^b8!Kzzc1hUz)@e;~0f zB3l4UvH~l}+6OOO!UwV-@?D>&YxCK^0DM5#`WXTE)r8NJ@J$Z*y9l2IdRd>f3%%#K zLT8W~C%;Pb$L1*c8}`5OH~ByF*S($J;9zl>JcD4d*junDPg00_ zfjyK}GYq8f-9yp?Y0}*wow7o`jrZo!rowVDoGZh~1WPBw(6rv(x(w`ne;LLEcrDvw zMlJpPFZH|_6(Z`#*7Ffc>=lr0zKH**=l><1McwfXS7IlD6me(!e~F`_JC0#W>_y_> z4KQ~9o@zfY?S{5gOZ)bZe?yeW?J{m#2Kdo}cG;f@ct|lPLijvr3N&qz4G$%|lsN`t z2Gq?Sjw8HJ!e8xxKduM(0fe6^+h;!;-uU!MVE;pR`cacP`*x8YR6pDQW{ZB%^DJ}R z@l$$M6W%A`n;h_W5q=@yQ%B6ZHTTG6s!D14f<~81VxH*KIz~)QJaER;@*zTKX5JcOMAvp|1|s3G*QK+t|id z=Iw3#xHa8Gm!Sr^B~EFmqE&qe(fWe*rC2)WK~M~*ai8p3v9fE*mOL``!9L;?eiw&? zdgJ)|NI!OsTf2#K?%yf+sQaWpwyv3pyi)t#IrPqgC+YYb`h;wN=fK{N44vbT>~w=1 z<-r>8W9uI}=E8vXli5USfFvgP&h-mD=TsCs&T-YqcohHI)N8Tr`LaI|aV_|Sn2&>` zcYX{>SK_-Z=|BFF6#*BLew;`G%$tBgM;%$6XDkw5hc_ZcZU|oq4%Eem){U!hg`Y&7+A;=i(l?>N+%+rpUCuA=uf-6Clc{4m+lU);4{PIZ*$yGPu|%X^ZV_f2 zg@VO2rTsnDzGFTp{Atel6sX9SIF11hOsTqD@$kmuoab?-B+svM1kzr!IxV$~qgM$Cg=~EZ{|^Ltb`c`oI&N&A1hNW4H*ci8ZYB z`h}iz`zG*)tNkn?RYUy7U!SxYeA|+fp5xyxL{S3p)TK$gArdr*{eQ3;MEeuqH%|hd z3c#{>>BK~1N^b8E4sEN`oQMAax}#m--1!kjlT6gxkihw8!U77{cHuu;|GLZLva~#= zJ=sMbJWH?YG0p*4vo|~CP+-e}^TFFuc%n~=|ES;}iX#j3RHwM;{=ea$%1?Kt)CY@y zPKW*j|0vwS|6_vxl!80>m$a4uJjH)*Q1bu#F8oWtQvoRW-(+)TyhFGy{F{>h4ou7VZSY`2NY(q6!2KqqcdbLeJFvPRt&@{JlB8a3)x_(R8xx|02R=oiop zP6{C#C3=uZ((Ocvy}<;lt%!L7Thp=zx2t`f)CUpAj>Yyy8Lusz6T)_koW7EwGXz7{ z4ee8Ed)|lqxvf|lDIcJXfv^jb`S}n5#y7P!?#l3!wKW-)vb^wb zYHKnv?Z3`izf+1!1V#*yp`zF?31`~c3}h(Ip|ShHzObpsjBf=*&HorkB#4)Ri+&R zAN-pO1sidtfN?L{cU>kcDjO^FN=(!NZXZ*kyM^#qi+#LhMPG#9!dMpmUwL4Qhh(v$ zhiegd_^6OIsOP-!9z;k#ycFSbs_-_nA5H_%cN8z-_Z3JL-=SQkzmp$Nz{lIWe;j0e zJP{wqcmH^t^%0Ar#Us0a-1%EJ!QuUoE<2Qehjuhh~7TVVEJ26|A5t2 zC6+mab2c!FZU_BC@iq9)vsmjK03HCOa5?4IT9g}&sim0b;Zr^(wUajvb-25H;=`5 zb~(zi)w&7=h%nG}I|G>O7n9`qGlej4vq{$Z1j3{;U)~E5ukyexU>c1psJXaVbFTPE z-`Gf`OCVu(=re))uJ`$3?pTO>1ty_w^4{eL3l&E+7c3>CqbOf}cpnfg+tcYx=xzYg z{ed703jF@I{y3Y0GK)991NF@ECVb%1x^h)AV$?p29ay;8AvS@A0Rw;VQT!KxCu-6X zv0%poR-Edfx(GX*b32OQpljxpqMB|&Mq7$!1DZsND(Y%(MiW17lCxS?2hGg_yEe@W z&_~FN-A)4bL>o}I|HYn$xKcbFc^e<=@zE~um4_^SFx$~BS$9+ve*`cHK2c~x8u1j| zYQRBG&uvBH#NR6*!X}4-pPmAI41j6*%Jmwtfqe0Hp8B7zI{pAqArkoKV=y(tA5iMU z2Bm$Z#&H}Q441`U7UvDnkb7aheyFPT_SVDCldbsQfY;i!==cDfnAtp(yTpyGSV!cY z=Iwns-tg^jIXZki?i0h}e@irr`0{ZM5B}n#)rt*CBMU06G}L|q7V4tC`59kunOJ01 z22PwswkVM!$9Mg{s2esMdICO5Kg4dPt-e(5}8#wilP+^O( z(>1rd4suH)I<f-lP5tb&P-r7a~z{dMB&ThetwX{d}(ry0Xal{ z<7%S_HI=R(>+fY@kr7>WWV>S_LGasjCg;e%q(NafEjp#4S=7e7=;qK?<8_t^HP7ca zRn>E?bFDOcO2cFqfL=$PuHGLgap#9kM4zucGyvo7zhQh$y}1$ykhL(9?2SFE2n{o8 zz-rSnR4g2^IOSr@BdQxR&rYr^rZp8M81|e0^wePx0 zJO7|D5F!AwQSmZ2{wCH~^i1x?n=#ISVH)LcDaE-gESIoD!dc4c-bn{`XvV6Jero(G zH_oXJZjSeUc-6Lyq7Rhlmtb5RU&7(1fCf-XBnZO;DS?R?2lYTx=#Ssy4p!_^D?0u0 ztPyBD@lhSd=MSJFaIMQIobEO-WC`&UmDqdzxiK0PqQg^`OoJGB_oF?YD&x3?N38U$ z4d(@r1Guudx9meUP=C>fi#BucGZkC5ce1()HXEnJsZ<#77&#)8^K~oCIke8|WDcRN z8Yfzs=d1^}_4-UX!2<>3q6zBV zX*@*kbg)yV58?)te=@$53}&b8Wl-;n!x{ApzWocUHY$r2s+64ScGz31h(!v z^BKfw{vi0sxmOk%u?o$yRR*?pB#LdnU&zziZzMLA@UIGTvk|Tp!Cpdm-$H(Dh6#-V z2gNLGU`j!A$3j7GM`2>XB-9btuZFBEnpO8YwWvk8cMX!&ii1WLB~9eGac(ej={d&&uwXMT)a&16eKTioGZ3&{ zVJK0wNx6^nIUb|T%-OvL^%u|Ti#uqTC2p?3*{)@2094UVMC#UehWCwFrNa1WrqV=a_~BwBLZ<+vw1GG zMe3tI{03R#Uj&+M#&P?pC&M2>R1Ux&tCLfZKjW=dcupdFZjYC_P-ph0QhQ#WSR~l} zOwhJO)WE7z)TRe+_z-9Ucg=m-ZJ`DYC%-?7`9u+zY&jq&cuK)`E zEjo-mv9%XIswtRJ|VNEB>KuI9ND_{AiTE4={47H-Tl+_D9|VmS<_LP!wr3t++? zO5bvyJ(R8yieH*5|3uuqbn_JgzWp8Cd4x=NkaIof7Nm0)Rp*fR*(n^ufCE=1bNBZ1QHUJKUxfULL5^m$J7$+G{+=617RNeMYXc0V_cy>5Zf$80H^v^8TN`+(5?WiK zs$YcZ6Yey@^@+wu>nvr(ma0oUP}b4eG{kvm3OHY+M1Lm&8Ekh->{|32LKZOFxMWcN zrL1p^ms&AdDxQg!fg^FP%93^}{kS}C48$Ml)HD5<^ieUk8nYH~I|Eu>-Df}*DIUf= z%90Z?B`)X`*WpR!J?m-K)k%J_A9QT4~$aUk9x63tnd!Hf!-#|@(tQ5$Q z*UI&c@yatY*J4p(vjoZmiJTAHY*>o}VxKZMR^d)c48*u;Vh}e?4CRasnm}h>eIVK6pC`~wyVO!vbSdqo6nFAM*BLhbmF#}~L?!4AA8n~JD zslN#pBU%RP~(mB%1Sq27gdeXh!06e1_H9axZ$H zcLfGEG`EDz5C!f|9K6Q1f{lcmDB-Ay)QYXrdvz}`N%6iH!Ws3|<`57s`WHRtpLEIT z#V@X;e^<)C0{7}8Gr-w?|@9KbfBF^w7(Oi`T*dpzaoHH z^>?exU4`7K`t##6>Mx%Nf)F9bS189XAxi+sd+4?}c-&uWQ+7kDyqpsx{D}g76`B4O z@Y-g2HL{pz2n(I_4LoF!9zm8$wm*G6&Gzq8$PXV9qHF{>7+wJwM=l%jEdUo`X*jse zP719Fxp^6aew;An<`d93OX8Kln3xHMObv3sQp38j_+P2piT$|2KOd^)*FG>NqdW{#xIgwXOdyT7 z5f}YJrqPUGK%0lr6f{uV6wq#kF~=-k<%k{DvHL~Dkl6jNQE)jmaKyvDlW*P2LXLX7cW*eyPl+RmZTL!Jh2y9 z^d{K7_jUWZ(C6BNmnhL)kaAt`<8guje>oaI4D#>?d)PH;-z(7*V1Jc{leBf%rqtHN zQMd69=mGmd4Gxhg_ma1|Dyyc=a&E1wFfI70HNZ?oYD)wK9l7=LboW#U*S%SbBt-Da6@qb3UvPr#Od6W;}Tqils&FQiU54N zgg-5%HkjWUz$a=L9OJxH5Ca>WWWoHI_t1$yqPNp%CHt6>=C))Fa+%+Q8j%Bvi@~i3 zqVhow%5PsO;*_?GzaZi~H6`Ouh&Tq7R(y$wQ`^ON^DdAtlDNDG`fj!3h%$a7;^2?@PcB z02qLeDUs^{t^+VYRuIVqP$7^ssemwcAh+2^)M(B_62-#=b6UF~%i05>iSanB8V>)D z!8z&k*Twz#yW_bF&eGQPs*P<_D?Y;YJ@|V-%lBfE^+0_MdJ=1M3|P1nXv=U9JH92B zp+*C`r+S-Ep;J+=&DW=Q*xGXvN@YKa@^Cdk1}Zbsaol_#FztDT=dp7xLhi&CV8ZPR zV}KPLzPmn+={G4%>k&fdA7ur&#NQ|1a$N~(tCsOb{4q>~IhPaX2W&q{yLIkW4A${o z9D##=G)m2Y-pKJpBbA8XS6XqYNv`%6thm$^SNjuITxyD|eTfy9n&lFD13ZmX8@z?y zFpg8#B2K+w9LKmt{7M3Q;+SDE<~{Lfl3z zt_xH3a-2GE#i_lZ5_li`zDMPUk4HK5y@^=p`)Cr=s_;pY-k|nxo_8u;2@l8eBpKbVOllyh(|E z1w|3t13pFojqFhuD-7q0@V{7sgLiIAnf3+ND8jHfz??*ZSi8s%!QHhQ`=FzzvgskY z{U|{nu9?e?ZUjFE!H;V5vknK9l&G5&@4y6cjiOy7T5R#SmxOjc@;S6Kd%V!jr*{eM zEP!9p*7NV@+j@T90%;EqP6Im7Y{_%cuehATzvugjkVJu%E|3x?c?nMb*FcV!E{ZQ>DFukg!v=2j_&;+JiNrg4%<#P)_(c_}l1fgv??tDs?c9 zfc9-<+tIj!fYQ^-v&;ldg#(c3?H@Q(sQ>M&k)nM|bjU6+f-{K`$QUNF+qxlRdV8G{ z8S75aVUsX3%N)sEIG}=V6k<64GsyXADQDkKA?Nj&f13vhh5KLrDQe>=La7q!Gzs+= zK*?q>3q6qRv7SUo)%p_e`=8Rf$Q;HFf%kUe{WI})_0^&FP2)#PuKw$=!jKwtE#6)T z(Y1Qs1O`5>p5+Ju1uTBly9~BK#depe=nKS31eYPyb%3L*)hGO|@a6^a_bQ;@D*ZgZ z%2JJ^$SPbNhNc1umjvMpyZ1w8!XIi^m2;p%_s={TQRcy)HAcvtREtGG8Pf(w{lh#0 zqGGY_vZIaj$+8$Q;|4C|Dm$-=u6S|fS!-~^;j!@B|!NqAu>8@g~AmK7^ z{C&RSt3|LK0xceiU>KMAc&@?XVnkh-fnQco|ApaRfi6{e_NkCYsNqGq>%@tB)Mxn~vM_Z~$Z=prJIQC{=(zp>cMqU>* zy2ERgS08an5<)8?2e~s9t8evy_#QyC-_@p$pkZH#Qdh-VDSsjN3%^8$tE zun99O)=wTl9zi8ftm-*m;sfR6j$qjfe+MjdY^&#ANE2;b_q>gu#X}IZ-+|pyV#d-RoD*|4=KRY5b1-JS-r_?8fM=9Ag7dGvSo;H`bNU4(ek% zkgPKKS(&_{u6z!(8mT^}J}Oh&>dLEw`aQdiQeZ@&!x$w3zc7ZehznCh%n;FzdS|SoVDkv&Q&^Uq$5(NdNB@G?uXw)bu;EDzj6%{oB+#uMArl$?Yk#QL} z9QSS95TQX5*5Dpg6h#GB?lmsB0qRKJ@2~3KP6wTN-{<-NUp^nwbx)l-b?VfqQ`@Pk zEl;#{YR_Hfn{9^>yb}K{U2L+5%8e$bbaR@e1c|`=09O?arI8-%)G3= z<6cqZ+-=i5WYU~t(u`A@(I(B2#+2E8fggU7ez?b^M`*C-yiY{Edq6r|{in0kz;{W9 zYw+hhX5c^mCZ@a$=om7bTMT@CI$VQE=PCnVZs0Q=%(U5|NzVY^_JJ&0@a~v#? z>N7B$OJ6k2FX;}nxy%RHRHa8f^si-*?$Ze^1Ze38Q}pZ7=+6}WH$`6wov)rV^kbsG zt51LJFA|DWe3Vj_L0u}2%H}vO>JQ6D6S1mjj8WN#q2b<78WQX;LS4BL*xIjzg?1LS z=N*`JJAQYswD6xk2i|0`t5gQ}3=98I@b3yl#lnYM_zJ=Iy1k9gA;3*N4*P*UxaH1o z>~ENLnHACU_09=Y7`$GJ->{HM;}5PAIp^nRVR-X4!|>%IIr?IeL?72%;F$uRG^{P3 zDrY<)Z9D$ zLoPj2S*h;VUQICmZlWj>eER#rEOuSNw-VK)h*U0Ow{!k7V_rACqlQYqya9W_5sxf#XE2Zb7qLx#NN1 zd^rzKR{r@m|3V<~dYyT9?@hfcF(+K?vaN+(5wow!HkCLl~ zL=VAOQ2o8X|MTR z>2YMhP5sL=Y4y#ub8>V8N6RxLyGo?a{1CP`{cLDY5@0=}GUjLQ+ZHxju=mp1;UWtk zBKXZ|?e+l+FA)5Zf48-RgoSq&{OJSQ+QF3;{*zV=n&!0OpJCx23Vzw&+u*|uJaBDe zy11rx_QjR8gSfiL4B&SuGJ~*A64z<0WdOSqm^c1Gj%ngDT!%ct=Zo5im=9H$$9jf6|m8l%j2w;WeWS zb|6>6wgXBtQr$yyyljfo{3IoP^+&0+26}yL{7H&m6EB<6_%VuqOT)l= z#j8KH>9vml{kAdM6vbbhihtS0w~f)t6o0&lPxZDB8l*$l)|Q>6@l{`;^iH8nk^E*^ zly_^(&J;=~p#*F$+M(`xF7dO5n#t3g#`v(Yj)R?XKcoAwe1I;Fh24y5Ed9nE9#sljI&Z0i#!a( z1qNftUV!w^od>3KnD)8jfjod_urR%w^BT+PsQB+J z)9XsC>n<=-b!tStiZtLcb2Z1Bt3!<7LSZu3deE*gbsyV#O-1H9YSX2kq*TYHQrY?L z7Ns)tUCoawIhGl?fON6(*KSvJ@2fO>nlw_{QleB@Xcc07XJWj*ze@Wv{tWKs&4wRE zn~_|;aKTzzTi*{PQF~o@tK0C5f^B1)PI&Lu*7x=B9unT%Haydin?1buYU>a6@N^%H zJKf@?X7F9wN@UA(I|(Vz261q_QjFLk#aoPVjST8-`7Qo;ru@R+a|istwZ}io;x`Dt z1uqfz%kTV}u`)iTJ$^@vzg75)gg@WlOTJ&TN7!aG>ggyloI8YaYYOFMi{jah`h1~O z3S~+P#~4ge=Ns zvQdoK^Ms=I;eP(DQB+E_k3}(~@OR_&orSVWC`(f)TNj!_dlsTz3yjVKLb*4E@{Ul_ zj6{95kY)(!sua@G9+Gb->ecHzP09flo=_Mc^1W2p__y8|k7U1Zb!xYqFb+RCflu4_Qur!E~8gov`z5%~Ru@3n<@U(y_XAH5A#nxfS}9y*o5nQpS%|cQff~ zCNo$}=D@1+=9&HySAd*Id#p`6hP27mryAj{f0A8^PY^QEJ#F+LMc3Ns#fo00DoF&I z=NYwtwG8+3-wo&`K&(gSxX+sDeBdejUF2SFzwfc%d2XTouCw3y?)MZ6lGoYqOm{c? zeTlxuU;8`%e{=V84bC`=lVxBXfWc|y3L}Ks@4#u9<#cy(+Rbnp+Vu`2s*}J<<`Ip& z%0We5U;8V7&U0t@!f^;8-}i49&D^6!a{=N*%XWnTiC***;pK8oD%rDyVC5@j+0gHx zWXcQt8^L$$s8IY*xw5gC+nsZoM&?U!t2AR6nX{^hixLuD--S=E&LmO;ij1a_LxjUt zXEc6NUPUefS}crXhAgYtNq0sUWh6%CN8`Ek1&!x6G2@R1UNS^FGH|<$knY?HJWK%e z2=n;B!+$5tBD-vaG7ps4$kcZ@{W}r32%_!q&lSK=9}k_@o?blk3vx@vN8_RI)4y3y z)@LgJc<6(6-);garuiEoFODqzRxuLkEC3T(RLI86Q@AdBS@f7e6-Ok77qDo})9GC3 ze>~|KZ*p`s#~Di40FM+=Q~uPw8%?J8^({NxrzrmbvO2-b3a6GpiNc8?%T#rjI2W^w z4{(41c0JJGHFB0@ndnvO6|xF;)&gBjd}k89TrnsS&W|Qe#;1CJ1I0C?Yqmo1u3fdn z5D%=nO%;{Z6vzauny(G~2*@}QSia5X7Ih1hClT8Gu{?h75jGcW3}_i<@tx&nGoWj= zA`*cb{di0|-Aoa>UTD)8ah`9`Oc^Uz@Jx%7eLBT#3WR~{AHie2ATyJuz~DCckzTMV zFd!A`*A~4A_3$Ws?gy=JM3unqtL^LCZ5i9X{#76GUucfU&7ncv(|wqo{nGL>!8HAl za}*(O{SCxh$Yzu(tDK6Q!v}5!tAIYd(6rJFiLJA?)SG4I#(IF^uo_ps>29A^;O+r)b+F&=q=Tmt@)v8KQ- ze5qCu+%`&~f4&|zG}GHTdr~7U2^;QY_K8}OUcFoWXX}kb&VHumLQg}40tTyR0AwQf zh`#H(aNzjpj0(;+2IdQp$i0uBTJDYCJrQ_Rkoa+V@nQMVx)Eq#;0gm-l^Bk0>W<{@ zTjPQ2O*#%sb)8^hyH+yi2lp?08T~w$fYr}Ygw9xAPwssPwJh-Dug2<3GrBi0hX{nn z?dh7tW$_i&jwja|UnO86z;A8^$nhS-`9X00ZvhgyU-08`{;eP$=h#2<)!k=19(dCv z5X;Y+sIJSHzQgjBd>NMiMZmH=mk@Gq=g+8-#YXLaJKP%}e^Azt_1IAC>)uh_!yo^B z8ZK*}QmLVM{UojT+2^uM&%m~MXIg*(~Mr2E%y}_Cr9gs%R|2; zehJq!Uy>JYDlPyEzm?){B~8T&;&NJ?54^VtHx-*sfbT)xx9JU>JCUw&=V{2f7~T1R z4WNnj=E*(u+FtqeZ?5I7E6y5KTJFd|$eZuhQ#~bJUU5dvH#7DswD;kMIfmY)HhT~G z=L}vubF;i9xHdKZ?W#zJ%#2mp9oTMavBrNrz8?kCqJ$ zgT&Ja@nqT=?*g=wx6zL#@e+|Jiw6B8_igts|1??L57W zFV=}~F?tq1q-nU8I!qrSjjIQa#x0Y^?bN`7h@ z&06t{g7~Q3#qmQKVsR7FpI3MhyS**z)c;Coy$qhrJy&9Rh*&*qm?Zgr`75$+QvUW^`&pj6 z?`SV?dtZ_5)TY-X5BjHzkxhldu_4PcD!5MpyU$G!vrMZEoURz%|K1@mQo%${s{1N8 z-|D`K6^eH~?N$8*I`TzZs|_40uu%-StwI7B&c(16P4vh%Nkbpq%-A}khzeNx89DU$ zf^SFHyHJhq6W0489pEuoeUUMBf!6@K^hLgXPdh(v3f1=k5TO5{`N>`RioPNr(W+jH zCMIFy1OHMC57cosJ20DY@WyUg-PG6si&rMcr z`jD((^+NP868~!JTOG=}QPP_iBc^Dg?+3Fnqi17_yUD4A<>c8i`8aLsj1XJ+-$i4q zvYflqH0M0nflwcZ)OVA5D}E5s4)O%=@pnF{n0xgjahR-TUR1bVir-oFZ(`0|nUOdi zZ}kH!&F95A+~Qtyl_cL}pCxE$mby)&owfYUv}YamUg~s+W;Tm6_gqs;J^o^n_uY#h zzaK7NHtW~Sd<@c(4E~k|OE()v5@o$ryZ0aiPVX0fgX>%iZ=~Uj*(q3kk}4+AwYg4Q z2iE9E$D-AQ6Gb_-ooPJFGfM~m9E^1}n4#IAI2WGGD*v|D_|nQG-S#yCO$EehqOXEA z>&PhH^>IV7$4v9ncM3n$Z=&n1<{R=}JbuE9;n2#ysc4A8iLM1Edh$m+zh2rEXPc#5 zZqjYq32CbM?oG^7;%JPyjtEaE{~b$fN6IZDZ2dE@2G8kICJ6_tAExbwV?zqEaUeGZ4*i;-^iA2OZ*yLU z3WC|mWZQQuQAP`J`J|I?<`a)3GeM1Zn4aUjX^3(rl{bcntKhI-k5^5azKi)$o0KwB zSH|tTp;rCQ2W%X*jfdl3xw)`}F|39iqfT){A#k<%$!@MYHM6wC_#CcdIJA@diK$ik zO*AJALU=JX$$FqQS|^z#eT(>ULr}FDUPy-Szf8iSj8TkPq6RIuke}+t+RVxm5Ofy< z>l!dWU6x^o{-R(9hMj|#2Csieta0uk{^}t;c4H}lO8nukh_%OW(&bFv=H8Z7aYRjH zeZ@qGy+>5Et_;W09L*QwT~`4J&M#tS_Zp%2YK=wx@`kPwp=?UvG}Nn-Du=UA224lU zPBiT`bed2;VG8bsy!zJr!5soOFyV)l3yAj4Bd_8r{HwuP$p6-szwWX0*6}j=ybd#z_ zB|q_{Eq&^TN@_foquwjmg zl>2JmmsxlS$3N`j!~^pQLa8?J6iBN&AeA1s5}Ng^mPM!T(Gb0ahNJx~_e0cL?LFR% z>tPjO)XllU$Z;?!+)2a(ddPzI6KEtLXAX%GYF_{}ot^ke@78za+xf(pm9AL^CAWiq z;vL+7PbH3ioDz~KUZVu7oC;4lGSv%qJ4 zV3C04{hX%2-9B)rfX36fDR83?JXS!xV@*a^_`qWXoNj?<`M{$EEVsa@4;(Dui57T- z57ZqKwuYMm`}shP$=w2rxw8*EQox`E{?zD|eV~B9Vp(BngAW`a;1?G7iVxHs7;du# zzTg877jTgU&h>$X0zPbk2_L9^A@>#woazIE0_rATazEDxYSQ3dV1cFWfTvjCQ9kf6 zVTLSle;=rQMz^;G=K4TwD!O}E;5IhwjrQ&;pgFhR6!^>sY69rGOr~Jz4Iik3NbWlp zxX=go5wO7mAM}CRP;ei&z?*&GK?45W0-u!1H||o+9|~SzwtDoF?FD7I=&g z)DseJ*aH9J12t2054FJEd>|eo8SY*d`0piN*((LivcOF~aDM^6p+BVTZ~4G$1YBo< zFZsZp0?L08%tw9Teggi>0&n$!x*Wy5(*kGsK~IN1NRcJzXf*nfx2bi-PZy$eBj;!?qq>2?8_Tv(AK8=y#^uN^WX!I7w|(1 zT%LTm00-sJ-)2x$Bln*ulZz;Xd^vY40q!1Dy0W`Sq=Kso!n=UL!LA2?pX z6D;s>A9$sJgDkLz54=La11vDd2c9F~t`_(s`|qagXAAg?RyCReAN#06{`*jb>c0?+e+PF*z@3(QhXxs8eXF;^<4N--rirk@`( zPBAkTbC`|k;>QeC%nZeJC8nW_kaLLT>!$_qC4l=Y)2jyg|2TOz>U~8C;BHV_C`thLF`^iwcDj~9eP_jpvEkmjCnl}7v!2PIWVJ?wbUd6g8Tk0k1?7Ck`p*f~0*C zBl6>ccMUX<;HPQC5V0JM_wct%2y^rmx@N+nE{?){fPO)DA9@CVzT+RB>q0n`z%zZ)m#Rs zGCRWgy@n+iGs%06=Uzzdd z()g>TgWvEcP{HdfTbaKwXX*?0n#V-T2kKlh!n>L(NgAa_1eR;DWf#ZN^@v=5ghgUJ$gMF0BPuzzL zPS?|flL+)QF{iYl?h3%2W$9!QUX{U(rH05)^N6{?#yEsmW#o7DMVFMD&Gx2%d#OQ4`kl9z;v_!M`fD?cj=@tT9#`t#wM{m$)ewXnlZ`-g;-td(QXx zA_;LzY};Y=!RqVDT!(?}I(X%a2>H9`Y2%5&YSJb9+e)dJ)>bL~k6|z3{bU(2ZYfhE z>2oY_r*dH~INh>o{NXqg#wTutj3-SQL$5*FIf(*Mj7JGuh5naB(-gP{fJ)pH_&dqu zOJe-tuv>puveh=(<*8)TtVE+AV}45XQ{H2u`RZE^RwDOg^O4;g?J$-FEgpF5aRiXy z4YCgXr0=T_7C}kjq7y}V4|DLmYN1CM;>_hHeq$_1jNXZ-K9I54)qTu|d_V!_E zMXzAPO-Gt_mtVB*0+kuf!VAvlcwuZQL-f!gF8 z0#esM=br2AGG>HIPjtNou=M3QoKC)y%AXA&-n`W5nc2K%TV4H~BynGa0t@bEfFwIP zaD)=Ezkr=&>nv~xpC$37!Pw0p8&NEkDE`b=M$5M&ilM$J26iSXqS%=qL^0H?OzmhX zS5@Q(8|Do)lGtt~v364MRzW2*PAI5x<1o_`o}-!hAe% z8$b3QD?ej+Zfsu%t12+jJLcRhJPxjA+e%o9b`OJAu{xAl*7xSCN>r@!3vBNc0P$um zhiUOEBkcmP40@!X%yFX>e_c#CFzN2*`$2N@| zd+yXL21lE2+?JP-F{OoGqUpvAj(cQ$VuCpYH*YNB?a-p^!v3p@c2ayEz>NS2_9obD zgZ&9sIR>h$|L1;cf<**3e`SJE1wS*vNd${5RJRgtw8_c{Hh*Cd#u6O=xd~1umT2&8|f^KEvL#?QI%W2&!ZP22js*>5-ZE}8vy_D%hJ zmjzML&8oYnFwir@ekHg+>H$I@3UBE9dTC?fWCp5DC)I^&?sb7H~V%AG*ZGtW3a1p|oU-WoOjb;-GDVmN)EUoG{7ptl(p z3HH!({305GLtVwBELTjySl3n*WFe0*MO3}SH!N9v*D%fps!mLvsr7#LpAq2%IHN!@(0P`I8Oau-7Z{woS{TB6>*BU!D?WbZbM+rX!?&mGwFGIZ3CrY3vpMu8lJLS^{gOEX?k7uzVXJ|E~pOog77|XNn*_O+U!ndXTZN%EykT|!VHrO@ybumsgSRgW{;hG)vdT4*(gaCKOu1`` zG~4%W?Ik>hv_{maotLQI-pu1B=FUd+5!^j$#ArxbT~3z-kPxqwbCH;_H_#0j_ynMG(>Onr{ogiSXbtSBf64 z1*a4G&{D)EKNemZRjyuTscAX)j|l=`xERYA|4k2%Nz9(nTXOo{w#UJ;O&mcv=g`@Q$!3z%iJa-|+CM|qwx0`q>u&>5 zWA{qp-_yDE=9*;F9DMV{^R;RZ+VDyYd@Y z*Ggm}@cl(#)Gd+#4a-YTJjUboORI|*ms44`D%!F#v!bW%K(Y%g%ebaa*1f3oH?joF z>t!)A!?j$|Mn}UY-2k$$4IIhe!p4@@C)V$%O?yRk`{o;P?JK2 zCITn!$YRsGhDF1#^(S(kW0{G?hKaUbnAR?(ws{x0DX@Tm{t+7`Ru-q9-`+=+#D6f= z^>Ugt)%C^S{qf<-agq3HS|N)FdpDM>abp|vV~xWpoaHz|`^$9pu`E7uq3ZohUy$kr zP_-q}G8N=O1Zajd^q-pN>>!8Aj`V(!mPhJ&kEF3Q{&H!Y2hle;m!6JDUPM1#)iqjS87(y6J&yi$FFa2!TJe_1#AGb?}UJ7Fv zy#~I?Sz=KSOQB}a%+gWwQmD6D)a(@Mdo;sz)WbYw+T=_El}q3v1vp2AmWz94sq4~) zIMWWL?Jp_C_z0_4GQ%(!x&u^y5p1-Fl4nqMOJ*6yLX~MKg`gmwD=zTM**%SUTXKi1 z%8`xp?oYB#TdBBl)}M-WN2k*5?{!2uh2Ipe*ZW`n!b|q^>`fv!OC>%O!5Y>Y%HY*G z@-XyjwDeHzwI5T~3n*&uIZVWsY<7B|Z;RIt*a~vN8B$lJ_J*XPQ5r06Wa;qoLxe{1 z@ZgaX7MMOIvd$BtY_F3K*Lr~ZEFYa(@;+^=Aa{x;xWk>Izf5N$ zx8xe8^CPUhiordh?xdpdf>By#tb%#N0&W%_7cKN}oI>~s3&C1puzC@+G!ht%i1wOD z(bW>AHT_Lx7u8NKC{)8dkqpG-E><+TQ#)3zIjt zUB_3X<&Fzs#c*I|g_T&GaB%)*6O0S1<`0*Pt3Ig;$ybFu)R*4&AbM517JC|nvhB@j zK}Kmedh>L7JNxxN{r*3lU+y^nY(L*zhhf3(Y53W`mls_P0UJSQ{;_rm1C?uBNGF7OJ^v z{*Q*3nX&$Cf|{nz-D-lGrcT*nf|{l_Zf2U=)*l-$%)m?KsOo>1uii4jsPXmFspctu zo1wjgd;8TpjnIFaubw07|JUZLzi7cG5&9LP?dGe`9`q;k)jyg4?TiNhVg4r$ng5x^ zpB?6ZkhNM|PpEDF$86G0aan(7MB>f=;+r-1>tN=G@h|BFqjajwyaQ*PXUA#`n9jb3 z7E%ruv^ToXcKY8&|3A$ycZbk_nqTgkBI=I^{xF_<``kayFV{Dx&o3_$dwS~~t{)Qi z|73nin#)N;G3?y+KaCeBKwt0ufgkqpmuQ;n3svS}@$=M$*e&@W$+(9!9O;T8GFoQ)^9kWE=PnvQJR+d^NAH2NsX2xrUe4FuFZvy(q zh>^=9+l?oCWE0mE*onU?ac$t=)UMRRz9X^x597;o8jh#X{vcW9eVlZd=imrf*m8~v z!y<=YB2SE7FJ_*A?nGe<8^cvY3Wf%22BI*=gt~NocKpwqBHuZcc5?Wzp_e9{kF0Ra z5cNAl)k!j`NaHv)-W46m`Cv%7x0>3Ea7)g~?bxpjiuDuSB9pt~$Fz^{r1+DK>s7-n zGGC|#B{P@})(j_+qOWi>3EBQd`i?W5xgY#pb2YeKpNjK7#DoGCw%?$s4oVvv$KU?( z;o|tp(!@U-peOWip>*;e~gZc)c^DY6zp-ni$b1)O=2ChhqL@ zWk%?cvn$4!%Spd;UPC%b!*e1q_H1fL!&f6vb=r0`{hf0fSn7_?)Ge+41jH<8nN=^u z%c_={hk9h!`ea)kv2as~EidgLqe=(PC!2A-88^67C8+fAx3?Ztf*2Q?z$9@wj9oWqU`$VC~_8M2}%K9Ose)SoIAq*%NW#nV!h5$J%jQ>%E}q<%w43 zHRfda{jx|@QBhKNqncU#Biz`v=%MjiB<_UcU-wxz*ts%5j`0<|=c8sQEzVoI4WDO5 z)*9sO4rN|j4eW82v7_;iOFB%Q9?ATSn_tNXzez_&QvJoFl@UfMgFl)!7t!@@@AYrx zWx1OTckWrpM1|J^k69P^@!{}d^fk526RcL3(K1i`?y!F5J<@KM&Jun5(Oyau*EgyF z@gW69o)Viphr(4u&#yZxJ6JuKj}zp3J)8iCHwst}cu143_=fOeGU9!TUVM2k_pE@{ zs*GXC(8<`}2zxJt&AaLdTMM7u?F~jrU2%2^p3x&edi4`;R$-4yg=984gz5+Y3K73koA2>0Cc(cN%`~Gi08l`m)iGn7P zMT{&;B{YrNHJ{n9-K=s@VPi?%*_qDeaK?CgU@uS``a#s$VCo@|Pu6z+biWAOh2TVB zXTVZpR)1-tfnUe@SenLKEPwVQlfFAH8w`8)8(MJ}nJgx;owQs{ChC>;OWK8D*=^cd zf9Dfs5+(r+{wN%{&qX!K=WnTeG>`STQEN38Mmardryv)m5H*WUV@Ng-v{|B0FI2I+ z8hfVURdD&|lh47a&{g?CUq)h6gBx^UZ!3k-d$rsU}ADIrkRf?J&PxDLl<@oqY)*i^(8?dlpmv z+0!pdX7nkvR7*k1i-P&x2y7HCpQCTmzf*Jv=214fb9#c}wko^AtyEAD8C< zqIXm+&K5VFDsy-!R-ufl#fCrLfiQd8bvK#M|4km@_{)()O4$gE{gO5Fno@R1#!?6G zv#eCC^S+_?PNg=sAx*zFX7B9{clGp#WRg-5-Wiu9XRVN6eN73Uvs_OJi)b}OlR0y# zm_(=wvWB8_EcD_|%d^4x)dlxcwrJhFf?8=!9c6@2k{*DrwzOc-s_KG&0^o686QKnE zBmtlI19o0e!;CW34fddLRO^?WcuI_rC_M0=vLv?$cgXF* zw%nBTA^Rzw^!c#;d_cVPF}y?yru-QE^H_k$7 z9Q9!YcMKZp75q?Tbu^v)0R&LgDZH8#Ux!VY8Jxd9oZJ~{W;UbI)0cjgli3`}Y^+&N zzjc{?A))$hqT#PoaJH^CvFOEx>zTq;pZVCIa2k#+%QDsF)sR7a97K(ti%cCx$gF=U z6O7(zwP~tv%RW06L3Xmp6eI%c{Yx3z_YPK%gB4SxG6KVDtGml1B?G3z+=V@O-F5qg zc|oCsO`ZroFgKM^&F){oWZvsMkE%uD&CD;ugO^U^J+xdRO3Ih*sB)CgG_&-wh^lTs zw=t$bJCW+45C_lT{KW+lkd#Y< zTx?c&>870U&Q1K}xkG+a_y6B;vfXarFnGlYB2q9jlDR#?bI%bPS84FZI1~$Cu32BP zuU$8;?yS7(y6h^axxue8&EIpT8_{L@Z)=wDDt~+nGmXVnYhfx+#w_GhHs8V=+EvT9 zr3I5B7>)_av8LF$BS?VZ7zwtI*a1X4^PU2aoQo_Ni@dNj`+%FQRl0a~fx8;rZ$Dqt zrakjB+Zm`USWTlj7lf)B||E1iKRxC~gM7lk{t53fljp{!%@{ z3G}BI!>8#Fwu1G4r#}#bwm(ov{egZ*RmMu=zx?mIfz`!*wuRZ%nt7dHMemfGDoU2k zHG22@No|sk?~&FCNGxfcz*~|fo3H%*NhdH9qRE_p8j9EW6rI7)Q)Rb2jW*6Hc$Tt+ ztA0H^SUm+Qgs|ZgZRm(p=%__Nw7(my9<8|8whne)8k~K!XWXBIjvBY_FjIe`>h<~) znU-3t3XS+V(ZOUXR_)|@Qt|mLpU!w1m?qlBkQ*{+em5y*5uB1fSpB+e>>zBc*8A#) zXNLzj25-_Ns;=|Cg>TUqBYS1@p1i1=8z$DwY^mdUl|N&Pj3(o$M=vjIdOe>@XA&x; zu|~Bm%O9AC`b-QZ5S(98Fr}{ZN6hA0;~!|Db0)rgap!F(=H_53XV$zm^V|5kt<90T z?mNNYdcC`H0HsE8Cvyo#gjY`MwqP6eGV$c%!k2mBox7B(3#wp>)13aN>22tB40wm~ zaQf8mkW!+xodeG#PtGz$D^;&nuqK>l?(su$g30UOtvRk zmTbJ=nni5sZ^yT_=of>&E$w4^64p0tH_}KqGI(;qB>Kzh{V}t?b(qgOjWyu&Ad?&f zS>G;S3@z2wBuw$mpz)e!vR2t9LbYjGMp9YM_vspfxo40jnLz@#hKOV%_6O-LkI2K+ z>v>dprsvrQzUJE+uN!Tzn(#UvC&KF$6C%Ok{6*&`WlHBJnDbl7DoD0GVY-Nx2mKO# zezsqtPmhCv^e*X6b_I&#zo<~-DO3_n(=WMw(3`Z5=YAqgpCd1<)cyf$CN-_`V=Mbb zn?~d;zY9S$jmRrtOigFfG@{$VnyWO8$R9R>UzSDu^>F4n6-R{Q+sLp)bh-aYe1+2n zYH56>_5|n{*P%`H zg9D=RH#L6i->yGgO(cVm#SF6O-puYk7g(^W#In`^wTUWfDZB&`wK`i72vefkp%}JE z;wBTNjxx#)ZE51_>6LpWhDDZ0pLH=pc{6p@-+8Mu!%o&W`tssC>9qV@B&Ps#8IYW| zbeZApaKTz$ux6vVD*W1lq9sPg>c&N`?vhOBv0TF0OlvD1_-g z#e0JD8rfxAR^;#iBGFq`@Cr;P0(Mtk>zCNR!OLg<5;lv0EhAF;5c`c0{|2MLRZz-s zj&DzQOQb9lVp=ye#8PzBXT?kt=jq_ju$|%9?=03%2CfRKJ_ExFh}Ba=H+aK~Bq*g% ztLGOjFK4l*IPRk8=Z-|tr(k(Jlj14S?wk~tzwMj?sa|T#!9r}X<^?7*3_GN-X~T{% z%RyYoUb7Sv9w8@X%pQE@aX9tAGlE8iHWle9+OWwfM2C|M8 zS6g<`qvZ@?qUuo%zIGcG- z1fG432t^78h{|PzwTvBIqKyxynd}4}>w}$sI4eNU9nn7beIZ7^WL{A)s5H)%Ei_!` z_uT6BgafA=zs0P;`o;-8P;f1Br+IyaQ3qVoea_?21OHh7tDpS(~B00QS2YV(se@@ z^W7=rLzR|Aj8`=lDLIzGv#BkwQT*PKa_h0QXu?}LF`}HGk3gAdyusP0 z6VSUv{DfcP4_!O<)6HXaLbJ82krV4H3ha7h4`AMcPBiw>up64?E+Db z;XrqH=qA_G0!)5X=F0&H&m%Qfq@oL^1N1Mn(pOKm?n26i@cPSUZ=l&}^RaLXPjdC| z8JzuZq_ZHOKNz#=`d!$IKO^c6&1b!NX0PxpOdb~VhV4N4;BRJf9$7DF;7>F-tam-)0@Q@d9w#e4Vy_!=E|D&Gruby{KeEG zO3GK1)OGKudEk6*yn|F>WAYBatZI|a`fO1a0jLTKz_);wLbb4r%&MP^S%9+6%v%pL~ z27NP0eMvo|%XCKa4%Qr}8vUc?ok!itQqHpVV*S=^-IR=`ZpN!_dMIrocMt$7c5snB zY|;M@UFD_@)bLx(03(B`$>8kar1Sb+CxKd}8J#B)w%T-rg$-kL)XlJKj20!gk!LBx zHBEheL*Xm!yitaS7l!gY~4H=u{_#aX^JonKB3&iROnF=M#(s zOR#`4(&8rCMRhZxn-x3q%QaIi4MU586o#H-UC$I8rmE#A6jRxOoMbh-e?6F2N zoncf-;d)F@=bnGi1ZORn;jBY@N)5t;Kb*PFs=-HA1B^15k`-ru={zQ?9VQo4c$GT! zL}n0W>}kPFG65TMUo1mHWj0CSH^KQI;t>*e;_o|?Nn97{!9iqUOUVq<)^%+3(DqIc z?LHK`VwiL{v&sF&>!r|KN=o6{O6v3!wqHI@BB+SLoA*MM+#&q2#eBIKoxjJ=h&<%Y zu~36)8{gQ*h4Thc>c!)QD2MwA9?}M9A&O2dH6~w4BlAy@QG?&1DDa6HswURGj4e^; zXc`74$e2dwEb8AkLARXjo1mLRXz)2{v_~lQ8$Xk}JE{LO5BvE%xVS2V7b=DlE#OE< zC-3n4LdyJehQCd82uWO17Gcj^r%>RoC%1yD%Lgl7de~^L7O>y(l zCMY+>@fKHZic=0XP`N4Q_c1}aDUKg#f^t)A>~Dfq1j~Av;9P6wWG|b!(dGh%opW9mh#W+ ztf_@RKW7tmAw{&Cu+wO#u~0Qw9cG@01?o~zH>_bu&eX$U`&O`m^Ix%SkAL2BRt+26heak^@`^%8* zBa->xI~#C2?+JA7#bgeVas+G zG|Xkp)lftjUj)4uof88Wq>!e9WKO^^Kg+!zaB_EWEx#vFW@jxmZ3N@G*gM)AcvlIJ z7hOHPBZc=Ac;=!ne|-YHlZDqQh1XShHNw+bGU5vruY&_NzuzUF^A&%mjo(f2T~qP- zN-zC2uZNQU`$5F>Ae@&zp!fq7KhCCKqj*^dOaBT@bpnbXY~n-D5)YYwmV)M>Rt62l zJNMtd;@wWj>wnd{HmcD%x^9`9metHQ)6BREaQG0s7)#>6$JYjDKS_QNJprOr*okC8 zg-wSwL){5LF&5fg3FKSH3TmdDys~B-K%#Co(cUCt{cw4*_rq;Wy~h2z_>Y+K$hGL- z4EKz$SW?3jLB3*`uNB6;A>qn%3maphCuvMs6}%6|daK$gn{gAEwh=0#iAHG=jEBXA z7Mn8}0%f(_lUh%Jom-Cjqx@FV0&wSiqCOTn0rHtM@PHdQ2}G-Of1#xs9!P#1tA>c+ zcp@oHV{%GbX}0q$wOIml&&CL7-o{%ixjC!~CDj4`5IlSJ#9+VN3%X@yT-j5hpKr^| zcy9ZZJigv9_j|&7zZLs*`>bUesV=#JKkk{-Y5IOq`}#_|9-I17)8>>scZ9wZj{h8- z-3S2~zLSMI82-nRrp|6o)!E4~8w;JSL~;SVoJ!lx)Yl}N;6f6Z`f5xoVRsU>)sI%I z%mC7>L46-h4H^%eaOD*&cw~ixHCkGUg?>Wsvnr029L)(VS=#?lg>Cl3aHfjft)y_$MZs@gvRN}*aS(7`>MiGyVG>IW zB)^3Wg@&!Z^Gx-jN3l@I(8E=6;Mf$sJ)y@|D0?8e$3QPR7FsROi9h+LEs<$5PHG%| ziq?%T*vl!V8nxo6>6{>Yn%Y&LbBGsHPmDyf=aAon)$j6Q?QQVJ>j@9}Em-|2zi3k+ zIVUq?(YuuVhv0761xkVgVQBFHDwzrS2fj#t2p-(HfbJEvaoj(jDdjW2&bV#rc0zw8 z1@y8DI3TT*^_0N~!lZJJ5LRqOw=>Q%{xw6kS8ynn{-9<$q7fg5i;qU15BEwVF;NWk zSImM`%s66F?N9OD6u&AJKX}LZ48^~Zif5y|E&UR$M7g)ARhV`@N>kL|uvdAkp;15F z$vHU|iqkly)WHX4^rVh%X9bTE-*E(0wZJbXI&%^W!BXa+@o-XYT&E!@$}Hof?@Kfq zlRH_svN#qx9I{yz-J1dv$w{&$^O$k@Po38zw?bvJgMB`qW}^$j(Wh@{R#rcAK(zX~ zifB(iTSgdOzdqbk(`{+|-p4(ZMnBaSZ2y`9ue9!3R)Q8m z(a4`fCzHr?5!-Ik;|}E3S)$ew5VIphxj%H+fg@u6g<%`KFS7 zC^iNEQPdhAZR;T(|5@r9Xz33;n{2Jev>CMpYbq??Zzxg@B&G2`vamNecABMm`RrFf zi-j)md9j&S+RUda^Rl$e``XMe6@AFG%etx8M#{ZC?Cv)6cF?O~i5 z104X1x~awDV2uM&^;&7m#GYJpKZzKDe5$;+Jnsp_xeKc9$tnGhh3=spXBr7UV-q~9 z1e?q=P|H&Qcc7wL>_55qpJ1__Ar~< za3xAF_OCxG1zKgx8}Islzx^{@tyVY<=&!ta)6ciIwcp$>=see;RL0CXoYso*u}~vT z#5CjwO$py%9gv3nCX6HpJ=UfpHz?0`4SC*=?Hlrgtj&52c}OgDA!M^E_Gk*+L#64T z-;gz4yj;|GXul7^c}uftzkS7$>0hEC+V;B>Qu5mGA(q8Mi8I54>1k|k_`z?#xA^VX zG}uJw8>Gm2L`g363t<}WyZq>%v$MdQh~~~A4|ImR5(O}N;f5w)g{+Si0L=u6Ep&1!$+@`e#usYdD0>p7lr;2h`An_8& zi){;3eurSnEZLJqwmGd>Igs_s6Eo+uTPx(+KZtz1^TzEiv_Ri;Ot(V+$_@ETH)aRt zKf0Q1IgD9xdo1)Sm0}C>ao7}O1B8+pkGB=%w(qyMvQ)Nq$$1D!c6uPQWUS6Ca$R;P zY095B$A|5y@z$~&cLMt7_s@RU91ESRoG?Mbhm3k%X0w~3>;|P}cM#dNSFclbZCP4B zQT#(nz33-8L6&~Po)K@oRp$qdg4xcrO0|kOcUCq#=vj!m_WFsHRE*WLjg-jh*}X)2 zdbXU^HtKi=hk@mGx(x!}ZqLPdiSjZm&Oa{JAB%E)bcxgC>SW1t(H z+b#422;0%c*^((^gw<)8>`o>IfuV9J1cK2rVL4#YyMF(j^ww-ze96L$27BE!Ubhoz zZ~oyOOeu-~n~~G&)QoP%VT#{G`^lVfU`d>-_Cp&EQCV}Fnf9k<+&NE}Ol~3*#`wv*}BXUv%CiXQv&AZQ(mb>}Al^U(ci6K~5Lk(Smy09>bsi$G%_#<3=zk&TjZP za=^yuR?BU6oYZz227VmUE?5;fECWp zZF1U8a&q4`mdS9i8@%jpRorFQ%CtYmKh%VsU*#O^>-8!cm?D-Nk-2{qS2_r0T!rU+G7?6xmTJpKrOXp&yoT zp}JvHQ;Zwc1VCTsny zRTKM-n&LaSQcQ=3v=L!-!5{!qvXjsQ=KODzYT13p0k-{})7!MaGN$*b_E%4}IJy%#`Jn7k|@^@bt^TY?d5LyoU>p@Wh)aA{DrxT z$jm{Cd;P4@XLYR#%!r~}>EMHd)m0GDuI{J&hU+2)ty1;_(Y^gkO>pA;gN@;vE3D8nz zrw7Vp7!NJ8ZfjZC>=};()Y$Vq%hBrW-GVU$(%;-}!RE_H&Q4#O_TIg}jE9b`#6qiR z9JF=~a1lb~WnRXme5Mw0rIRi9p#H|`}y5!>A#f_4XM60{e|_gPc-s`NpArBmPZ+gT_XHLm?0PRqJko*jvAT;s$X z-7943*Vvu|ZS~6bcr`8CbAY>>NmHfTQq5%X=Rj>3@>?Lt0U3*AzF%UypGz-6o>-4t zcw|7EFwHwA8Yf^UE-jiNwoaLKjO1^Urp&wQMJc^N# zl(2W36^SIqPv((xJffaKey2#QLSXUzEZ@&8$bb(NYXG{>kalD6rf&eSy+rqRGTb=e z24~;G-;(kbD0Cz~ui!yYw{j+s6E%dQb=3up{Kn@L%;6`@Xok&yK@SW2pdL9D@{- z8~rL{h_~yP*n&FiK<3%E@0`J3vgJ665z{;)Oe!^6{+4sVCOIU_2IC^xy^##Cb=6P7 zUo7+iEiH4#-Yl{G+{~ck+fy3;nTFuhe!)WK@0^@%fNJ4e zOPb|D6aOx@GSm6U`0xbd@*soCY)j>4s3fPfmBaHwDT0n$2Mw(gAQj#}w9<~mKig4e zR_+qpl=fmXo=t7*mvS$Z29Wt~WL_)}%1}`GKiZbRv+8qlj>2QTRNnK7VcFo`o+>pS zW+-yXx*HF(^`eP~84+nq2jubu(|NLZGT-=*CI_YEGM-#)QFV<~H59~G1x+mNsI<;? znfCPT0xfZ=Jqgc!Ld)=4zv@f7&C*+*rkyV~>R6n)NrbvZJ6t_IT5fgTDMUGNe^N_Q z8PvcsIme{WOe@#o!P|p3zX)MdYRdbs{a7l%3yJq^q*?fZAb=gmbbDhdTB`i{!qg>g(JDbj%eMt@-iC2;7Al=}LFK5x z5>iPvQN-E|XK6DP8L%y+h$KS4Q(Yje0pS_z1Buc45TJ%s67`@}S(E69BYp|>-Z1gnC}0t}96wbo_~Xqbt^wywk2y+%|?IXAmnduPQjD~EXU zRVW!E3>5A@k!j)jNakj8TmaNJV$}t@aMv3Fjn{jf zm@|!%WUJVDrPa(8LV2}GW{jCt9Oc~K{hmnE4o4S^(Lo4N!(zRqpX!3OidExNI~@=F z9Shefu~_J@v@dJ8w7x@4-;2nSRsU-1IZx&B9vLXrh%sEM=&DaNFq>DfQ9!B`z2Usf zry8178=x%KZ@^=r-X;fGtl^Nhy>=$%!^f13LVfqM+4lqot!nfcaNcG15c>^VeGl2- zL&nm@L~V@5LT^&%z-70MLoxem5T)Kkc`kWJY9BPE_hcaxCHsO*j0olwNCYm6_q5gs z3eX_sY{=w~dmi1rXC76urLOe)svF^RDr*ghXw;&A2z40v8!~ZmA)Ky8kelz|EBF zJ`yFPY2`W%F5SDWz0IAaSel_7RyCOY)ED5@?P1y;2E!Kt7OL|;T(>PlGHZqGOncjV z7&($%e+XjelXI~m{!s1nk5LZBl|HGKq%jKVme<}wigVJSWn9^+QJ6Id&(h{yYDSen zEY@NZU+$MIWW+-EVcxPTh8AaTr!Lg2OFKMh>I4&O8Yv!Ek>Jfo7$b`dkh92C5QU;J zL-&SGKU2!wYbe-`Qh1tH(()jpJdLFX3N}VK>-azp1!t#y8*Y9)ZJa6?TGM8T92FW->I<9!zYHoUX7KnB;Hn5vvn)MQm|23g+V5B0; zei+F~TAPL#3l$qKd&1>d%jGz6S@v{Wo#w%(rbyh*gRhylKcJKD!BNhDk60ekj)b>7 zRPeFb{ho@$9sOy+6QLXkM(d8x#5A1f7oODJcn{@6ZAZsKONAfr+l1U_90(_GFgqvV zn=qQJP2=PSgKy_8bjzusLa=7OvWl(f?<=amUSy?}dmRLm-Jk^3tDx$sEBB;3heOkS z!qh5uB;I!zOt3|MufN_B7`K-vSMG_;vv*dE0AvK6S2FAOyccjy^PI19yI9Vb_?IZ>OG;7w)ovbt@MLz?ulk0GISEf zOy)n+rU^Sy3M&`(H*4fM&xU@WY#Q!^e?5*aI#?6ouXN=wzhi;qVI!Q}^|F9$tJyn+ zu!+9`QKyExL$LZ%%u+102+7+i;=2^Ub~bZlPlN_n3s@?k{uUC+br+4vb!nVU{y;i9 zry$SBXrbIncurmeq8y%1$HFLLZj;c%D#8;KZKjBi}Mnc9`awP~;+t&=ajZ_0PZh%Hh(i;!5|4)V5&VOznBbWQTQd|9> zZL6)Z?@K20OwrYJ^OM)YraGh<@6&2+N2F_0oJ&8jm65N?(7BmdsGlJ(_mC3k+REsN zAy8%HQyGWYOb;c}OjM?)WxQdX@Ve%~_Y$+HMHbh5s4TkvPUS6$du&`_VHv{vs|One zj7nYsp0ia2NQ9adZXuiqN#C6!fmIcOd-=pI3hhaRl8QPo6?K=1%A%05(0SAcGuuD{ zm>M6!NnQ~3>^t3Tik!}@p3KhZf)q2JYm?&c6h^As*VkyLXkB~h^+%dUERvobnQf*> zR+V>wo|WFqG#+1i-yCEz`*sgcdi~Qfy#GCKSHnz#sf;u;*N5`ZCCfDUmX6CF%zWiK zvdjwBRAb4go;gt7v71{>8*wMt{tN#fi{E5=%-jiof`5nbA0gh*?_uz(&m!w+9pju* z)*Jho=OyfBG(BYhNfRrtoJe56`5DgXU#ZiXepO*3OM3k>g7LnRd?NP;X4}a5$K7GD zDy`U$zpK8$#UI<|_Y^ghIpbhbG};tPm7?Bn^VWN#l0?2Kk|I}PRp{xeuVT<(P3((S z9@knL*NH~6ud2?GB!`zV)RFU7U+k_Cp|@XGrTx0HxKLe#*?vpT^R)UpR0O_iRzktQ^B4ceJVe2J^aI~O`r5sFSkZ~x_jZHe z4kNW)&}=tm3-dJV2(bMOXeC?-yV37woQY&?i`~c=*f~n7o5-!Q=(9w%t?WmqaOY^e zyu*E_fAqJFoDv>+(uwCpCN_;6KZfOO<8?c?25(pEYAes%`YFcwRbIEv!%a|Lx6Knx zP+qr<7AmjXvXKTVuiO0VO;BF9dDBf$UboGco1pQ!y}$(Jb(?X93Cim>V~h#P>$ZxF z+gxo|-S|!~CgFJ8w)v|hJ^qh_eLRQT7hsHhh5x4oD7x5WuL5MmOz{7(0PrwZ#LCml z;@zJYUFi8cm%#ryksT`Fr2h^7X%(>X{|f(qQUPu9U*hw>YUKF#!k_lPVLnaxjn)6Z z3cs2f!Q^qCIGMk87y~df-yD2&#gNp`OFJ)f<{7roF$2@}udlWd2P{UzJPKt%bZl&AM)ljHOLy=f9`oC$6)^ z|2B8}@fN`L%&Awg1s;uklUXsvxGU#l$LY_nf|&JFJ_^=!v@6OjhQ(at!w{%6TV98! zfx>9L%~3g*{8Em}iy$&UM6^Ta{P0eS$Q6sib;YfZ1}ixbCfA4Xne0URA`Hv3WQ=9$qsOZ7gJs=tS?iq$M zizo8yZd{Y**L@W@JNk7$#dfazx)VlFJ$2r7;5gCBH+8=})7eGE#Gjj`wUj^iVPGJp z&2M-W<9zvMnwaFzo$K?XRS&00xp2GVVy^JVoqJ!?Ar5qR@kRLv@h%HcGTLtg-LVho zsCZqXMEvuLZ}avphX-fVThP~paFg>jHr>osL9G?442#vvlZCpt4OPc<-Nm+NIjcR@ zV}*JPsAdrXQX$3fks>u!@t2DfC-SBaH6x@&8dtXDdgD|xMU`T8<`IO@_D(zK)eCPJ ziAV{jy@R|y0>P@)UCQ+@ma8V9J9?V`hDN$O+4x5k-zJMKufx@P#eYfB;QB_zcS&*m zs^S+ZewB^CK=Ey!>Khe*m*O9`@gv*EpP=|_h*znDHxyGL6p-!dA(`AZNbzZBL7pqX zY9w-ZQCd!vd%`bQ+M%M&Edt`LWoP2sD(7~^_e{~2*M1xAFCgj3H5k*~Go*h)=`{hj zmHU{|Z=hM29X{d{ir+OAzn^NXLGiEHf#1c7Z>!PXN`If?&HK%X+~XC`M9-stgW_i@ z{;xLuA?@RbDE=J9Uu5HVX&>KL`43h6aW=js2l{Pwv$NuRD*j*_|El8KBy{^M6wL&m@2MM6w>j&UHO_LplU&jimUGkFf4ierLTkf|N-&U{BE54)R-?j12D_)aN zo6lv6-$ZM2=iB&O+ozwZ_$I~QM*NVhib?RyI$cE(GP?j=U5L1e!WnXiWZzf8_3Ch> zXtnXWKr*;Sw7fbzNbzkt@~+~~P`p=%9Tl%Bx#e}0;*U{$CwQ^_LwHQ7y_Vct1JV7X zlhRf{Sjf{t(H*oVe0eM6%X=TyL}IT$WgV@*UKHZgtWG@^u6JI3g}FgAcrf!4T*z5( zVq$VAOc+;;d<8UB;UJ7TEnU-zhRZ_kp*lTz8{xwBC(t1b&|40s-wd1_Q2({uyYQ14 z;YqlJa9$~MW$ECSD?YBlccvv+GlQhKc+lyre#dk=mOm-iNm?Jyug%^^RLGP&Djs2! zA6~3})VG(m98TwS=FfzAEwt=)BMU7z%EFL(7kiO3=bva{ETw+{DU*SAIdWK3kHmQ& zF)uj#UgX622a7eD#K9K>8>o?qTxhgsuzD7di5$1xlX5>yI2Jkr)J(RsgD-+W6DVRS zfREK^N(dPw%U*0#lb=@86e$*im7|@JFwOY~T0mBC_C?kewm`LsR@8Z2C@0zs^tZEGHofcs96J0c&Q$bMQsZiqOE9WloI! z+8Qig7A=2!VmvSiEThg*j!xH2%W-}dqq_Wy^{<_bnkhAz-MyzN=sk!fnV+Vgqs6Cg z1o8M}y>>1OX~7$+RI!QN2{zsNqzf-p%n4lN?W9R+4-vT5WI}auPjx4!ah37Rs(uC% zu~0yKgcqxe3eFB$H+rVmXZ~A-M;bC3V+za;_i)ZyPngf_tj*QZUWf zyS^lz+{@CbE@sww?dZ4Q*_=7|y2l-OAX`L}-#2M;CGu?+E;q*3bHTQCx z;tHi0@24niTm-#Vr+_3@F|Sr8+DbFy^Z!rhRewd-bzU`i^GisBGpn666um_xy_M6S zfv?Kg7X>&AwTR+AQB->|E)>`B7U#FM!!yltDc%#Fha1;FdJb;GJXp8dVZ6Wi)vDng zcXbv>a&?uQJJ{enxl+{`c&fD*cZ!>%uj!{=*#z3)JO&?9%>Q!gHEq2B{byB(wsTxY zx;G%IMd!GEIkM@Fyof)T`2UBtcMpuJy86G9kYG^aM1>L*HR#v|i;}1)R8lh-U{Zrd zK^yNVNYUDgnn17$!AT(F7)@0y?V}a7Dz;it6vF@^L9W);h&PZb6mMq?3f=&rn)mbF z`<$5*#6CXn^ZfEhW}kERW$m@sT6^ua*IpY!I)dDB$47Z@k;gs%x>7jJ`3fTs`S$=~ zyw#WSX);=)&A1dloe!ki=I3NpX)NnX2+7=HQ*TJ_E^sGz?moSY<#L{__(4@%(43?; zr;>_gx$Kj)T%HQ&=nW{_?nHOXCKY`laEC%9Mv8|~YsQRIt(>X4Kva+&Sah^=J>b{w zt*yQmUwvps645L@<>l>@7lZrLzSZ3~YhVym*>JI1IvTI>j;AagX>tM!UwqS_=s6qW z13c~sEXoG4kE~!Pu3mC~(O#zk7b);2yB{T1P@;@@JFWE4^N5*3_S(IV8|($@-2=(L zwfXNNf4s!2p1RA(Z1H?X3eW6+fm^%hI*t;y14o$-7P=`(rypBk1Berj3r5vDDGy_OIzd?qYX9ohVI) zcGeryR-o=v%@80n*Y7u0WBkUDAX)Oc*v(KuCFd@%zF~uDZvulCxTA`)rO`cjMkxHm zKskn?B%uuT<8vAy4P&-t`1={hFQ@Q5cA!s3HNvxfAg&ObBgMIN1f63dEf8}Hzleqj z!3!{wo@YECN`qtE-?8p5(ams-Fy7|eFrlQp%`0s(kMl1uk$fL7Gz9&!?4tA^fa5h@ z{~5vAt(KrG%&}y7(Oo6c2tl(Azujpze#_BScAqp-{7Y48cGG83lO5Soh?#4u&gBz9 z(54>)wy&_QT}d8dNyM9d4gP=zBYQKe`lGOgUpa2qp8oJjFI5}3b1X!Z__d*=QZtqG zwe=h>sB`1Qq>4H%mRUkAWb1U+E*D!c;NfWRC#XtkbX<4ZH||bX3ZapeHQ$=|6$P&! zz&;yL)TxbN=b62tZy&H%Q+?vsP@~-+WwWizu6wks7XV)k8la29wr88B#r{+z@pvmxa8xN3~Dbt z*ihX?6qcNs%n-Wc=NwE)+s6rJ47CLo&2o0rjnfRmxnBdK9}18X z5hD~e?>VxUvB{HBHvSD{Ly-5T2ZeYxjnblr64ao+jxj3$8|? zAx{|3DeVU8ZFflky^yz@UdRJ62}APjvc71rmT?Euk{-15f?9(`>z&HYl55YJg0cI|57O-&&cDLKNVg3zqg4D+ zBKsl6@2BYFh77%;NA%XF0h_)m?FH4d{nY&<*59_Yp+I|i0@m~0=bQB-^E~*?i`Hb0 zJe6jbEgV~FI`5pmL?p;UQ1Q{+mzrL?O|EgCf%S8#9c<9F3kgxVBt^!f zOdaX0h=f$0FAAJC&BJ7MkYeI*rwmtNoYRFYhn7$V%{a#?hY;UuZANS+31 zbOMc9d3vSV&GP}?mqru+HVSYFfQg-a{-fNMhya3}B5k^7-tPu$;I$&6Bpk7X}&PRY#nqCzQLO3Gi zazZ5);{7DDS7!*k+ADD9k-#fVEhV=Ir~nL=e1V)|`;du&ng>q0Rq`8lm23C*n8JaI zoMXs?2gD2Uxd2Ni4=1xnw{MWos+=o8rRcF4N=%DxT&AD!t6M@#5wdBI&qpB()Je#h zv*#wN&o|7@xfu#pQPwy;!}%LM#r_3@rBDAM&HjZoKCL}LXUn1q13DETUdiX8Voz?pThQ&*%Gh@aSOOJuNy9Al1`&WZ>Ht_dCgnu^gza7XzF@wV8 z6sSOPsbqtzmW*lhhnGB;5qdV$-g`Yiv*fwV(6ikG^;$F~(5}7pIP{qK%%jK04AI(k zgCPCzeRtm1evDl=EG6@PXz^Ezpv95Y?b$E1eh9y3Xlf=4bTD-*B}G%U%ThFTD#h&h z9AnCsAesgv2dvgukp%2u0HamVehQVv3#h^z!tv=ZEYxsB$jLm-S1 zZR1RN}Z&&TW1F`S*3#YNtZuGALB#hjD>E&W1fdceNXFnr%CZ7hESI17Hyve z2Ff9!Psf^6`^G6e`Z?>x0#8+_pT{_ehz`=y<1&~y-Gn}2PRaR|F{~8-`qTcLF$*={ z2yHjV-x!`2UrX1@1EsAV1^0Rm21CJ4-IDYfXEqHjX=OLUIC*Fd+0D?>Ziqi)#+Ar{ zy4z?yNk=DuXqS#2())S((Xx(3BXo1mY{SUq9&uN5AlSTl$-Wc2;2<*JFnbFpS znOy&a$d1RluKz#T?>Id24C8Qh$JAowpc}7X8AIqy7A9lG>qs-#Kgy56!G(Ig zChM>6^Ula?d`Pd#(#7H^qMI*v*9Nu9t!)i+HK9EL{yO#l4QAbs*YI=T7-u!aoyvJf zQ&)a$)(FqB?oJ*}tpyX#jZbqh90tiu>H2H|OWl7Ojsd=J7#6yPZi4H)APm$G;tORC znI}!*E{2lzRKwxCL_Yi=+}6Pb)};C9NO7Kkqv)afcbJ{6NcB>6y?NxO zax(WdgxLdFLEC{q9$(-aw6+D`bebJKGdX?eJjl5dlv=^E6M=7t^3EX8M2a5=x9QV| z!R#xydEd!Sx<5oIZ-W52w~>0tpo&;=~qnxfklR;z~3 zoL&r{q2P{(41c6lOm`G7rd^PGhz_rx1@Q`wM5$zi$sZ}MXM8heTo?N`Qx{z`9ORkgl@q^h>BuiBn&wF}L5l>c1mzB#vo z76I^F;$_w8J7!AGKs}m+pY@(~b3dDSkPj*R$iBWqN7r{__LZ{gXey5sKL+=pj($N4 zfx`@2J%d4kaa@>yt#57J}T=9j}_e5p#wP1^|hrgAd`iaO{+zYxXVp zCt`n|rPFXMljT=G6S#@RlLmHdf;lT|rT!zqO_KXbR=5{J(_4 zf+td34ZqnrpotO|u(J(dIa6&-H7*bZzM2LmYm$Er`X)oLMT4@Rc!7th1rvXcfPsGl zpGcv8w(29P8jqkFZ>`|G#>s+6@xNddNzB}CNJkrdu7lMxz1sF+f4h2E>jUNi3UyVw zZqf3H!8L~acIHJpm^;m2MyNew#-&CcR83EcfSG~%d-)#Y=w5V0n)7FRsO^t$Yw!%F zq6yZL+31Vx$q+O}q=uP}?e!1L>gO}D~Cs#8q5Yqp>_t$O^MPES$5oQwI4=_J!^`v&SY=V>g^ zjG@1+WFM9K5T*Sl=38n}zyPgB8H^BKz_F5kJW z-%mxhbv8>EH+5+})%4Lcy|4YZ`P36n$sZlQ3C#)XsvC9$`LgV!6Nk9(38`c56>~0! z7>v7QN`BgSd8cYj4ISD;veD12?&^+bp>rI|3n@E_nxhfUZ_%tF8a~MV@+r@(re&`r z{^xs;W^`lxA&;LSfegA$hVo#($=09mUaj!FhbyyQkV-DlQmk>7VUxrJ~k|Nf- z05VludGkzU4aW$ueT&;G0vRRXgiXqfs6RKCQ*|NQ?%I#8F!ry?OM|kR8PG`Xg47 zm$*AtA36&W-W-^anv}iCmE(6FOgHg=rC(N}z40$kqTPeQh-E#*m-<#?X_ftymYyYt zWZMQ`W0Po1@d4^Dhbh5(gUuQxtHPvjh!?JOA#;k!%8Ii75%B>!V}TQ6NQ_{VlACfy z;f_S1v#To#>l?qGYDVfW)Z`n|_aFle92z>*p*s1;nnrGV9FI(pjA8Tx4%u_ZrO|Kwva#|Colc7nRP_H;yfkm8hkLi;$A5`%D2z~djd(8MrRY;B> zeGWaN*mjx0)oI7u`BK-BCYPl+*sUvCPi4haCR#4vYy@j`Fk;l?clC+HlNL{gA#vdW z787O%&!s|V7XW=%M+{Xa!XUxFbWpsPi@Jgts6U@f1~Q1k0 z$te#(9uJYvIS`icFErHD3Hvtn5#2RSL62!>?r`xim@54136)N*Cxp+1+>cG^L-;6246om$s%ZadJk55KQ zfP)!tr%cnQv;MFHtC>#}Qq;OSqK5WU6Z9(3aGd(iUfa0vk4@FR zf`LUP>kY}RrBK)N3uYJ8^I(Y-Z-Fzdr2L#!IG}v-Pr!P|Hy!?kRbV($9Dyl}9idNo z;{?P-T?{BV|E%(0u}`*H1Igw>B~Pi64L)X{NF_2t*urtq15+Hv1!owt)~;xr-@fjO z-8&v5L!`Krx)C&6d63=mBv;fRs*ZN5!IH6lm-E%GFM4~Rq#00-wV(tAO4F*8uYo(jUsX$dy5>6%gkBhirh8z-l1c}I8Hm}R0i_rdMdDL^Pq>V{PFB{ z*Zl+PtLY+tBE?4nrJbFIBn$3@dw}R@3sFArHO*xEAbRbO8rEd9$@PT#Gyf&ipMPQ1 zpg&syTI;(?U+H@(orpqr_$S;C1KakS$!P(fV%vYf)-aFv`?fz;HH@MLS02&tY02WJ znc^ust$yVNn=(63 zOX1E8{Ba5bcm5QG2p60s3<309#eGqz9z!}#N$I?yi&0d|N*a5&8w-jqOje2Yl#iFTfcP?W> zV#T?NTf4{*DLzD?2JSHTpvMLmP?H^x55&YwWJ4%~?}qb3Gs1xG!dQO^9b!g0Ah76i zX<;XlR&=vLu~7#`vudHA!1JRH#_@9+$ynB3U@Fv}9+9sP3EX)eeW6!e#Bv<&_0rHO zy$P$>X!0HpSZ(iS_jm!n-a%<4fqPa}pE`4I05k?>pOPtt%DIVEJKg@x2D)9cHrZ_| z=t%_yRN(yRJ}uTyq1E*1Cm=@)x>fzKJeIYCF{Of`R1lv_NopBPEiJ+o8pfypg^I=` z6LB)QX=rLMqPtS*^AyrwpuSyD0B%8f^s^SyNQCY5xx|^oHiZ@=KLx-@@iL$=qZBZ5 zzNY3QXlX>`N7B)Cq5*5Yc}-P#-fMsk#z+daW1+Q9#b8WC)Y1cqE&z>nmL#=^Ou zp4$Bwv#B6%Jbazu#xb-jHq3pIQ12Hf`BnY55d8#*bnjgWq~rSG8LIo}4=&Z!-v*td zek|IH4^H5YTY<${w$@a>lQp|4PqSFu{zeLmf1$XUkB}CXKKG|2-x^nw;-wVXk897b zD4qC(iOl0K;EmE47`%-V@h^NcO-OnFX!4ejA?IpkI2w$a0bO20znuHw1!)d=w-lG@ z`&^Gv0*k@~vT*+Wdn5Ty&^I0aw`F^_Q}zm9*&Kau^_4|Ib6QPVTlu!v$>4YySk#qt zqlHXz{lS-OwQ}XHajAd|S!9@ILHM)2r9kK<5Z+;UJ@9YScRvW-DO>ET{OWIk0DFez zjoHVS>&$&Y=s|{^bIsyZM5@JUn~1dpFts>c$OG^G!jC}?nCxW#!CjTkBDD(98S3*<-psw7;@sJ40*3y8p_G(Jyv6g(y*V%~ z`hQ?{f1^I-9ARtkr`k89#EbLl?>s&0SlGhHPlG>w)dTe@c-n|fqyKMrwy$O$sxb9h zDp^fd&20P3LQ|^dj$9Iuzpf->FbX%@^XR_q|5nhN6uKCv>8s(kWE zvjyjc-jP75nXlMhl3LEaM2^z$QSut%{JX8JK$T5SRYow?vglf8M|2KhqMT7*@qD`D_3x=U-=}|3!yQ>Kwtu^Si+Jbt z&s3@|{+>G?WbpO-ySrU9a_@S9u}}XVp$*%=HQ%p)2l)Hf$M!Ez6%X_E@8x^GPyZf= zP#CL^UjBCf-r$|rKRbR)p}_CxTrEQensa^&QugiND%$uTjo**p=j8aECNo~Fc!(+< z>+9e4yT4EW)$6S?}s4@8VZtOq5_)M1qHh?Dc%!2jwEkRbl()M=K)07&vm%*3F?y4 zU4t)GEp@@4@j^Wc?HqA)3D0No9Hb4WB$--l%l?DI3sh7kK%f0xSEreje% zUP_6qe>b^=7LMIx2*9E@47!kAt#DO?#Obp80qVS$V2Js?dE@5*849%A z3lFD33g*aeQXjw-TXSx1;Q5S+(e64f+%Phu42xHo-Lf)tKla*2WQR!PMn~kD&@136 zQrsKN*@aYrZFYc~o%FX9ZnoShM(@2CPSKuSApzRBULxITei6j-BA*w|L90AvJ;As{ zid*1jly%XM>-o~xKc**1JHX^+W`G{wY9y89V10 zih`VjfW~>0H1m&M?^PCYLDohd19i2GR;>6-eKPQyPj8q{tpMmsrClgOt9Yq}DanW2 zq~pf7X-H@&cg_;R5guD9=*G)Pyv7%Uzt;i8>S{Y)^c0}K-X$1w-eidns2g}MZD)ZG zr?=mMsw3b1@Ug;srIhl%S^EC$1 znGH^i^i2E^Xr+nf^Qp`QXCLKjPa_arEM956CpeD5Qb43Zi%Fm=DJUP&-t^kWM5+r; zAbnMu^DsNPTJ$YPA2W;9Ky;&JxQ#5TY3{9cz}MixhxYSZ8p6_gHwAqC@!}I@!zU&_ z(ICzQktI@G#h_RMoo#DuP>oxBd~pUfN-z&{BLwbp-hNF`Rpt7*C4Y}_kaf{o=xh8U zajSc>jz~ovZ$yfFns$B+W{Yf*YeLtIs;sCeBj(Sa%;o_Bd}q&hQ-smkKyu5W zEEv|z-a<0>Fq3phme}MDl9O#x{tZpNOa?^&8h1}f#j=&W+ayg0qb8Hg<87gRn@_UU zzAYe`pJ!@NV50>#IfUfAADFia5ma%PsX-xvCjZtX=PU1>Cb@v*7F$^}$=W;2+g2qT zO>#2H$!C`cvPmQ>MwIMxpD6SD@>WQJUAvZM{hl9MCI_<6*w9ircAmdXlbPAvmNeSN zE>%WgT7V^_J!ahT14 z08x%7jU6*oJ7h`~k?g658A$b9uqL9ha&zG=P)q)_P;E{6`06+L$rxYV&QCYQUujxe z^-7!FHk*)%-@Mn_&kHRRx{TOoR@?cAeY&K_Mr6wjbGxIt=drPZ#oadC;P;xGkv+MA z*|*X#vAUz5hNJB>|0OtdIZv#1;D+JYo2s(8p8lRz0wQop6ZfUIyEla?Pibs^0hvl; z^Md@ayeN%+q}$U!#)CDsq?P_fI@70TIrm4XGx_zL1N~p8Iy3kx&F&Ie=&$8O6&E{l z_iF0O7zX-#HM?`4W`EeF*-HP{8Bu~--kzLKqdPprjL&>@+CZQNNS)dXsKP`5O`@qrH8|MbV;)^P^vmS)xS8L7GM}+z^RCls1mM~>e>3?_TWZMf~-%$e^Cy|KFuo)#KB%|tOPjYWj% zqVM-8!cNtQ4J_Sbbo;I5k=?yttUy?2NasuaDLXLH}ueW$b>t zk)6y(BUYp=mFcs*H5Rw*6#tknOo9EdV~mb&iMNyAw8g}|p z@kcLEPjyMWgikmbF5kkgw>YgET@|M-GJF2XRY9}9SN}+?VOh|n4^!SuLZ$|1B{=K& zvvZ4!fMowu(j5e(q&v%4t%_pHMU=R3by?5m@ujF$@mzjNs=V5XeumF4Ls$WgPF1=DU4WbmPhY1SOqgWlqSpw z;cddPi!{UE2GyXvrG#AQ7C;welRL4DjLw}5y6^6HB_-8jXRyC;`((yuI$4PwpB+2> z;>4AtonlJFhBy=J)WPD%S_}`)#bBr1g~E*~9vn+<%PO~#O3f$uhrJ$sQSlYBiIf+p z>q%!L-w@7eA@CJ#s^3|COYI)r18bsuOl-RG?E0OH>nEqzx_V31A&Y176!|2*Il^x^ zP`WmD2IscI(SOjjf6SC!d<06ys|~m2SB^Jat-HCB_+;~CPeyeKhvx%}YL%mb+_1iz ziE+tU_lkN|{NggLhIYg&e7%}Z{YIVfWNj0`E|F?Q=omz>+jjj<+j{e?$>}9kP=vaA zPiwfbF6~y{xB#yP;3KKf*L+EunOTkRv(?WfJj~h#2bexuJM?iDGjz-geWj7*?P}lW zetYs4t+N?fbTWEox~$HU=VTvdOU;qK`B(hCL43VsvASXI?=q+Ixb4+m?-kiLgd^5+ zYYdzy;S0D$Rw-Luo#lRX^tU0YZtN&ZvCXcccG56qN&jwy`s0Z(apd+9O z1PQ!VxN#eJzcKJyaHG^|z+DBnQF8Ax0Pkwg$G6-0C0|Q>I0ja!LuQMni;n~KO$@QY4Bj)ke^;ZaSYr$UmU~!{{%v5QLPmX(wRiF-2YYo`i@qqu;9P{e- z<#A}inLER?O4L9>`|7X$^8>#7>xa-2_Pe65PdmtH^^tdH1Qt1sXPO@M-={}f7#4{A zlYFmeBxgnT9#AzhvbP(0boJkfK^am!0H*zL-<@*G3-5X(Jact{x;ElBrfcjccRarQ zB?0{|bZT1BTB5|bTJ`eEy9gn!nA6i1yol9DDU(zzvtDTF0a{X-$=8ynRyNii)y6HmF^`xnINHi;= zlyNb0YHI{-py3q&u&+dg#?{676TB7K-5GtMYPkJW`{AylR)oC3b!PE3`T}~%Ug?a2 zA4lPEa?w!TgXyk9>xCDbU($7FB>xc6*FkS6Nb_ZxMBnQHWg@B{d^WX4yA+V38#)#U znV(X~P`v29<9>2ZC8r$bcladwk14VIOVMwem)}J9A$xc~+5bJ2?48PfS2FtD0C6cWLkeev8)Is~97D0)bi0yoeo!Ur>1bis&nBJ#~-#>x}TLAB20Hva;hSJ3q30 zQhk>54ba7gL^?B@IG|=qHQtmiPga(&P?~c#y+hw#Z@h?KB!li8P;;oTavwC7H$&&K z(+$VrC+0*=p+JKwEK*T1JU=bwzROt(!w0dnC$S@xin_QhE8!^SvQNXiJ_@&ebw;>t&jH~9FNUvvQ8zUzx{XnX2K*y@^=k7bGAzhz zdk-Ufc<{QE)od+bl~azfxp>Pj;{%9x$2Ca+r?U!S5h`EP@oS=AMb@MzDPb%6RgbYN zbBTR(ZMEUqy8EaDnh4Y{A;Em&yvryW(y;Y1&veSZRz(~G>B-LL7KZ~@S$zM_;`_L# zQ~3T@m51*>G~&sB;@@F;fDrb8op>ea^v36D^6Pq6Lja{K5!z6|K!!s68YzAR?n}4) zduKp$&yr#nLM)-;UcuPu&1r}g`793jEIf0M9>Rf9?ap>ukbpWw#pjl#uPz^YN^r_S zW$9~7+-rr4Z6*F44RxD74+1&ILRtZ&9OiqDE*e;!1E^=TuA5u{h|c5muIOXMT#{TV zp=(D|0H4HoDx3g<`9Xqkgw%KzpNT(>?PWN3@qvgQbNWwk8&nj2=meti{Q+vzZO zw;5x~qwkg@(UuDF?m3xo`l?Xu76xsv9h$A-z{pqe+Hrmf^cs_Q=@*fj2E0)H8p7VJ ze|-{$e&w_o3tRDuztX*zJ=_te{bOh94a^D0(3P_2nvM~!yeR%X8NWRWXOR~q-ONYk zpM6K+1iqFH-BvXOr-Obcmc{UZa|htBKrl*8e|rFGz*xs$EPlXle{xyu4g&E;I;G@= z69dfHkR~(U!12YC8nc}D+bp)5eZ%(P^&bDcNy_D)Sk?uwn6wh)@}aVWT*Svz{joFR zwUy&tI^90mi0>oTWF1K%{i(nJ74YP(vlkc{^&mgMaVc;B)n!1X!QbKo=TM4uJm*bQ zk!{~nUf3i2=@S%ZH0$Pw!-lSomy8{sR+Wd`VB;GHtPJz9#(u2sh@D;=l(!_MA^OhKZaQQz*)pFiD)k3Q>=F>9Mw2Hy?%W(YbeR;OQjN_r0jsq zjrv1+o14xh?YL}D|gph=1Q{^eK5E)$x7)PD6Ly# z@~FTQQ72N;PLUHxk!iG=s31qje>tDhktVIjwn!Ui(U1KVt=t%4(aSk27RxjzSi@1A zoLPQEzg+$ne7aZ|!bD#deVv=FF7v6k54giP4^--GGV(xPg>$h_FuL_CHSi$5z-P0Z zY~EcWhumv%hi6?x%%N+OF^8}w{3g?ROu)-=VO5~+J_@w_#6Ymd`3IC{KD-d9J5)6d zB}Weu<&EQrzQYNC)ok{#ODEH$vxN@V{w6)Gs%I1nKPq(X5avIya$1Wu^6#*?FZ^=~ z_uGC&3-qBhiOIu%?dQ+>O;s&8ly-Xlm{S*!Tl4L!D(Hspn<&tzq?4jtV98V3a)}-1 zD8ngqt3eJsVW`i{l1k+9JuSLnV%ys6iQxZGd9IiX&2tsqJU4?_l*+I@97~JIF5Jtx z0uY7fhj|~0{wgie1X*zt9v!>@TVuSH-kCcgon7Q~*7FZRu@{)gaSAzHOG?w*h60a& zJcWf1uJ!1sAKfD6-Wulx%3*h*45fE2RsEFf;AC9!j`@Zl|D~7neAGBES<4%woO3Mz zH(3A{l3OBbl5PD7>hP#|QnK71Rj#isH{6!{ja#n7v~Iim3$>ibi^Pebz*Ei5@4ogL zgxtB0Spgijf$BRsS(DuJa+iBPerp_6DVxpMvj($gFCT;azlCIWNn^Fx)Mk>|B-@_| z5r)1bv#@Y=Nq2phEoR;_^SyxNjwL2pNV4!nlayaUQ`{uwSFm86Nrp*op)P9Jk5PrU z6=Y~%WinKftX*M}wMtrO8c6PV-n?xhS!k1U^>(3oJ5O(IvWDcizjnQ*Xdn9rhIMdu z??gDHX5_i}uYVX;smW{5;g z3Db`vBb4ex*13-WtsQ%#3@{EArf%4KYN2=zIe?xfbu5dv(ZuSnojH zBh){vSM}*S3z9i3xB4n2I1hP#jg2Eoz(vUJ@E>aKeCiJJaYY+nFBrr!E}uCt>oEU_Q9ZFXUhUeC7#o2$nk@ zwyv<-?Nyfl~wa&TDSTu?!0|q#3 zjW_#xcBsCMO|M^=?Gc8W*sda%V+p(f4ut*0iYxm?OxDNt)t|2Fr7M&AgXUv9VlU6Ycvk9J{$JSO2- z)`Jg$Iy(o0i0#m7Nom8)!E_yk&j9J&4Ap9q0aKcG!dTa(UG3nNCEDsqW?%T2sv-KG zXQ+DGpOW~<^Ks8-swbkbZa($B1ir~hx1G(3r_arxqjUPl*BY#P=pQ2#7dcz9HM z&CHhwj0vdZ!rk87s@wdZe{Vk%iv#{Tq>3o5IrlCyc>Ntc7jsy?h>!C%TB=43rJoKJ zr{uNOekSsjYR<9MRM=`>h|l*`&@EZP9?;>uX2>PyNL%8l?{4^SSJANH$`$-?(sdp+ z81|&m-*AJTZsKWbfg**BBlTIJGHbH=9oUW$%UqdxaY7-SEj=h-_!dyk{m;*V*!(SK zGQR&ocRFmA-k{JPCsT=YHjT&8J<>WBy6cIXZdVQ6Z4H;Jh7EKQVSMqoYS?%xHS|;s zq7`ElBR-vbwl`a#pMzU;U{;9FdRj;Rsn>m0PL#sXlBSO!>UGPxpwIesg<>bO*54gk ztT)lm+urT5>+{gi)Nn}wS{CF$v~C_7po?{dQG7nV^kmje z6I}91Jjy3^b@xmIK--kziciwKVxc;ebE~Z-LM`YG%2x=0@kw4)22;*JY6~@|l?+Ks zjDj!}Z!xEt8KLNQKKet6Hzq?45iWu?nAq6QCv_+1iNGRHF1$iZH(C>{`czKtQ*QiIS{*P&? zGb6Q^DKcNXQT@21C^P6}lC@q`ie_=6gh1?M34`1m{i52F?ZP&0H5%AHwEc#|Tk1_E zo1M4uriG;P|Dd4Z{{CsY2&pyR>h-=^tSo|dP8O7Ozq4bx<||5_ zYfGI+sd$4|fd2GI=A5JRT;Be#fx&8KYIaugOpYmFyObGf{-eaP*-hy*o4%6nCa&Otp4fey!*U< zhPMtE(g^ioM#L3{m#uI{jMJigYZ7md7(Or>P1S83zfX-1cqp^A{)}4Vxm4&0o^#IM|K$m&?{Qo>p&vOGZw9~H3yp#R&^kmLP z!MyvQr=^ANf1bV&BL`T#=)W}XzWyF^xkn)jQ}}^@ulDh()6>9IQ!Yc8wk6o@jt!P zTc=l%asp5^$Q?&E3Y|-#c!_U}a+A47l5+LY?=>r>=mk6-GM9R#mL%t=3eafRPA={= z^{n{R;_fYSy3~DxOWi-w_q#qf)csd8*85IPt*SmbHND_(KW7?7%J?MuMOUkV#pCCq zOFa61I+Y*#zS$cIwK~v1RTECpcU|qC!vb}hyKDCxQpF9WIeD^z#)|t=H#F*M^_B0L z$>61nq4TnR>3k<|DYzNSTs)7Ai7UwA)I!O;U1#id#V`J@3(3#(4a`)ETay36&PMmD zq8;QgOF#d9_V<%Wss1xwSKPbrc%8ey@j7&e9WQAG?s#44j@L=T+*X6RY1q**OhLPu z?s9u&*P%-76TO024K%J-^GzVd8>i?+7@`-u`G)l()J-hs5u5i>^7_O=tFP1;m5MZ3 zxiX6f|EOL-ENirmtp@JgKwTy1A9k4Ja@7gcKFZb}wzcC}_7qesYHToKv7?Ms=0R&Cb8v zH+e>J)DHK{?wej_nV>hzcmuwJ;PJLOpc9YOx6oV-Dvc`ODF9g~{}}E5L|`O)KG`pJ zv;Q`+-|U&n-dSnnMIl*b?oGs>^fEi|@kvuW9_&?--3Ls$T)NBi$Fn}LdWndD{YC== z`Ui2+3y5c)n=@>R)!hTLGLQw*Ocq=Hz4*m@KdX4ps4eAIEAKl2klLK7R>Elm419&m~}C*(!BdyX?~r9)nOx6JpD>A7mzif zkkRO$dd}7J5vk|h19(0t^}I#Td!Yn3|5`nFq@EY(c~k0n$Q@~Ei@o(nVAc-47{q7| zlGw_V&;DZLA1cC(mCuI0YC(caUzmi)275Y>AUu`o)iP7;2c_KYzR7L5)qOM5eUsgy z=}UR8I}83<$pDt{-{m z=V44ECS;+OrsgQDynDOuPT*>zi)pfnSeUt3Q~c+TE*{BG;CCwmceM^#5vae63Ch^~ z!;$6%d`Sz`Jq6ati?w3S1IOssJV5!DL{_QaHDbEX($i= z*xZZ*YtigLU8nUyDKhb$8gNk+%%ogqY5FInLnj0WVw>-W<7n=bLr_q0!*APj^sbwO znUw2C{6dQ21JG|5x7Q%(xKXKSQ>blwb~H0+$2)ckJ73$KYWQAWtDMTqda#L+()m+! ziWJdZSS7u(NQ*+k*-iG(^uF<{oOC8sOdC#$jS zZDuB}3oV7l5rI|4b>|c#-|0ZWoattix`uP9qZWl^Tu~ZrEv3_WbaX^?rTU6PT;0>q zwNS%NCwT){cP8eE(6zo?EURIxI8L|X<5B`Pv{dUc=a*vd(%eV+p!*RkgPae=02S>5@uRBt1C_h*tdf%K60zC)<~x_tc>Eo0qxws zk{6h@lj=)ZrQ{|8YA-48?flanAcd#wRQN(@WMoIgro1fW(Xn& z7>;sd*eiNK10eg1jw9BxtG+hUn#*2(r1hw9WXq25fR)X)-=4nlR6}iNNq1ew$ONV|GxZUBwoWFBQqfct+ z#WKrOGhPXY#7rBd>CS-mXuZD1p3{H1Z~TeIbiGt&S>r5iO%!cvX#6?f!qGXC%+H8g zvFay?zEk8JF-LXA8udT@Et-zbnM_iQ%HcmJ*=qEa*c^355p+j10K-M~>&jwNYo;Gl zw7zy&_s*)rCN_19wDj4SdAMFxpN7}V&{t;ch}Emgp$5>vtZo1peIYTGSMI!q%X@T= z;7<(Z-^8Zq27(BTUcT!&VI}%v(I&+lIKQd!+^lf)`~}haal8(XZ;P~L0?Yhxw6T&G z(0%MVebGVOpP`p=P5{gF`OCwx>V~pzQ)|MpWkNuRCDDWs%NrIa^+HStNulkD`Fh#S zIRk)`ozTb4>Y9FuaK)Gy7ngP_oqyY zaRfk!MlV!@%lJp-=dVq!cEEI?ejP&|ZoF#4#h#IQoh$z#7R&F3ObjvA>vHhKKltbJ z`zi_>3FkspX`rh4wS@@v57#H!%&EXs2(O(>zkEt%?gvTbFSN|?+U|4U8yBw>>uZZ0 zPOnT|yxAG6KQ!1u&;2bg(%J^+d{}JZ^Z~Y5L?^#n z(pIE1$lKXmAI}V(HR3j!4~+PXD~3#foxwb_sx8{7T^rcuV^AB8^$WJ`K3e9QZ0*(O zYQpyPC;xt4GT!}=aAdi*H<|puum_RDk(LGxX4S8a5}{3_+|D@;5S8KctWRtlX`fqY za%R^{LBIpnY!%H>RUu~0NzRECif*J$4mX~?4jtHfq^*40vc&M8r!Gc6jW?&p-RXX| zw^V$woDE(EJ@`j64#$x>KF*i(pQF8;^UVIC^`9dCirKaK(x_k3PbL}N-X};)bh9eB|q6s&3S{zF=-=NQx``dx~qv;GBI;qPBo*bHftV#yz-yl5}rX^mZ1$SQJ#Q=ReUjI(e_Y?K6 zNdHdKzmxUvl(Yo9nv%mj{3tEa2xN91yI*QQwAmv6 zP&1%HelAgQc^Fa8ycnpHhYWMtwRI?y(#HdfM*pgAU7&6o=};rVkn1;ry8J+06B%P? zDw=cu5U$XW*fA*V#|G*u_#jDB0$+Ll2f)qaI)JkJtLBWJjpt$;JSd%Lbm^g?FW=IE zGg@Q?7gfJQaDnjL)uovw`H)oKdQk+V76R4pybJYG^+2ljO^$_BO-o17k`dI1 zu(!gVaY~~dyQPYAq0ng1Tzq1Sin^(5iwuLc)X=dMH1|(S;5(!?*7BZ8*VXYk-$}t< zOU;Q-$s;9R_H1|AVz3%{+mgrUg4bY{iTzM;=h}6~vV|o3P5}-4!Zt981^@{Ajt`$l zsFB+(AZOToB?4rF50G7>$TP|2Il|`Yr#$n0d0thXQk!QNraU^dJJJPrmA>4MDEAMP zJG#3(Hdg}^>Un)d7)9~oPBwC&8Tq~jH!^Lki3#gvLz~+%B*Kn z`;L&lWYg}rS4=XOWJQNb3YskmlPn}TFN>mUX3GhwHO(Z$By(#_hRGx=cADfQ<+T|q zNH*;?Z!1Yo-ffa{LTa@QHz>o0=4}(n_76;QF3F1bO;S!st?za5JTmkdj=TM<;E3F~ zWcMme_kRALyEiV?y~#GI?k)K5x;HM>y#=Z6%}aH!_Wz`NRt|=xZY*~DSmq91g@!14 zj=y&k*OX+BsaRcd;C_a2$2NmP4db|g88G#8^1&u)^e&r0BbuMFzY*Oh1Px$lL>C-r z@@hoK3EyjG`$lxX16G23r9Uk{8ygxm`mD09{h8|T58b)EOdaa^zwA(LxBue~(ap6& z-^cq9qn#hrzp`ez{}mR7FL1|8%vRo&+5Xdb{kLpalAG+)WXr9Sf$0Ag+toTl*-1hH+ll=Xo z7v<6aM!Nh@xNiSl{r|yr-%F0FtNW*7>}?8+(8<&(Q^b^^k==4p%=XrKC{{*3+xtKxonG9jsL(u9B`^>b2i2zoGrT&-{+}a_8S) zeyQa9dym$b`xciO=@Q%_$g~F4`zky*R9U% z$q@|id>HELVG2T6nAtNt^p&YQ&`W3Dgj&cNv%4GCjcr*pRMs{1htm{pg|T}Kd`Sxo z!IbL@_{0e;b;Q3=Safi_HSNr_!q$!-xZ~4`TD&4NvipQU-B)zwCkIw<3(pNa->-fJ z`6lW%)2+OoXik1=6P46Afx5d%ji$TZ2vGvyPf8nrp=o@v6PInZl6S*MK}h;IBHP+JYu z)^W1v7rlN&I{(hkXxozAcu7XL#IMMww;7F<-4e#E7RxzNg>ttcePhMPgGNv1{QxVf zweR%%Df{Zr0~m@Wp6|t(=$zW!UoI0Nh}pAL*|57)@8TQQuLIdA*a7Xo`#%yk`Nu`5b8y2rE2K_uD5OQD4mXa2wI;!{oMd1>FMn@xKaXJgf%+)GFA)Q# z<{P&!&U@6Ig!~d9mj~i@C@wHNL4nBL>_B~yMv(Pf_rw%ZmOjhIuPPh5hV|mC^M#pM z&mad@8+xTz=jaeo?T`grus}Dp92+t8{pzL>(QQj~WVB#rGAPvcUUuTdBwRn~eb-cuGX0gM<>;anOR`ifePv=d6yw#$82mm4@@qjp12Km}E>T(%D?c3)lYP_MCuK1^W|OvWof%MJL?bH7<&QTniXRn@~D3Do_aCWkcy>g2~oQzz0Ctqh_S zvv8X9acaovN~iNS+boIfuJC;&gBs^Qrx;hc5z(*W&2W$lWhr5;=2NJn-r|==*2$)p zP0j8eYb|Y4LDsrz>hV|^g2cKLy`Lo}MebFR3Tkz6Q)2qW1()H*>(g13JN1xDLh;7P ze6=zcs{X%O)~mDtUI>8k9ljosz0)~JJv!Bv9Aq;VDr2FK+Kt6^D;rIT9GkJb&3FJA zM@PRJ!5Vy?uU=a1bQUW6JCp>XH=|ToEAY)`m7o9px3NXGmG~wRPUC9^`30o4}D5JNL?%k@XmMPo>z5^q{V8i6l? zea9M0A44q2LjgHnlTl5_ z=ch=~xrCgFH1atoLAYS5hl{^i?Q~}OI|9g_cN3KLOL(~^>u8{m&`G?)qtyG9yjA=~ zjvkan|1NFj3+=Iw!BaVyls}kTD@6HFfw-7bNeJX(N zxZY(Y&H#Zr4GPoj*kC$_JDoSL-P5YyLmBH8bau%sP*bskd2s|!PwJ_FC-wh^q^xtU zI@L8g`9@LTq?uC!6s%IgT3c|kui!um+A_vM8oEs9lSV0Xn%bOu{^ERUA>?E@`l@we z!`W50!l8fZ7*Mw^9LXt2=S6UcdG9#!P)0*HOK>UYz3KxXwjua0IKPG5Y3wa*XY^*% z^@g=p#n;lVr&ruD*xOBrd-5B7V2e4ASrS-;;ma3cW>P5nn)VI?(JyuQ^6M^;=@QdI z$U^h@JbG066E8n%im;^N+TbCj4HJTgI-fDhCQfBT zgD}EwP**Xs&05zt)}MAwp4vnM4wp%INS%lQoo|raJrJDLVbw@rdC+ z5YuM!tstMGLZ|t%P9bYzDBY2N!0&CoKd8P*WFoVNzq83_U3SaG5=X-vhP+eseLjvz zYa#09dFUQcw$?xR^z=TZojL!IiA~Tm``Xzv_vSPERvk?475L(qlL0rp8!1jhCS*)6 zqD0mW=hD0JkWXvQ#cQ|SB%>mV~gSyMGNCNQX*MVYt(jxb>)PUpysNsr4oT9dV z`8zdK7?9eg{7i01?s<#i!>NQS528xHlZyeTR*Dkt(_;@9{rtxylUldSXi>@@(Hc&gW zD?3R&#oyk#&?3F%cVyA)gs#<7`$d}|J3bEt~|EqsTSE90;(ZwYdGjzHAGdzQq>?akdQuO>@5Yx6>Bke zGrx_1tv@_#c4(@}WS;46cRkaiJK7xWdS)oH%m?;P+iuPUyRB@lInK)E)Ttda-4sl0 z^61|=0tN)h6J{7BU-e5M-T=g=vk+51(?dY(c+SdUTvX#d0L{P$Acb9~6=00bdXLYt zNW^BzN+953vw}9&EjCO0Z@kNl&Dvp8S+QAP*i`q}tlc(sKy22(Nzo;;W;?TXNzq{& zJZXcd&Vup^UTAVIhT8r530ld&$C&6^?Ccbu@az8HLZUODKJje;-?+P=J3sn!e#`5~ zUd`jCZ+%9Orz+!aSb)MGD8wCwSI^Pe?gY=sGz+nsG?{P_$w2U$oP^#MYfZH6Btkz46HZ zPvhZ`(T;~Z3XF$43XF$43XF$43XDf;6b^9G=;(e&fqBY#73}dl#^}6Kjsz4kC$|ec z*<3D~89Y)r%oGCkuN$(UyC}jvW0B>avEVKabH;*C2RL;&G=k)7BD=Gu4|7#1{d8+M zGhM%#4QFyTgVPudXSym@c8XTIGZeWOzu*iq1D{iaVUgjOHpwnIYJEd-!az^TE@h<7 zu^B7K7_acCRGnJ|=%3Vkr`_NUnQ)N$ao3 zV$;Hacilm!_qIj-*v{=-!nF`>LNld2kTb z()d{0`1xvlhp+LTG;V~hD-Yyf?70?4iW5*cHcPGZjOJ-33ui5@Yit6V+azGuKvnc? z_e47A_ei(;(BsDg0eb5}Nx$RSR1hh?oyu7tC=6LFtCoj|gTq7ml$%xeLDV9Nliq#fVSkix3!C7R_ak@>nKto-oH`b3vbYX& z{qzGAbmudD?p}6v^e|QrN4pPa=s~^#6Pv96ysmw*@RZqv`YWJMq zY@GNHt=;oy(u(L_z=@iXm4W|Fa8xjWC-8%=psIe`Vt@TXz?B&-w*TG8_DO| zJ)bm>AxqU?YxnGFE?4SLwR_%czDTJ*H0$I*$KA|7#y)QB;-%5QX*s;b*u^=~XQD`3 z%lXW0HH?=}O4gZ}=L-II2Km;43-72I?oOZ0x>Z*!(^blQ7CgzG^^MwEqan5?ZzewCf&wu%7sxX zx%|3Zu8*nV#a|mu5q~^s>1lmii`JP@9-^jj9_!Dg&FrTXZNl?%nKAEO>-KB894r^h za&O?QYWCl158YIc_9_N7mKt0NGIe)6v3 zuI<9%B1O%BhPB5Aa}C3m!rwi`tz-F$b(AnXd}3UKLca@Wuqq|FC>Ylqca4=^XNJ70>kwGg1!_i*B4$k91GttfA*eO8{ z4W#c4cdMy!b}?q9-6jMF4yC%ltox~KMD$Dc#Cj4VV{>Wr>k#pZpTT)7@H~)=82ax} zVC1%mgsYS}ZumrMFX8xslRaYSCx9BN>Z9=r;(jPG@#-fBRRs&2hc#vBS(d#L!|X<7 zb&j`3e4zKP*d3v(OD=`3*81q`u>QnI2U(^|2mqloDFEnH&+17qv@q|PT)C7< z^EYC(rSOxQ+_h&~+i z;L(RY4;KB0p%deGZH}!N@RI@S>VgtQmOOA==ip_MJo6x!}@nt9oliZi&t|lCA||l%DI%+XY43V|Gc!@ z&B5~1FA4U$;h~iMO>==z=O=}Jf%+-x;>?u|{P@U0aDgBx5QTU?cLM7;O)X>bWw=7e z(XOO-6RLU)Z!A3_ZRiF|$EB8z3k)5HolQ(l26hp1ZYcWkVho{dEqqkoZ5$^UK5(u% z8jIr4PpW!>wx2cLJn*U(8E6K4o>&_YawJqb!)O};YT$ga#JQlcqY3cyuq|mk(f(<=V$q>3zPo4 z9)I}dr{j~K`{+x=bu@Yst2$SCx|u61W^S8nhS_#`DJ#kLDEs@P;b+nZ!w=fjlT;AU)i?p-~KjGL3MVHVzB!=_S4`E+?Z!}@&Nz39l zpDNQv_um#;#&4|#tH2P76z(^V(86eJ@PNqPjNuJqkHbJg13EN092P!P&4mK9XHuz- zTa<)iBbjNafXU5JW0@RvKt!qYHXT*re9>HX_*G87lpdsiIH(-LvB~iGYlFc^@w$sW zUG1M3;2^WNe-4>7fhtc|y9ba=9Vs>K1LwN;teSQ?kZ{4#rYhEi^f0`}kcw5*G*C(A z4Ac)Is}wZ}YTfxGMNEoXjrn~dSZjWtHAia7V@rcg$~SZ6Tz-t*+11lPU{G!l!e{|i zTK^D`Q-}}0o)eQH^)7muJIYJ=*maZ#+fl9>8{IHEx=llC#+dPpu4p_nixCW>`=Omh zH(2{-VR`?L7~iX5MKhvO;3TsNhW#<{Uzl#J6}%2=S#RK_FX$2PxzOrK zmlfLi;yb8>niCt~uBMb8==MkJW~00iwN;A#X^eYGtg#o>#_IFN$ zCQ}kF50v#GnqjL*;4$CLEyp-s82@DoSC@R zltx0N^h^t<32BhhHOL5IN3nLwh-)s|{%mKOfR;_mSpTd7j33 zJFTZQPTR(DYC{z9oo#Glq*6+!Ep;rVI92JE8ESFb&)`&yn|RC!(wx7t9*0|=8Y{nw z9q~#ZRO6FS?L^$MsI=p2vU%EGj?n~ttNl4-v^%$YmwyK>-e zf_vc3uB)jm)Ok-(-q>zn$||n%EJ^G5oBfWsz5<~3y-$1&@ES(yc$gfgVIBbOLzHod zzyF+Aa2p8Ifc1bo9HgY^^}F!9<^msn-;@!J`+vbie6p|pJE{Ns;rFV(2EUJ<`)&N* z#k(YawS*Rat84a;-`;@b;rIGy{%`P`u5KMq!$!6*uX&LPaWL?v@cSl9cl94g<4ykl z_udbF|2hmP-Xt@Ks=gn7v*0f1cVxx4@p}^QzJ=f3Y|-Ir z@Gbg%4i@t8d&txOH~4)J0QSZ2>kwfIzf)QFgWnou^y7C>ul?ZnB3}CO>%}{ZvRnVP zlq6m8Re&#y!J<7(%2fR85Et(CL{_mOa1!zhy{0ah%QIw?}LB){LRE=F^8jy>y4NSp5?`lr_%L z4}CsCOBZdXNd;qBJv=)c4WW7u&*c{*&hWf=e{%AWw^#}1p-onqx!TmWOd7|UtZDFy zWZ;^t*`#=t=G=4%q^IECFI3$SS}LoXxzaeaT>6L{k!tznJS%yocaLVBPC1#)$ES)B zfqk=cBhPStIJz36IC07ULCR?5<OD zj1k9M$UF8f@1)1CFx33#(f!lv*Ecu9&!OfA`Ck_Ov^+4PHNMs-K}H|q?QcEi^oOu1 zevK{uONz(ycc&(^W4x>h<{o7X*^I-LvC_-v?9DZZSP3sV=g>uKdy)a3A_v7{%W8-Vn}d&N z>x{PR+J4Xzdz!U^4z7)5Tx4{7CxO9N|Vj_ue4sL2BclIKuGcXONz?5W zgg*v$oaEB2fgR2Fqj0zBHL?;pZ!r$tunQRjQR&dVfyjSRE%qV#y?g^D|DGNE($gmd zdothm#8O0SB{C3EZ-tCRQAcK?^OE+VbN6c>ifq=1x%Q!o#fQ-=v+3d-h3{ozBMxiyH1R2u~6%HnHk){~vpA0v<(`g$>`T2E_;x5fNNV zAR06YN!Y`dKmrL65|gkA7&_?=X-T@9UP4gRD4-yMxZ^g1`>rUiI4a_j!ES}~AR zj>T)XY(!4_VS3?KYF~EUqNMU`CN8*Hi=4Fl*%tVyls+Eb1c$|RyXqSh(ONpG9Qwfv zNk2&K4i0BXhiJ>iYaV>obbTqghC`pt;Y>K(H58#EJdOZ|*4l#|ZMsIv$+a+pCoR>< zDo7eL9|U(&^C@#K6H>JD)1LQFnb-6FiupZPTt{9n9yo_mhOUs0U}oW+&dBMG2D0ir zsZm6aSiUVK=WFT{1&>mbq8_63S)>fbJ?p;|tp4ZD8!(e!G-?l;`3Eh3QzaUW$lkjW z`&FRQIx6Q?rPAuTYaYqBYr7oRGRD+ry+-=1J%z4@ff=I_eWE^#D%)zj)OUNXTt>-O z^A(O3j0#j0N?b0#=L0KbE-)h_wX(%>#0t${3{;>X(rv=pE<;A`G`ruwWOrlrY!?Us zGX2GC#tdBj?n43*ni{PQ-Kk}xYp$(KiED3nE`FUlCaJCdC9{GoQEqykwH@6--nobF za2_-Z;8SZSqq}DRH%{FP_m8V{zucF~IZ;s??Z#~tGm0RpiJguVmu4G&Xce`wHMg_? zzAOT{M#KjpV!8->VqvBgaaUAk>-O^=UAwN?)2yIxin+sQ(Z#iKhT zJ#gicbhd?TcarVRXczHS$dJMe8_~ze8XcC84e7aJ1CnMF&H`Yo@O)})p|$zhUk z7vz>+AThdF{p{mEANAu`kNf|rXHp)u=BG0u;K$J9)ez} z`Dh57#cLj2FWaf5lv6n-x^Nyci>#!sIh$&P#KARZ6Z<4#aLw6NS$M_-|YPQ6{>7|_M)_&8K=MVc|1EG zM!BGJyQmCy<*E_z^(_(@i$bESjG&AS{>CYS2!cbYtEq)XdrY$Ww%X( zNZ4F_G*W_ky%o|9WI1^#4Md)=c0P?ji8s^A&-j1_)dTXp;!Ic;uRV>F#l`AE`JKaM zBD*e`h+3c-N7@!4&$IEu#vIHLl{@Vv%5T@{HfyXVSV@C%8iG&19z&RE(J5*OK95w* zQ1+3e-j~)so8mg^5k>Md0!bXFWFjGIobo7-$LV|;`)K`oWxwk$>Y5U{f13BCPA&JfaL;MnxWsbkJ%8ew%x!&`Fm#a>}a^g&6#WIg|#ENDJ2}Fn^M&En(^@sr~nFm z?9~VzajipKTQ=AtDMchoEwLPLh^OaWV@FNG^6X^;e+8xg6=Me6R)zW@!}6UPs5;x9 z@%6tk&=M4W&Z{_;0aG^*Y(Ta5ym(t|{mm!nQ0Gma!)n$Re8yMS-QP-+`jT^07m@nx$5XH^+XE$}QWwprNe( zMP2iGHOSSLcVQ#%tfDrthHO428>xSV4Gkx@>>?XA4gTTIg|I1J{;)iHAfnHu)V!ZB zQ}ZIJ*iy+YXdNZxye?)^w&9KD7l)l$i2K%Pd+#${{dgsZUp|G}mGwwlRp@R_kPTV^ zJ#zWj`Q%2b@q!2(Q7uMPTfVo&k&cib4fdYEp+XcvkO(~$KIyk}AwtKMUFbU)-FDSr zO{!XyC%OS%`fkL01-*1rHeCi1?tC1jW1lF_DwL zXswSK(~+bjQJp!juDNd&(k?&Ah75}pb_?b9JBm8~;Xx~qcktn{UR|w?L|6)-rm%9} z8@Vmof7eu^>m{{C&9rx7^*3woT}e$Zb_s>B{Fj1AKhRqlc{(g`QFy^xFf6RHTJk~; z_Hca~9f%gnL*6IsPRZK5h?K^`*U(6R&Dd+lA>Ylme9zHM!g4>|r+_6H*5FYdx!afS z>x5Q9&J3cUA0ZA8h5w|U_YWPp`b$hBuGxGZQv4dW6@R$=V@=)kD+j7;Hmi~Y4WyD= zvw6KNHyAgmyr6KMPF8=3$$%%9f33Z?qhP}K>xt>-$r5d+zKek}5ZP*qoW>~Iu#&U-t5Md7pDIQC|8kp+9%S$f{zT}nVtXgg&pV70yt&&wg*`y&Mh^&joFT)6*VK9=U@2&*{1RFsL#86w2}^5wA^3 zcF+s6Bc?+%Bl$%{N@)Z`;w6fXGAgZUobvbxYOjt{+-O*#=M@e-5O;DTo^+`3=dLXj zzs(0}UP0ce0bDZ%?EnY1ZLqb08A2v3T}m2CIAQlTeZT$uId$9|WRT9CICpUCw5k*5 z{)QrJLo5WtfF92v5y5ts0Wz>-w90_}#JP)Odss|*-o_o*uPNI8|n9Ox9PQ58Cr<;yL?x-*!JQzF8UDt7GAr}eGZ<<%g%3P{{y?Gl1={8 zpe{`dr)7=|8)J>M%puufV{TeFFU>PQMcNNl_Bq3B_9=oo)Px>7aHY(P{gqP@{F`FC5yjW;VLKQ*Rm#W zDCF}{02AC%k5S={cnt$zJt-pWTj+O3q9L!$T%otgSL-z_OB8r^v#4uuhwFur?yECu zyc9|#`2!Inu8i;108hXfDSO0meS{K8zhGx1^={|peS{OxH>-JO7KEE&GLp7b^HKIWeiX~(; z_`;DC*$`)h-3yT>1UFYzc6feDnXL72UW#F428}UPx&FX7TaC!q3h&~m4++jPDudoy zU)@rpU}lBkk%gN@UTcgoHK>UYVodPNb>%fGDl>D3lMfk4ElR*@bB+X=fy6%>k)=W9t1z*+EOVhR?5;tdb4McqgW8qXs0)M)+5U`1 zv=LN3O3!DE@pv2DOC2%FKyaLvF_B8gC?p5QT%X@)^wov}VYDH?2NekKuNR==yFSKmQvZlN- zRF8glqj%igJW7E(LdDb0uT<865kOl~4IwOBw@=)9aD^gPP4zs*nz0|s^f zB_SUuq1(SSQZLC`Kn7*&r!zYW0dxu7TgDb`+buV1lg?#;6x6v<9>$V-uipqo{eFtR zE)<|v5UvgRf~w7h+YGXjsxD$t&Ul?<7)#I|WbWKyNf-@ZUHipJbU18;>jTjSkBwS` z^l;mCupCE{iu^<}@ihAU?goR1xGakzV~n@i7oqAHH#Z~xKHr!I@@m+q0y#6QO|_%2 z3}LAw9=l(LCO;q{fF^r{ zj`x|~Pz|Vw5r{^D(MXn&*+l<{N`X45%rAC$up3T@dQmqr_ug!uLbFmDg0oF1H zjphd$v_G25*duu11;x{aFKXsNRoml30;K`fdxeh z_9{gS#hc@eJRUYN>hU#s6Y^^FCgv4-i}EJ<^8CTPvhuve;mC}J>BfWMI$#mJ7_-~joA>?!W!C2h> z41KJ>m0BWT;5CxDJmgb=+D-4Z2^q>Etqhyk)7*+k4 z=>-8vnhupvS?>OTz1P>f!=N;veevdkIv_fZQfwsovBBpjqRw_ygfb~yzARO_?O{e` zQ=u?2Ynj7-9Epp99chk#zeRO*a$+zcN;N+&~K|4%IEZe6x~S9Gg>D) z10B$7^oJx^4hQ`?0`f=l9@)w8WRcc^Y=JEy1N41XLB;fv>67z}%pxZWh=pQGgV1S5dOT82yrYWUM^zc5~6Ze zP8|&a%83{nEmPfhdWeQfi}q#X=xPY4&!MLG6+%K68=*IhDpH z7+rZ$Es`d)%%}1hm;2*MKyoQO>O83AfZ?vK^#*Ay5{`tTQtHH*j`Qv8XK}$2V-zNl#01=LCW|{(J9ZM5uz+RYCE<*Lp>(mS%`_+f0aDM zG(1WcLr+Wyw@MPI2y#WE$&l>9)Q!wADLN@yVLa=uk)4!tM&*HlZV}Qpt)Q})2P#Q= zW(MlrF1A?VS<)=p5YoYERHabomBVw;VD7Av!WDA=H0z@_8VZp>D21BLkXK2zb`1vA zi-)uYD&O3L+jftJ$z8)iuj*vap=QfWnUd3HDBh46pEbmeQbg+NEAqj>vK3m8jKDAL&cc`D! zom7EzDq&84Qfcx#{7E%Sr~IuNm@gANx4#n&wvP=^_TMqCO;hI6858~Ot$8trv!IjV z?csF&tBrKOlaP4*Y|Dw@##(Dyk2yhMgftAgdCGh(a=STH$)pMRIe1qamO8}dirR?5d5R| zXvr;5ph1A5UThD@MsVWX2}6u(HBL?+lxMmoVJ0pd*;$j77)N}p7(m~bL9xz3F&&bY zB3=^R!GDyR2q{}gVt&Biu#`A?1o9sdK+9zreK5@yAy#3N`T%*R_#Kf+W}E@3F$7_` z(HDls1S$&%927kirD@qin~?~5$!#`{CfzB$+37$+`6)8ZNv4`eR)Ca_HYuz{%`9?5 zTI6b~7%FY2{9p-2l+*?@VZ~0~vV5H3$AtlsPozIto8&9r z9wT`!nEK6^+p z#B3ugOEwN}A7(emv;<5q>v;`#9qC5Q?8%V8D$znAHA?}H%7M6!Odke4QK-To!%!+S zJ%J@kisw8ifiWDxkOD0%4>g8>Yza5z0CrBSG#rIo84lM)Db$eHmO^eSovnu;bPRh_ z{_z@AKCM14$qqFwbI8hVi<~K4oK-GXKe4)~MF28nOK^5`)Q#-vX&#djB{qE*0sc*}Q ztOC?{^4|oh@h%TQx8(elQ>K#t$TdWiv@k1mtB4+#LX=|7c_qz>U>M+O#yA#pH-@2X z6bvA{uu9q5DdLrLNv)XZ(Y@h6k~gDz1NDi225NEB%rrZi=0=|7u0IX?yVO1kk{H21nX zP!giT2qH`sgDDxaW6(Ip(l$^8){n?555N2IDDT_nQL0wF#f%wsIsVv#w@`=7_(_0sPyB=D~hTr<`}_f1H|e0k3T|dgvmFP zL`v;(+$R_ZvhPj!%s#7pjb3PA;~~m7$`cY?8FAOB=^y)d{y4GG<8AgPel~qB_18`d z1cJ_v#vdnu9VPnXSyU7vx)VB!x7i-0nqpNSW%Eh+$PLr#gN0s*De*p>$ND6qr+)8} zYLGmVnux7a-q8jW5i}bPx${JWj7Y^IeJ6jE~{OY5EOtBYn=RTmT%Rw(D>OiU~;DX%W7 zD5xwF<&#URi>4P$m{uglME#2}K!flm6E;qiOrBm=Q6$K7QDvnlnO;7lN|ep0BCs5j zE{ck0oCt<7_zGBGU=aw1eWcj&4Gsv8*F(ai*CWR8eBC%frAvZJk}?4G-B^_`Y49e- z^^7fy24irBa<_o7oH3sL5x5FcVhYV9f(Y0zsM2nm{Cixi)g>ZH|}@{K0DJ z0H_>rKT&iXQUDS9_4vIj^7rTD!d5>if`Ya3gQ~FS#;h3{D;ZKylzCE%g5-#R zq*>_RYH7}z1WB`%VroIs85(ucR1;PaL5hO*s!=XiLN5hluoh1kQr1vi1A0;@kZ2Ov z!A#*k&*YU@It1!yN-mDknp_8MCK1Pi2V00-;5n&UWgLt$Zu>o+IP- zaFjdbyHoCv?+)B`lyBvI?=o&rZyqPWk#TA)_}SfA`B!Snj`QCscgTMS?mEi9^8QEi zuR`c_{*}75d&y#JB>s}MTMKQx1(0F+=5L(t_&(@s8QPif8~IfNA| zVWYuYhxK(c<=RM|>;-EeDlErwSOduG?!uTD7$XZ3ixb$c2`h%`TH8V`vM_E7IRI;G z!g+$`pqkN4jdVF!Z!I@x=j09N>kpGvZg_os>apT4r6ab$1 z%1?8OTIs^vYB{NLm+px+23yBNWg(Db5Dpr}ks~3KBzWe>vG|MDWC{{TMcC{2&|70z zG*KR55i!QhoJ;TC$oz3ex?1Tcl^=tIEFIGgl~gMibyzMYP_Uxj+Yl63q!>XzPMJY- z9nei3OOYN6UZtksN%6&tO;8OGywq?*O4%wSMB|tEBK71u0KaDy}XBJ#`r64S#D9Vz0zOKs2W zq;pG`Et-$T*-*t2A2rhHV?uK4q{h!*yVNW|o4annoxQA-XgChsT z?S!c6xXpU7>K7Bpv<%gxZKk#u`&`v}V(Qdb#tUsi&Nzj+j6)cQ(rPT#U&wJnxsXq@ zNXQwFqlm_kpyt%U$F=T)$ckF(t)yvc|MqzoRvnwI)k?4I%kjmd#u!qNa!PGEfL>hA zgG^V6N4h8^JI#<{mOVxyH@2Km{hEZ@-m}eF3o~VQcjh-5bqs^5mK4ECHxi;H=cdxk zp(wHY4`Xy9*D;s@k)nNonkB-js9G;%_O?Q@hhtA~Vg=dVDe;tYNp+Nyp#}48%YOx@3tV)JSZr~r3m3e@yLlmmJu?FkE15g-R?Sja? zty#=9+XWV;j>FCj)qa^D+Kb05X|pOpO#WERr&Oj0L?$5H=P9gIED5HN}R8rjo8D5#r_shLh5 zP3FuUH{QLe0fyt>iCCKJLuCGZen=shyu|R5;+F&oEB@R|tZG-Oup%8(BT3UxnOrO0 zqzpPiBvIi*Xo=HUtaGMC-XQFW@(Ve}PYOA4W}Dnq{`vXTPUD1J$(xu0A>Ft+4eaPo zjh;Uecn{p+v%x!DUKse{5Rqu}Gb{Du~y0sukTFkzua&S9$^TKiU*U+LsW7 zDVcNCl%?Gg*r__OSvJ8^6+O?gQqcDFaQc%)?{FteSM>tb3R)$dmM&4qlrBd-qjJZD zBOb7sanb=Lk{rOos#Ha^$eGWtT$#bxDo{D1ILsi>Mo`|0`wT)nvsBO`Q$}Qd;TBGw zvXI+(p8z4PnsNcx#oj*JPCtm})On!SvCg1u~n7`0VO@l(rCWFrIpqGH**T zoAxH&!{0CLz};C1->*$Do8c{C`yxh4v879v>xPjzNd=%UL%o{w|9B-*sMvW^?zvlrZGp<(MU*M@iA zJ#{`+b5=QUKJ1K_;$Wq^q%bCD?R-4(7MmCkQ3%@cMhsL9)ksq>hgfpiqK|0@wV!11 z*#?3%iIdc4GJmpe&6SZfhhHt{M`@HzCUeXxtDr;wC>%@!(ZqJA{gZPwC7YfW9b_Cw z1vbtUIv~lAZmO`e(V35FKst6ZerZZxSeqa<8DT=QTa<{G#IlP zTiD&*cPMu&8EfuR=HnSZzEhcRW9-M+mGN8l_W|RGyOn!!qZ3EmtjyguDV)mg=Ciqo zF_W<$V})U>wGHFykBC9}g0j#jc9|HCBbcba9ZVifxYF7ke!Bc)#6;SR;&}}i}m6HaiO?KTr4gTmx{~8264H#LR=}X5?71Ah`)-B;u>+SxK3Oz zZV)%(?_PvJe>aJn#Vz7iX?L5r1F_zT_uIwwvD?ILu{&d%aK_1&*dwv?VEbI`ZhT*3 zr7T{0$=^10+GT71HKL}KZ)Mja?dj`1cv{3}{C_W=`|vz~=RrIV;pyC84bC$pmye3a z#8&aR*e0G3Pl~6+)8ZNNtawg5FJ2IT7i+|e;wAC2*xoAKS5cC$iPyy&z&FKP;%)Jc zcvrk9-WMN;+r*Am?vtg8awOXR>Hq)!X}YUR*M0WwwqMHr-FqC+Gxfk;2lYO<&mn#L z9eUW|M;v*SadiIy1JjN$7f__4USKW<&7RQcHH>L?dh?!!Rm<@V#A}G>4{@wzLR0Epi5nfRT%2Y3W;$(blU>b$#Hc6!-OsATe zQY`~@IvwtoTj8kq=>J@;t@3CQsp3GJk5Vn}>u`(0#_i}WT?`V(iQ^@$C3BASKg0@U zl*rdci!q{?DAanxHeY@zL@5`ENn)}n7A0Z|Xz?`I55#kf{7xaAAxmkFq~I+UjULY5 z^BBi5PGDRCU;9d`yd1eF3SS1y)mGqt^AD%!#mx8LgJ+`ND7-WA#6vpK3ZGKn_TS0y zXQm()v!~0MmNVOF-2&7v2DQRvQoD`U61Cd_B1d}$)N&wrWEyBDQPJ*_GxiW) zi+_o4#BN!Cd&F<3`QrqgiR8i=-kSDLp=&O!i`G@^g}OaRWQhNWAH+V|zFIeJKkXNh zqV2B{ckPYZIT#$akLV(f(z*&mJ6cn1Q?<+hIH=;jL(v0qa-IbTkIIglgC?J zxe)E5IiY2!TBP3T>?=#fLy|A6)_w-c{7m$)9IdVLSS|)=1JM#zf?pG#c5B3`*NF4L zt-EVIv;(xBTB_#c+XrgBw1c$XTAFr@Mm+pjjkx&1n#sr0wJL3pcAPd(%+}_EtM}1} zuOF|SBo5K~YW=iBwZpW-wIj46wT+N5u7Q+c^7~;Larq35diX6OQ_Io@YuQ>Yxc+UR zT^?}#JH=h-_nXAs;vV$=&7dIniHF4l=%d56E#hzD5vB-_is>5hNs}8=!+Ax#DijAy z05;&btZ*eSjgUx{zUcjDjTd-1dQQT!_YEBb3gH6cc5BehXl zo_3=ct&P#fYU8x=TE14GP0%K4g<6p|Nt>({YbDwgZK^g+E7k57W$-0Lxpo4uLaWqf zXfw50+8pgfZLT&?o3EXuRci~h8tIB$Cj05I?6JRab>WX1m4xBanw9`*rMl5a4 zi9J_M$vA$H$e~U(7(#0<5mw1aiKBwQ9(q_m;;+Yvp}iBLK8MVsMvfSrH*ENrgl}Ta z%wDPIuf6bs^%q@y$+{~py==qfSDu&X#%b0;2O#2f>Cy!>!3ApI(p??>;8^}ZL9Czd zQm@i)*N#F+_%6D@cdK}1LeDq<({IhWYtLJ^?)>xDufL#^zYA@@i!QqO;!7^M z^wP_I&u_zJmtB7O6<1v8{89RpMERp7Y0ijU0(tfmG1roSKg73{Fujpf%8bR#5l&Bx zaSjkaiCy6Qi==$;EM(yqASFBqi8xmqqJ@`Y#->@Rs|70pz0LJ*taQPO^cqYS@oo=j z)Rr!-rp3^5<4_n&G}Y7rKGZc}c{F|ULszV3z=U~_i#*mYE9`E>QA=WQG#naC=Xf;? z_C{*WM#I{D&r+QI|xYSQ)X3|m%%mi?mV&W@|Qda(y20PO#M^(D)lFoFf z!?{$l$lA*XmSJIU2G)?ogaKB9_N}U^bsMtSLNC zjYR=$lZVBue8dthA;w{PIDU`z7DD9;{}iPBCB|!Qdk8dmGQ*Ljbh3p!j7Cj7B6>PY zkJdHMkZ0eia}VrJTaWs`|R;I$*8K2y`UmIBH$0ie7BJ<)C_?@UPY_vkw zXxXzoE=A?5;JtkLGLZ3xmy|i<9}0Uh{^tW_{+u!OMP=U3=BbPaGXD6!`u+jq6O6Yo zp3k_5aTsF{#-BJnpD@0}_z>gujOR0+!suoE^feX#%ZytXZ(v-{cq(HZ;|Yvo7>{M_ z#kl8H74A;P*BBpVyoK>%#xodCW}Ly8&v-mzA4ZMwpRcHR-eTOwcn9MK#^sED#yO0I zjDr~uW$enhd%Ft%J;tXQ?`FJ;@f^kw<9x_yde5G8QprGakmc597CQD1YxWKErqqA{e?t^b6 z_;+L64@mrFe>~ms^uR+BJv}{b?_^HJb0D5xcqsgX7|pnP!<_6fzyFzdur2OB{C)_q zFP?sQ4#jgAp2P7Rf#*m(N8vH>9F3MhBB0AZbaFV|AsrPCpb*X za5`X;!+xqXm05Oupnc!_UrwjJke;{g-|U1>#1T6F5sg>BT=T`keCtiUEO40X93~+( ztIFzZXh+rW5a=Echu&TNmN60!q95^{wtqC&ItHJs@tlM?jAXxQ&}GDb4ba5WZ%y0^ zZ^ZS8+jaCi4?5KNZ=!r%%ogRqdGU{UJCRKTB^Sj_RJN}}@V*GoDrkrke>7V`Kc(~w zC4PqaREOPAhe;V|X4(0RT1Mj6>bF`#BmO^`Z4^`jNRlvrN1HE6uquh2fYxXjwD1cZ z-$>q2(uTnNQqb`&Zm5sH@|$6^DU|PFn+>ikahOOtX~NSCIgDo1JNgy4G%>+7!8H+o zh4?G5{T1=MiS}>pzfY1rCtG3JLnyXv+YjT<_^Q$o59$AA-0@id_x8UM_+JV9uLS;A z0hVEeuWWr&h&P6l)C%N*mrXvF@Y9@&VSle-u zs~nFHE`@YoC;wmPI@7fX^5$BMI@h@_M?4E$C%SUPTvy07OU!`llUy~f^}^%2Sk$^I zTy9qx-pZu?RM$LLk!!kZ4#ubJT&1o{#WdGdVz%oFQ33m6*BFFHJhfgFx^9tj#AMf4 z*A#rob>+K;b2?OR*15JK?vbu(t~`9pNBCo0S+3Ep!LCuRp};)X2v@c%$90S=%{9c8 z?m8M_3~(LqItnh2bsYzr<6Vcj2D$pV`n!&Ro1>75yTl=`p02}TKFoE9Yd=>H*Z!^p zTnD=ja_s|O<)WJ_)pa2L`oVU8S2wum4twGHNnhvsUO&jyD1Ov`#XC*BE*4*7VaC7o zFJa!J@5cY%=-=u;>i^a+7GLN;>6^qZ{Tuxnu|xk<{|CO(-xjP6_yX@=>;Kg67ypF) zhx$(aZn0DUOn(LLo)mBBF9Sc-KY^=P@%^9r+xm9>RhVAT-_qaIU&ptn_1E+_^e6E5 zlK!H;Reu4v4KAM5AI0BO_Q`p8NFs^+)tAcy8CP*KgGy(jU}sgzI&#+w`0D zyYYS}{=Z$n27lM;f6;H#uY$XEt{e2N;wG4{)o*}lgT5aBUk!KL#CiG^`a1n`d|#zs zsb2v5v-R_V=jto;i|}@ae!9LExK2L}-jtMrBXT$pC+^Ys@+xjtL3)XQOB=Xyre>a+Bd z^kRIUq*v(kfF=42_?Qj5H$^GlCh6n#sd&ce1^8BoXQW=F57&q3V_=g3Q-MBKABDGZ zc+b!W;@b;ilng&hAEFignvKl!AbURNV!04}9H6|4I81bNTCBzi8iRKWH&+m-d_X zowm;PE8f1*euCXEF#W83t^Hg3Q2SQ5=@RWCX}U}!+jXur z+PT2hu)kPaqg{aam3Y?6Z|k(R+IsCYZJl;H{y$aPE!CQVr)X#3|Fg8Sv?gsDR_(lq zwWsf5z12F`$Gr0D6Rfvc=lWdy6XuVx*5*^W9xDbr)CAUJ=}^7>7i)1`2xpJjPm77J zc*9}{?N`wgZ>idW^8a-%T9HNTv2?6bTIV9$L$P9MU#vaqh4-GCfi+qEHMPF!aI8f- z1Z$D{VO0{j>w_=HVr^5JmMd2&4S}ut8;ErU$7sV~e;n*aV*SrLS03!>>u{_G%G5?< zz0we@5E`YCc^tls$9kXwtOuHk^+DtC|2o%Htz4_XUlrb~uuce+8Y_gRXk}O_R1MRK z+H|Z5D#x0jInu>C*9@Tfce=J*TZK|TA7y_jF+9hQbd&!l|3HkvwYxxvcnF>YZGQ*B z<{;>*4uy-}aM9cLM=L~WHTq%DUG#+(zc1Fe^%n;4Fnk${{|CahAO0T*z5Wph#{doh zzeoojgZ~GJ8}z&6`%saOm8AptkJhxO;k^nv{WN?b+$t999+;lSTN=!Z^{3_6!QjO4 zzu{O-Hr)0%0vZ7GZxpz-`BwzpU=h}2z6Or%#wxZVtVewfx|3;GJx$*x<2MQj<+pXXgcYwFtrEda% z*{t6yIne{)J`aH#Jq%9un0(u+KMrp7g#IM>+B4u>&w-!)9enL2@V!?gr+X8e?`{1Z z{ayWi$uW26AL$>14}PY9u73gk`KA7qz6+f4YyDr~xV!c5z=OZn|D*o^Zv2z}v;JTG z7jSB|RH}=st7~6y_7utadrE%a3;e$~q<}t<3HrJYg=|0)!jX^|3`i9NTmvCJ90QqR z5TuF>S0<#8!BX-V0y$(Dq?Qq`k&s5lKr3SYO_cf(`k!

    M5k59MRdyv!vEN~SC>p6Cvx zA2ytY+ybczvnO-@gN%d2P2w}fGxc?10-B7;H7VXW85KMTICW&`0#?)Ns9X zu--x~7*=s>IwBnEeNy32?-PVOQN2aymp7SPRLVtSum+;SeEtW^L20k&!GgjF-jFbAkbd)EX;gHy zFVrcsL%z@pF~|b2%kiW#TED6Ss%mk3qJOl^P0Bvxjj6(dSkHoJmXthT;Gn@nh7L<{ z&T>I(#YT=CsZj#BLpG!4^0v^UIfWsr2p3oAn_F>oKGYrzwFdE^86X8>yhu#08j%hx zXgEgJoQx!J=5d!nwsls{^{{zVPk4B>5tFBr+wGk(Qi~H-f?RPD&v*-&VW_o^3Q+`8 zGls@x#qQDy1_MX07|twu60Ma$SJjs??hBqJmhee&CXH3l9T338bcGuNipmhJpI_oF zrr6PascL<>X09)EZ%8 zpNdgFy!qRdXkK}#mlQZ=k*wj4TcXL+c?Zhk@OCrP4=<4n7+xF^p3vlE$|J*_pga=k zO#X=a%6M(dBLjw&NRDB`KXb57&s{KQ228s#WQm_@arClHaf`Udu*_9$HlQWkaf@p) zsSKuJ;-AbXyx5@#GlLPrY9I#6#1rFeA*_b608M7BCXF+-%|Ux)8rU!chBhL3q<{k? z94O%+vgQ)wg#x!}7m8^%2`||3boe2yJtNX048?_1P55tJw>iqBK=vCS%OT@aZ3HP; zWl1eHfL@)iql_1YN6EOl*g`Y7xMj_e$c?2Y)W0UNwvKFb)x$cD%y8yAntcq0s+SbW zLbp;$2J5EMO_V|oC~H0*%u=`=gqaX6179FknP64razl1MR!Y`%tmSRnLDq1huc-7S zTnhyf&AVm!t=%QK#IeMEvJ$ekt=TvdZ_M^NL4KMG290T=7_xN;??72458!=>Ms?1e z1^e-GC>(=$Oyu5z7Sm02|4iAbw13k6hcP0*xF;NvWsclFTt?TgPdBs9Df3vTwK^)zV64BaKfWb);t`4Pf3^ zW(1lFwiA99A88;IG|WcK8cz{T=G@lCM__O0JrPUOzJ%AWqzsY>7C$k~r2IKSg2kY7 zez4m`DT0}fn8iucAYR;HzU?yTHe!iLAA(DW#==gTjKo3M+sZH$7#A0GLd>@PQ0X_P z6P|_$xsW@tghIM?a~U~oJW+Vj){tz-E|Qy}$|QiW;pvHx?WIKF#Co{IBiYy7ND-q$Czq$x6JW493Tz3}$GNKd%KhBtN=M~K&4-`p1B>T8c#5W%tF)A~wLG%??PVVpj<>ED z1p*6>Dms}yQOZ=Vuzp78Ca@76Zy^}XNFIcWNkQNd>{daxC|F6E2xTT@=|T~Raxs$t zmY~JO2#!ZiQ$*4{8`EE6I7Q)0pw?2BmnHDYgv2(aKyO3X%2&V1t4(48U#NrWWtcX| zL=*P={po0Jd>cT*gZGK&FWWqu{wCZd-|sjAfiZYbB%-3qA)%%I%I( zIZg$jH$%Ug_5*MdDHQBHIU#wdtXWumJj51;=6hmoMFeawoVUCjs>!lyuxVO^;kE%> z=&)FWnhn@qd~@89C9XtTXxjR4+wzkSPlHeNT(BKvJ*%@U?9| zL?LL$95GNaRU?f(pJH*d#}Y|92!Gnk&oU9DMXGjVwil20t!Y_FHUKmE`YO$|>B*e4 z@-8@Gd^8SLzi46nq~lX?v7{Rp>x3^L!0I=sRlDi685hJkDzQp}2{zO%&Qc;y)#h|S zCP3{q#aT&vo50A(oibBxo5nVzEf#%gLuB&B)tJh>)+H zYulQ1?)$NXx`c-%jCxSK?;&BFgu^6sNH|r(XJoua37_Ss12eXTAIZ$vN(rY(c&daS ztrhvCN!Uli4ie_QEZz^6F#S>SY{Es4i|0A=eIE%AO8Aw8+a+w2@M#I}m#|L41rqjt zMWowRLZeo+NZ4D# zQzUGW<$F!Snqc#VW<5}qaD56_D5Z%g>Fgo`Ac zCgDH{yGZ!WGqPL~-Xoz;!U+;~ep-b4`Y8b$CA?cguY}_zjFV86@Ff}lCJC>UaDas8 z%6z_(&+j}b@_AT7uY}QZJPwo32cHn(-jQ&rgeStQX)@dp3D1!5z&}KKTP1v4!e1rc zZjtZ|NpB9w@wQdM$0fW`!j&>yriAPSS7#;|gRM=t2o}lc2phW6*hqE>OJ^Bu6vGz< zSQZ<@#xjR^`G4v+igjQe*$w~8Wy(H5ey8L83^twRvjR4eO=6d^E7*8;6Z5lWESFu% za@dt@0?T8ytOg-xva6Vr&0;QA$lR=m&1S`{gk8<%uu?Xcm9cULML(-#*D??DG9R1A z=CdkR&AReZT+bG=>(~Oeh%IJI*i!B{j-3kF4|hE7WbT)Q-~PA<;vRrI0e2#cVX^E& z)|bUGjrC@Ia8yTkb|yQEoz2c+J=nRdC+o$|W9K6*V}sZk9G=Wi3LD0Tvf(V14Q4~w zh!aK$u*vvPo1NXv>ey=b7q*Js!fs`^v6bv@c00R+-O27k8Y_;IPIu$Z)_Yplw2C0z z4Sbin^@Y~wTN_(nZ;dow!82V=ty^2)m0^UB_4l8x+gi7`?r43#^@G+ATlcko(fVcU zSFHzHBaBmw4n~yG(TFxW8J&$T#;Hb6<9tIidK-O=3yfIfLZhz{XT%#985bM7?p;{@EY@s`9_s- zt#O^P#8`?`pq3dcj5_0HW0mn2W3_Rsahq|6ai?*Yakp`gaj$WoaX&jT<8@=B@rJR< z*laWzTZ}i2w~V)qcZ`+BR^wgcpT@ICrMwMox!u@dya)Kc@qzK7@saVdvD5g(*oF4| z?5ObVm5XY0?CVm;Urc6-s6h%=Ln-ZZ!DyJwNlqjX660LMnIxAh2mszYbLOD%Y zq@1Co{B)m+?R|=Gg$|Pm7GDVrHOj9mbrptGWl&ch{ zGD~qOg^F7#Qf4c~N(tW0QA(A$N|{owR4CUdm5N94Dn4bNGGD1us+DV%>y!n`^$52# zn2yMkeg_=0MHVTiDt1eZT#GvtzkS!mN11_4?M9Ocw%?($vG?nBPEh)N^ga@u;9UE;KIa%lfY94fRhg5 z+-)d$T?#mz$(e_P-?f#Xs)I6AHXFw!fLurfBm-O)loBShDd6#IIX5S+ZgTV*maGs@ zZm&g_u?sMcYas#M$d*ICa4I*k0Qh7bIOomaqJIH56`a)Mq9z@@mkPeQ7B3a$DydxkyBo@39WeP4hq z^Acp3OO$n>Pxb5-_A2YAyvAi3!twq}lF|U_W<7g{ZH0{UF8e3j21#c-+ri$0yz@T$ zfC<_~BlSb}5&M|!lu}SvP_olO$v$DbAnkn0cC*je=d7EuhwWuAvVH6e_9gp@ea*gM zI%{SJSa;!bY6@-fziVw}+k9u8WWhS59{6m3*HV}eH6 z-`E6gKxePQ-P&7f$%+Y%ls96_ae>QJ3 ze9LiDR}oC%6judRh~R&WPDsVA$eXC~fB#RU9r~qQ+xw&3tp1^#BmWJh2&Hzki=p-+ z?-SS9Y+Zx5E|r(sPyC&NRHBepNBqGum__2R)4%<%Gx%tGe;qKlJ{5m<{GEosF8^zP zXYic5g65x&zi!9kl5QZ6d=rX3Thk0+qnewor-!N zX5V5KJ`MD|iEU(Wu(#L%$kziEPc_zStHfdzt9H6vQvXgzkQCtRw?r&WB4Dow76*ilI7K~9JXua&@?eA$ho`lJVDRIn z@4^BFb`y(&$tXqK)IF>%g8M`G`cy&!nOb2bP&O$RzCGA5B;tt`tb|}QipG^8gB^0B zdczbGd%Lig#8R@hYhzgx#?Z<*nbctUUFWlx3t~9hj$@U%U=^MpKl;N}KD!K?)GUQe4H@v9M1NtUCaOl;ANX-gqF%r6 zP3Ml!Bs}<~c-|@DdI=wr@D>S6-xuMom(PEEA)a5C@KFg@O6ZmFatYHV>?z^*`$YUE z37?bjJ_(mg=#lVB39}^}B;olIey}T@(bXv7KP0?e!X*-xN;pNrQ4%Id*h4~_g#Y?P zq`OnXHza&o!n-A`m9Rp>=@O2SFj>N$5_XXA$DJbm-4bq*@OcUEm(VYvSHhVRj+1b( zgqnn%Bs}=BNPn+{?@0KvgbztrCt;O@E(ym=m?B|}gmwvk`$(k!m4w?Qd_}@XB>ann z3nZK^VZMZE5?(Cf*%Ee;@RtuoK3_<^KhS!Tf^NOcOTpr;Eur^ zi~B;{RJOjj<8af;%0;*@#!V|NVm(Eyu~_Rs>n_&k|G5AEY!9G8o9iyDYu$!bt_ZBV z-T_^m4Zk%1qxG*lPV(;-jJMM*z}{lEIvytZmV+&f4JG=MWfw%(TEpg+3Fka zPJy;EReFsIe`R@EYH^RZxEWS9Pkgy8L5PwZ|Dx6T<6UEdFBijgDSw&}^fMhba1b>n zYS`XI)Qu=x``6Gg@6lmT;$acM*f9Sb{*)K=Bf2~__;m6PTE9NIs}?Kn$G)cF74d}7 zMO@*;S7I;-t)_=x8R3su*|%P^tq*XMg@Cwj3|cYtfcCo=wCduzUP9tSbP?}4_9fOH z7`qBVWYL{}X&`k^b6J1eEfWq>n6FX5UlpJF)| zWmxG5U4!5(#qEdoyzS*+!s22af8lBS8qW*D{04_Ti3eK23BTqAANvPgH*r@Pe&+=J z$T1N&NfhSQ$8@vqNz2??~ogOyj>-!^WfitK=x}-WH!M?)F-I@bUJr zCz4R+b3b&o=3A!U#OKcbnk} z()@(dG2Qgs_O^!qces(o39dxgme94*R%g4}w%WD=H~l5D)wTq7qm67T>6xqxMnH-h z%&xYP^~o$-I^_I*uqPSAu7Z8(EL$G#xd_R4_#*zh+E#0u1FO?I*o3UM-GzJ#ZCBco z*-YC!+Y~kpp=a5OY^zwY?N&D1HreL36~I-%{U_M2vSr)yZPUo6#CDnOc9v(mmtA4I zn@xs)jx8PO5l<~<4%=f~j=0p8VH=M(Lu{G0R9OyDo7J{P2|!d!q- zJk8Fvonh1Pe7@~mTNm4DHoNU~+u63WY|)67$2!}(*}CJe7kuru&IoZI>Je!>qOP|6 zs`jwWWq-h^Znf-hFeu!{yu}{?Z0h?7Fp+3U0C0&Yf_chuMM_a^?{!Sl;_^E~c#YQ4HaZNUAE z`l$Mp`kMNx`Zz+bwmq#rp}q+BbNGEmeHed_s1K=6t7{N$we2x=6Z;3AA5kB})4l4g z_Sg0;=WpS!FP&!wR$CCgxVjf1El)b*K{&Ziad(VoX*qhuR2>PQL_CdFFHwiXl@52JdLiCzVZ)L7YFm;zSWQr4;5S%JR{N{xseKS8LDlf~ zT--5gFT6bu_XT+Bqn@gEQBTM7necy`^;A3JuM@vJ!~YbuD?-^+RaMkKl+)EnJlpX$ zO8rgwRf)vABgzlTVVI-s$5Q{#%4%DyvL8Ob!S4v3epkL%eo^)+KPk=1mv~-nBlsP6 z?T7y^?jrwhm3P^GJblD;Xr4}{}tser9s((XUgd*K{&bf@zN>9@$_l^${BKw4lw07wk^K3)Rm#oEYS<;N;`W%=bHD3gBf8qQ z02ZmhJY^y%+}p4<(_tO94;EpoZC^8C8}<#X1krY|7W)D3S`mUQ#%w$d2D{o*U{~9U zwf_$AQD9MPgLN(F1pFB+(Li}&HA}y%ZDemtHfyKA(rmSje9wc`Str5&gZm;_rwxJa+-lnh*ru(vjg;?G zVXc-73*3R+f-MaeY-zAtTWuQ+E6yxfaZZ3$=P3MQ+`xu!GXAE*Jr&lSpxCfq8xIS% zJXqeYwp|Ge-I)mEgas;vz{taG{w-B*MC;#-R=-oZn;0LzthO}&6K=AjA*&ucbTY!? zgse{J?+o~y0nPn62+<88tQH}{DzX=J`F#-LJltt;X@KWKvz3P582Fxz-x%oidm$YS z@B;9QIKT_>djb2q`ZRYBV(GByyg>euU1==b6JZY;i#G%tnNKam(`LA0@$3VYq__RS zi9>%wpfMa``5TJ85awSBxV8D0g}otJ(2+f==3rDj0QXe34w`}-SU}RdvG~n_-{tTt zLI?_TIa`A;I|28=Z@%Sk4eTjDWTTb6_}v5dUf_2N%Z6Pe!2@_cz=kR7Fq*ywtOZ<$ zzij0((6L%P?@|tcQkQCUk2h~I1#=ok+slS6SA5mLX zLyZ78KgHI;7G>*b>jVyeDwhDx;C%l~$N@bd4V(knpr`FT$Ot4U^nv6M1L>l#Ee=w| zMUX8LAYCNck|B)@T+<=Woiw0>|$`! zh2WhFz(K3PN9TceUZYlUE;<+dvIIPIwps*!S_mFGOLc-r&g9(j3h>X%)v4f%lfW+v z)OaejFTIAoeS0=#jUIz%17IbfpNPrX>ZNR3zH)V|=0 zvEY1t)ZXg(;Ckn(XRF=RGr;NWoD)WK-qrzpFH()*To0R7lvePv-@(&<1y?(y90W)E zN%;|6?f|&kzrgRlQ(Ba7!QqH=eFgqTylStqNBJC_YBzY+C(2IcW91{|L*)bIePsvu z*fwyqcfqaR1}EAAezX}}>kV+M*TJ_M!L=Hc*T93;DldZzy{NnZ9`&5^EVvVKp(nwQ z{-Hb$9`q-Z&{=PbltgazO@RoI^}5Bn6n*soBDeG3)X!7vv)8RjTgV^_m$>~C;mpMwh) z!cOdamZ54-+LLB}1i%O3-ZPSiXD^nN7l zl!t@br-1GchW+wDSllPWuDU;XL;`jxTnvs8kDUOq;5iq7%k&0MIUlGLbS5}gH}J62VO8A~oU03VjC6wCdq?ab=>RSk3C?E&2UI}Z4RFRk*zfE&SWO>h zhhQuJGq~iB;F;fpf9{91{kO2V)?s=56>PBg!8Usr`vjKTAHmM~eOPvHhmH3?VekD8 ztmfW?#eNek``>_N{{~3O4X_Y@1$OQ)!*2XV*pELCOY&!7QT`-*0(Rz)!{Yo=SfM`x zd-T7-D*Yk$AiE#?R_=u@_1)M7b|+TGZs+S}VxQQ}uyn73_Bz1#*DZ(5^$nQeEW^&a zrPvR*7*_PxLpyvO^q$qQ;xB_Oe<^gkS7V1=F?QOyp}(Gm8PiPY+iAz%G+1#LKr4S4 zW>ORQUcGV9Jv%U~%EV5{46M80pl!^-24emdkNMa6SU)};YhE3(_SS)g&s+Z|o=jfc z9*jmgI%D;UqdtRPjRrOsVW-A}YA3~qex4Ur-W1^5vG}uu^)dH_J ztE+&S`+!;ZV_ZCp8Sr_^jljqN)+$zmCqD>meID5RBz7b`gz;ct@5o=-1S?N9*7+YeKEclfO2ldn7$sA?Onyd3}L0x1RDMZs9i5L zRXGowcek#Q7v(+YL66k-ix>s3**=it1bg6HnFaNTc?!*_pam-9k=#yr_(vvS~Q&V##fF5DHf@zXIorx|!SC+>9= zFTT*ijr(za+&_#X4}nG?#FNv&l`jEb&ajQLjfN(nA9Nt%FA16y@t2IfbSkepn^${?Jjp{Wi>+Z{aiLRTdt96X)_bV#X{uJDpT7>AzFP8nAEYb~ z=E)(BU#iaGT%S1p(cFF-_&v>u3nZ`4RdX!-evF#cF3(R@Q}`@-28gtZ15?h({P#Ky`WU^4rGG2xKv=31zzQI(slo3iQwMj$OJ1O6Wqk5f?6&U(9TKP z8MqL;6tBf@#iONy@-Vre_?VKx^yA0|xl%gFw#WygxrC6;WrQ>?B@D&Pkz@o@J`j?D zkO@d0AX&gF2iT<~U`hd|1aKsb{~v?|K-~X8kpH&?C4jFnv)F@uS)XBlmXHH>a!KGr zE(^RDlm_1A^1$0ciC{CA2{v-6ppnZ3uW`ws9`hShI(QEAw5NhH!QU|Vxz8d2+=4xB zg8Ta=zh4M$Pn>=r=k;^I!^dIObs#v@0PNW7_&?5}#koj0Q8*{;d&FP#ToGHZ56QXl@@Le+;gGUzIIPsGoCB_di3!S?ZmTsXu zr%5I(K1#(80H#9#qmlj?`tq%%D5+XDeTjk&gBs2c1I9zFOW3s+loxsD<6O7kcbe%{ zVytVphJA3M!+zy=a&ZKl4`=bwIgGH7hmAiO$OjKhjczXjo#0i3kF}J~rxVx2@ko~Q z_NXm_XL^da;tOkSzReqISW!zlbC8MwF{0E>U#+A7{A4?MJR_ZR#EMFNo??y8e3DLl zkkyGV+>pm)ND2;TWnp`@kA{R2CqKjz&oo?_?s+9Hvd1rUr<%urqN&i{h)*Xj;S@wV znN-%0pLJD!j`9-waTuMYMtfIq^dIwV_z%JyRj z{GoVe1bJ4i`1ZR`Y=;p^g-)@xPTnQ;Y&U6CYoBkvq9gqTOVCe(;}3K)`+4L5fg=Tk0vkuYw(0UgYJO zO9x!a<5NW%IM>zk+0LU;j3W%CcdYoJvG^*B+x+@9|I7gSQJY)JaT49H75rQJ|EPh6 z!w2bTTJvy5l#8BA%1IoMRGOcVNC7yZ{(tPf3v^V~75{%{5<xTdT1} z#TIQ^t;I@a{-1r$xp(eNCPB38xBhGWe!~jiyZ6~=pMBoXdyjr$i`VulRDm*`p3A?) zOMeGa^|rc(>riw<7FbiweNFoIc=f^331qmrfxC$Akgx08pIGElP_fSVb6q%JMN_6; z+>#Gy^I}<`Y&lo9s=i#pA7!Eix{Sc&GVW9{U;2yMDR0X<{SLIU(-J_c!j~^4Q@@>?(w*OnC7QvYW&kl8cPhGb%Le? zCk~7{Hhuq3-8(}uhZ!7gFxOyrp?3eue2s4g^OXGOjk_O8(lnJnuRKczUc=Q5D_6`Z zk&k0b)e}@5^|dki7cXX7N?lRWCBH=KqTj7nU*EPrw@yD}U#iFr^(LcZ?4cc0GlQd0 z5F36IUuaeL3obG-l7SUG^VL?{5_MCD8JQCOzVUGMH0iq>C+PAo{#Vth>zx_asQxlK z2IEo%rZ3D0%)J@WWad5Ec)MU7yNb#0XtG&@_OBmR~0VPTUmk`wOy?CbwiM>|F@`NUnCZhM4w zTM<`Jq8>hR$#lmf>fMgN+*UD;7lES@Pb_~F@iq0yt;bG3zNIfW&A1~Rod?Ecz!Cf( zg+cw-2MST6vSt-;V0`phK&zP#?5+`=g%qDIk3^Od1V-Zhv-o>T>H!F7YH!61lqy5%yF! z)XQt-6013RGfg(e_>+&GmvAqhPBgm=MekK|6{7+Ced??;@x-_QZ|CbZ0KVza$eZl; z^j`XVap(5=m1>P3fqS$)l<8Te`tU=}`0--{qZ-FbTU^WiT71FXv?T6ybID6?e%dCv zPkzaFp^sojOnOG;KfM|5c*)XAMTt!Fopg`^yt)LpA2|Y!ewCZimMn?Y%B5L}%8g4u z{!|-B%_FrND!C}Nl2KiAbs5)CNkQ#Dyk*kl>o1Q}b7b;`g|hCX>RkW+>~bopW)JQY zw|B92{_pZv>s6(vZvv~*5L-Xp@<&SOzVX)K;BSGxqel;u`p*|fe&CEbvYi8F&;WMY z8Key9n?pL_0sMcajB@L(spE{kcX-A$@6^^iFz^BxPX$J^!!jqk+rD@`pC!ZHdSmk|VRebIgYi?Py0zObv5FCExLdy?{}~K+>x;G5 ztYK5n^YUlT*H@^@l8`eKpb2kem{MCgPECBxH~aPFEqb5B{&>w0Yaz>xD_O*&Ctw(& z$J_yH*7P~^X66sEmeF>u2-Q>i1JyOmnC9d!sW-=7p50L75-V)1prXfSD1s9vExgGx(U33w7 zx7dWJCJK74AXQ*-tRj0{%qleL$2I6hB?~T&6){7E1xD# zIw!EA;96_aIk^hCLPFuhKq1_|zvgT`FJEHt*%6wyaf;z*8a$Mx-S-*nFxXeK;po?l zIWc5@&wJEE#E>nc^uq^+<`9qi_1g3s`XOx7aoF>Yp7)0g9x`bCP4iO?#(9e&TQ-D) z!f4qrUPZ2DLx#cA3~Ih*^{5xDyPGsWSgGZBS6cbEy6ZKf>(V+|U#`>5w+szgZgOD1d#G49p|U1hS+iQE z3L!r7RVix?3FTKdQcGEkt6jxVNUpyr~I*wX3Ho)M&UT&7RUHa1(vp}MI z{)FM$3;udpO$jEHvnwed!fG;IUmrPcb!2rzO>=bY2MBjI)y#=p^9=;xYLUEnj`)ToWP64*K5M5kZ*ekLpf z$q$&Z{pXJ};juG#pozyo;eVDa7)bB`B7+CgAD_XApvm{$=y@<6Z zK3a(urtD%HtH&8hMk_t6PqZ)|6Hk|(&lJh{`tQ;kAIXGvn!UU}?MQUt$Zkdxu6Nbc z)>ba3*ReOaWn5xTI+?qTqiYH=@sH|1V)NO*zt5I({pE!5(kAvHeMD2mSfNtBZ_YL39(ZSNT@c)^TW&peXCk^oc`s0&4ar{k!$LOImmDyy> zT4g^QZvFY|v!m+N3O%z_>$1)|ge@IiddrJ$?K4-B#k{8aa_$gyHnPkVH4u=and9`? z%)qS6q5=W^bL9H&g&7H3Zajw2e zRJhE6*VH$xpzUj5m8hv!eI$GUyDpWbT#oiMURE_nT$P zrnOAR)osFZrYUx_G;rYsfyv`1PF4yB(kEXLU#*vY^$NyVdyCsyCDTTkcooYAp)62W z(p$6DE@MjUl4a`UbY6P6G;O(qpF zdVU~rNlGBsPfhHv?x{){lP}JCRO|-BfE!pb=@sj5;0-LD+_C-pK>m-gkriVHqnuB> z;WVJ0&(PQms5epJ>Qk>{het8`V$&_*2G#)b|I-bm0m6yfNToKrwo&Jpxn^{WiR~rB zGgUOos9d?KwoLX4Wzo=@$_pN5F`j2BCqTjCMMV>eig;R7G{@1TdtG+2Y}L|8OV2D? z7B$s}LR?ufHL!41oq8=~YM{uxMnfy(_;DQ!jw&)tb!t1xb!H1o$jthgp*FHLXHn7Z`{OM$gbk?tu#_(K7%0kLG$WQSgkh#%_W7IGYHf~D z#%!8J7w_{H<^>j9Y?-~r9CiTIk=+2BAvBayo+Q`oolP_Jui%ZBn4(5|PC9VbGLD~U z!%i0F9qC4Ly^ktA`Ws@YHd@kC+G=oBaHNMtJBq53)#2KpE={w*_X{(IJ0EY<@57O^aieSaXrqNH*eGMid z$tYSdXMVAgu-SPF3Ja&t&yV5FEWC8y^x_2zO9~g{&x~=AOe&cZD@~%6EGnK}94lEk zvv9$}%S(zcFG|Q?IDP)?ScjtN3#S*(ES|YACcvTvm(5(5K>gzB^Dfo?=PxKJp1yGQ z%;FLyt9&nlnqT5*v_I=>dLzqv{SvjsXdN~++xV!dY2_*Uy?}N@UvKCghTdf8hYkHLLvJ_qlZJlC&@UVMenY=u z=z9(QA4A_~=%Isk_}?~kj-ekj^o53gz|e~g{fMEf4gH{@*BQDrO*1@(-zk@D@RN5P z}E{Wq#(o#UPu0LqDY0v^lRa*wDc#`a#~Oi2jBJ)$=tv@jI8!)R|GU zSMl$uE}mYcekW+Tw{`|OP#~w8th)Nu<>l6>3gw^wr00rmOH0?KwnG@1>D_4k?v(Cy z^-Bq|-Yt_dv*5uiIiXOE9*sn|ua(|`%3+<$ht5T5+(a$)oBa$%!gF6{ia%Z0pGBR{)b1Qey;vysQ3p-u6$S_~}{ zKnW{oXrG~5K(Q&^&;d|>$M7fec6b>)i=6NThni>GK9Li?ZNJ<*Beb{+O8L_7%Qf&0 zHb=_Mi9of4t>(#71H7j%BQ{x%vtPk#s9avdLE#4H&9JB*RYuM1NnXs;i{VlC^4hYR zmG-$qM_`CmQ_u2CPFC@p1$l*x8M3$nFv@A3vGC$pP9Y@?j_9?T${Bu^H8nNxgrVw5 z9P-NQ)X5=PY^bU&TMo-C8!3Obb2$-jK(J12WGl(2AZZl;3%VGR?&?QkN*V|FYvGT9 zX-yp;K~kuzCoelWCzJFG?~-m)|rZGF!g)o}L#Fw?w5;@AtDf{{rt3nczy>sQf z1A>QId-=ynPt-Fxt5mi*#Vu!yX`7?@5-Vp4W1Jj0sn((-^q9qh5xOY2-3!a)yc z2J9m14%d8%z@$gCsM-0dCtY@1lemo_cqhGM<@?QCWqLF;~X;w+vJvw%@~c-sz`~grcwU zyThlovUfiu={f8uCGFZ@O>ea%&`Y6m; z^OCpguzhSkA6bujljalYsj6>O+f`M{lC!I*Bg&F$_8X!K;IrPK%8x7m#EuyMs{#er zD&KtgMV*L*Tv=1sr`(Y}N0nbL`OlPJEuN_f; z?3k=7mjSkIl6(_I7eDiAhbVD{D|>@-gvSKRr#2GQtA6B=k#Zhi1dK*wL4R3U*%-2(@MwqbDf&#KY?7~xa5yMVG`?cC5TGB{_N{NLhg1u z_Ly$sIQ1`o)J0oa+*h9(DfU~p29W<$21vTjY-p%&kU@v^@yn&4&Y z>T^*Q^*GY*4Z*|e8eVoN3#i(~>HDVY`U-YUU56yyuj@!#Sy9QCw-^G-ke2o~X1L}q zm$AE0F4J722zl4Dzi~&b|4)@VG36;v-%&P1uJXy{?s{S>=@2MlGh$vqzPvJH(ImBH zT~;ewS!x&B{yvP^4L6@}(aEN!ey(~U{R`v#z{*JPW9?_1t zs(M8EXd8xoyuPC2WzVzi(jYCG(`U=4XpX42|E56YkjsB;en$sxi=}5(t3dicYL4^r z*Mi1!PPwYRMCHglcR^JZ&GmRShLUz$mXOS=lSafD(T!@1|G*i#)lSVU`z*y``^9wG z*2n2meNGX*#r2_bUSD?%)3b<0#TTEGJIPWYw`|&LB>|p;{I&3>m}Wsm)=>id1^H{? z&+R5;AfVSd&oKDOTo^|ty4;i_U%DrX7)zh`y(&=BnOMVYs6wQy-lS*WJmZ4>XdZ9wz zUXo_gUc!;qTX@8qQ%6yM>MJlMD_2YsVRxw-@QoPp?>?wdSyx^b;^i&|Xccm}#I<{# z-E7L8nNyh^RcYSLPBTT+yrvaz*HPIdX%meX=^-1T60@o1`$aSwmoAz?lPIr;=@+VE zsK+TBN= zUAf}o*GG=JrB0AL+w7lEzg_9g8nRRz^NUFzJ6ZHc4Bb;NlcW_?M-^*5jT~jZCuBaw zC`uFR<7Cq68hMXQmjf26c;i)lUPr$*X1_0of`tBU_qg}zbnk4+fs`b7G+po0nO=NS z$wFN)3iS)Q;=`OkiOX+By7r7+a@rmS2Gq>J4#aMP87s&aF3KADB%n0Ms;SAf6F4S5 zF1`9AdND%|<)uG)-HmAmza{4~vhBz$AF ztbK7}&79DSvDYPMw;*;6=}*qi6;s0XYD0WHTzXAI$QSwe@X9i(uDm?gTv^Uyqtj|R zO5)2k=^^8b*v1QHv@3Srmlk_3Ro_RF5E9EsRTQ~JD{9!I*R}Du$DgjjIEJlxefx=WQS!ATViHrTdQ(XI2y}HCTBaV8Dp5%|4va50$ z6Rug&i29Y?2D|#I;NsdJy%Owdd&UOj1#z`Csno~He^&b#<}w&qS;Ar>-!Oy@mIgLBnorp4l2^TS^s8XT zUim1f9TL{@9-|6IAB>>)#4eO+O&>NV}| z`?Kc1{3lI6{6~$a|3PE!K83+|UeWlI-)VeWpVw;n*>4ry`M2LFYzb)WJnPq*-q58n z^ecr{_+^bt_h=mVlE%G1SJ-m*ZjCqmOyhMgYWLEgYP#eFjjMj5`Coiq)6e`^)4%D| z^hp}6M}DOFbD!1t#t${#^#hIbpVpYQOXGi@()jlGH2!0!#y6kTm}2ncCp10dyBfdH zp|R>a8ee-%%cAJllw0~(j!ud!;o#_Ibt-gK|Vd%vx*t6gKN z!M1N|I_Dc2_uQ><-PbivyGvvGHjO=ZYTS2+#=ToLzWP<;e!IqWgOhL5bVZxS;1-R$ zH*2hJ)#(3<#;#j5Zrh|WbhE}K8#NYv$>0XVU#~HAlg4c~YV2&$*mHx%&=)oOuh-ad zoyO8@H3rsdw3;<`uh!VLN@Hh}p&N~RgGS3>;0u~A3TX_~YwTR9F<7h7T4DG#8e6J0 zmM+&AtTI?>u)<)u#?oaPTgo(cmTI&Nwp?xKtBm`V8iQ9D{^dcnuK9<{G(NpJsE$oO zaH+xK;LCgXJ-W#FU#PMB63tJ)#ONyuo-M!k=WDv9Q2QS}Ps{C@tFd)X(920WxnXq> zn8Kf*zhn73j=$si8)ii!Cx9n{Cn1+hNtaHdYT>Ir46D0%c17}vu&|cDM^Sj?`|Idpp7pif^ zDs=-*)v8+7lO#x%%FSBIM0?|A+_-?*{$hhMFs|GY7@|}C2zn+RNzWvg9(z4P4jEV0 zFf-v&C3WOx^~3;c)b=utYu8h+gQ_(?R#O|7l`%81Z=F8gJ{`GVgcqD;dd0qJg)1U~EFwi# zW$7s>8`5%Mp*avT4`M+~`1(?;XiCH>koTw;&&i)qG$(&Vz`Qf8KW`a*rok9A44pTpG9 z6eibI6l3)^jNZ!-IlVA2uwY)li6}`nLH<)2mRHCd^y=_#Ku+*R|GO$N*A#mdd%c$G ziWQ0F#468q08@;1m!<_YO?syrao0Q=<&vsYNTl_ym-AIXm?g_r)vi#BNV3GF3$;t$ zIa;i40HaMpc{wk=QKs2UHHI7c5-#H4MC~edwkuAx*)8j%Ul!dYVCuR0yt{ql4#AiW zGO|S0*zEmj6A#@eM>jBaGi*M6V4q>N?XrbUo2Djfi98G>Zx_fqKByR{U((eMLe~!2 z(;Uuv22a&Xj>X&2(`v@g|DtxQ?nTGmZmrt5Rc5*+Js93Hj;IV#EoF~;aKHINez z>}IIAE|V6L9Wwnr0<}SE3Z5v6%DWHIP&1kr) zF{os#k=A;ZUAlGsnZB6M>*~Afq;i>_2E$2u)43ewf|h7h@5sm?LE15eg}efzA9y*& zGNzZ9#p){q>YlWKMMJ_P(a*C#Z%h0b0F|v})x18$r}C}o#pmZPn#dJurqN6Yw;X$1 z_T7_4&U);!b1R=~Dro)jH?H{3Z+}$#i#PxD#drR5=th64=c{L*l6C*$v9rIw>cWbj z-xJ*Yr{@+v{_Yp99{s~Ni?;mZ)?rZva@{G-kPAvOYD3JWn z36swF;komE`P0|0e)so>t2e!I*R_wl|AQ|rOwaq;7fvhv_OP5mPo6h*^vkd1|LXPL z#RuQnR(i|FKWun7rF=`nu;4c?$r|;@CFaQ1NWjj9ldgv>u8*aSgln1~1)Y#|m|Luiu ze7`4{`ty?)p7p1x74zRMS-mo{?v}0Am+t=laSuH9n~^_x?w{v&|2VTC?YE!5;>%Uk#@7?@%GIh4u9c}-<|pG`#PrF^xdz{TJfuEiwpjI`Lc0;pBBpa==3fBNqOrVdx!n> zk?&@;KYe@d`k!4l|C-+|Svu#h7hE&;pQkksovtrEtf;K3sjL`pEz(2K@%DFM)M!Dj zz;rmg4YF)iHb-wQ*q_l+O}G?UdmKHB-eSh8s;WwL z7*-m1akU$M8iyECt|`poFJPICcuSV_)qm1J+1~WKZROQ$>1us;XzC=3-Nn^QUpStN z45@kJ@@Xp@RA7#*-FoWo%BeZKE}Eg9cN^SiuqF-<#L@BYpO)TX^x6g;!^7wJyMVvz z`7#K~4b|Su4WFiYHHSLt`QlL(2hoj!_%W#@o2$9E zhZ9!3+$R|u6Pf>+XP_qgh%vpMV^oZON1i+4%F3$)E9kuC(#JXZfr z1E~|9cCxwba{TER*_>`ti+WB?`urX;X%`dgo=GL65;~(dazJR1#SY6qv^SCi zhQI>BV|yblpmiMn!S-Rjk$qs`gx*N%ao7(Az;18~SbAb_WC_>lDHTgJ3Dx0ycyGQ+p%Zz!2CWd~i3|10DcNhhxt$ z;!}0KClSv0YhNw3D^Y&K8^o z`U9j7SPE_fTfh!+2lok`g`N{h-)!sxb4Owq7y_$7|ES)`Ca?%>2Rp!BU~n|?0=vM# zN!WV^`3aVS)nG6Oe_#>VE_`qo*bN>4+sEK96a8o64-A4!zz`S$+rUj=2iOjFgS$Zg zS(FQ~Yb<)e+;NnPlhJ<;_Jg5wNl&l^YzA}BBRz!%cYs~sZow(|2RqLv{-+SmRQ!X1 zX~ZAwynu8A{TGsMpmh=9gB>$Sf3S2W@~2`KSO9j;MIQ9eM-I#_rW}bo$ikZyx|H~W zEtiu&U=LUg`me+v*nTzsz^)SV1?*mjT{Nbp*W(Wifm6U1un24etHE}#1?&K~ft_Fn z*ahweyTNX-2RsB?U+j(ePa|9~2W$a@VD1g1KiC6?Kq_=(6X*xq!63K`?7oro2itEV z{lKF2#P4*{Z3A|KU0?y&1D1mAU&1~xcO!a0>t@O`*!>mk0lQkUCqOvg#ttw5E&+4F z5EukEfkj|DXx)n)U<;ikh()-Xe0{89IQ?Lju0^7k5=)a$G0G2*Lx_~`9usaL6 zhp-#$e38{g4TCPPtXtU1_NL>m3uYnfwMj zU&H?y_Ip!Wdj1(yDe@CE;lKd=Yf zCiFi@Z=v7EAJ}mSc_wB42;qR;gTj$*U=P>c`oL(v0voe+*30D~umBdKRWXW|d+86J+5f-PBu3tA(?kzHW- zsBmN-7#vGDW5EgN2iqrxBh_H&Z1jUYlf#i6VD}X419Q*EKClz?k0YF5I8p$%PsbnF zG6R2LQ6B!l+G^7q|u(~cITrhV@I5Gt+x}5OA z7BB>MfSbVJ71#lWz}=vACH8}DVCqD|S&AKC2N(o9!6jf97y`S&O<)h$F7#E{1GZgF zx`SOMq$3zC4M#F3!3Q}bZ?!K&4_I0ej5Qm`3p z1KR}au^;RPJHeJOkd8t(VE<&~8?hfOT7`emzZ(Bw`x?p#*s_*%1B2IwBRyczb)^3} zq}%n_2?oIep}$DJgPk`JPcU#J;ep*Z;SUULAidAU{bu3?c726>61o+6u;+Ht1GK)1 zJm?2g&qF^L00UdeC(ybh94P|3z-qALPV|87U&CIoWgGPX4BbU~34WdUf?fAu_Y|-l zJz(j5_!r!cKd=Yf1?E109bh+j2yEFwI-idn58+SnVeAL3N625W4cr9gK1w{m9OkCWb@|2yOx*a9vQ`U%n<41jH5`;){2?D#%;h9i4?aWs zgWX^O*aMb=xj!O3z|eEp19o&`kI+93N4mil@DSJr`lmrZPrSg=pI`^r_5yYYzDW3B zJGdL{0K37?pOYRJ5I&d-c7p|A=^nxbL*O>B1?&Jje@T4-+kZnoUWh+%3fS^n!UNmD z5ZDfG0z1HVuyil^0rr4hg1;l)7ZJbTlWt%exCHD1LtxQ9{DVE<4x#^m-QxZy>Pry2 zUd3*(1426YK!n_TvxqzllGv1_d`up4X>{2O+I?FX?t54~U}82l&UfFZCN zYzJG!9oz<5{~|mv7u*fDfZf7>pK!sp4~Ta@@c;|J&JRg9u;@R83tETp4+g>AU*AL#9(mH5! z@~ugTi7k)v*LmP@qzL=0=^6gQjouRctxsB#kt=rX;jibN!x6E={)xZ+{N?=ZaO5Ll z7Oqd;m^3FNIKR~^q}ZK|zSO@Tj=Uj^f?Jaot{=QPxpmN@jM9QF-i;~3Rd$a>t_!*K z5?B{JemrQ13hr~s&o}bJ;RjihQ1ar3pQOiR_&eZV zCJZSDtzL?H-o~Wq8G-dCo|3Lhk?Th8a*@N185>28+OR%JrNcVh1FUs?DDg>!cgFn^qMURC4D12*h zZ=_ZDq)&cEX){jhC;Il_9>V=n;YfKi;qQmP3%+=_f1>X&j1Ks9!qn+K%PybdK3oze zwSV_%xEJC6aGd{Ka#j2<#l0K%S>jG(y*|lwB9JQnxck#gew+Lh{#N*#;JfV={-f|) z67XMu-wa=hkNp$*SK)^e$bSI8IsreO2C)>rT_#1B=otxr3H)P)VSd7&X5{DCOi=j6 z@V6m9IgVcozZ3qKias}yKxVSyHnrkcPJ*}{~p{e)@<((_xTyQvs~?k#P=D| zk9&Oj?1jGreu?-qKZ)N#_&xA93Qy8uGrgjTU*1MqLuupk*Q;VT8Ij>>l<%Rvk?CuU;H`oB2shKCyj*Fg!?|+WvbO*eyI2(*Mr<^A}4y* z%TP)PUCy4ty~E$PyzZ6o;k(-}6@U2c@KwL)w3B(Q$(xgIrKObt3=QRaYKIK78!d$~DrH}0|oVfPP`pRMq_6Y#ggKLr1D zkvBh;|L|qaKAyi9ek%NU{RiO(67Z9K)Ia!7NjWB+?S5=H{O;pfTe1TMCI2SF&tZ>X zq?AuJ9x9Y^5Cb|j*iq6_ewHGa%bLB{)F;NdjB|89oUh7{?%{Rm(E}Zc*H-+cey(r7 z^eB7_{f7}B<1b@G=R|aL$BuS-_@DAc09N8PWSK2(MJV(dJ?(tTBMvF&+6n`U+ zq5nLiH*&ujPr2#NST*O_@OaO*|-9+9VYf{OgFILU}EkgHI{92k}=l zsW^=?jTO&0gbxbMULCUMv0#u+z^ zg;gy6&+m=Mc+$j+Nz$!M@&dFnG%>R?I#U;|Pm%f3Fhn*WpL0QP##*gqA2 z_&Evq_rMP%(6bYMW&-&=@cjwo_rp(3Ab%LXm4H8t5&I$H6>tAo_&o{u`S1_Gj~}ls zh2IT-nMBq#9&Um!>2tr~3rIS&!ta9r-8lYs_|0W~^*;kYlz_h%el`3tae5BIZ-Kv6 z@}G8Nju{^(Gq6i7?~Q!V$lK%g;qW`)KPG%B=cb*R48NeFH}azJ8JEmGce8-z)xcSN36dd^`97?o*a0Zg*6R5u6?0oT>a7dmQ<>BHF$d zlO9rU^5O5Q?TuU{5pma>SyIFG;3Bs`k1Ir^3HjYEefzgo_?_@o1B#sdM1H&IPr!c$ zen$fSUidrUcPI_|KS`H^@Pjw@My?f>N;eibSVs|3!bxY~+>ZMkVYvFyk?{Ay-za?D z-svQzV-daixEI{SUYEF={0geZMdw!q?z?d>5_esW2v`X1z5(|`xEBZm_gU+`#cucQ zxaX`l^@yyV?<#MT@$v%h&A87t{peh^n3AX;Wd=Maqf~+se~0ncwt>AsNdfXrFT&{l z!yekniV3uh_K}_taZhCot_ho}=qnv*XsW+B{38W5kQKhyRM$3l?woPx$-!uOI>c zF#KQw{;(6M9|`zl;pf7a?$iE>{(Sg3@Z-zvQuu)c{3iIB3HYt>{R#Nn;itlX+YS_z zbbJPWH+(hE(dAOcmumhbcD;&wU~}K{`~mzW@cS#z!%n2U;@)3*os9b-+!u*G3Ce3R z?o)2!6Z8J4Jq5 zZ-nSLKM8*i{C4;=rGPr^aQygI4+~jYWsyBa*`1t8dVjMwvM1_~Ns0_AW%1ZG9>sR? z+})&0qw zj3Uvy759Q4^hTJjIzQ3-DEuJ&kA#aq=Bdtlz+_l^a1Z>D^&1l}Juh&t``U$1%CXET zw7tpf!)$vtv0kxfB<{^W>W#2vsqHo6plR^i;m$%>@Lfam-UqY#h zE847Ms&s2b-g>?_@=rS)wf-BOk3NcfH}1DY-I;f2cL{F~?nOUg9%1^8zUw=A>)BXP z&6<>h!^pS2$XOMscV=IsWzbbI`x=t2BTuEh`vv7p{`S5dI>y3Orj=vOs7yJg{oAyEUG{K+p zI_rimzT{sk{37^26h7&!^N&i&;+!l(%JSSSy*#Jpv(F&kjeLzvJdmI3s>f_NiWZd* z`;p6evp4dlk#o=AXUEO6+da!wKH$X~&OEUDZ@rN!U61K6qV0&dPs2U;Amb;y9y{v| zxjO%GZ-2Kpa?&R$H~ASIqGmFGTk*H)pS_WrqxQzOpSr-!X5VI+9;oYjyhrT)us8BW zZ7=fzx;`2=RI2)Q5clAr-pDK3UAJeZU6OFqPvgJ;(k_0Ia%b$7e9OmQjunom0;cPS zUNNS+NzQGinF=B&zmfbkA%Dmljw}|-3R?%QA57)DHHm#Z)*;M3o}}+R$mI?SM}BG2 zSMQ&x`W_VbXK?RM2}iCo?t0Tw)_ZjNzlyt@-FnZo2jsh2hmd|vc52OnCta+xK>8=; za~KPXhkRi@50LiR%oE4L&rJ{Oag%9zCEfDj?|?s4Cd^Lz5I2sdmdgg-BFQks#Qt^o zYdN0y@odioql$E%N#+{ zVR(T5GQ)lPp~>(MofM9olfa*(M=|c1Cx;^sf0A-U=P$)v!fVA}+v(v*lIS%*(YHN7 zeF%`g!Y6%asr9Z|YCm(9y^cyzpQ)xQtou;hB>i5+U+IW&WVrY%HB)G_-Xq~17Wb@h zNgMi-j-Yx%T;W!I_~}%4agA6+QXLXJ*Hylkk_q_rsTAko^<8 zn&79xzfqWM+sv-JK6#!TXfO)^ z67F8y58<9A?yh$CAbkI*a75~?=(XdUj9?D@mxQm&uf6X(Q*DdPlx{O9uBzNlMt(Q) zA9!G>`R*kdUET^cFp}_#ao=%!^e`!XL6BG72<*T^2;XYp636K3yW&}d$cpS#PeE|Q% zF~omn|N5ul-h#VN;#1t}m6aH2d*ml}FU37{R=7{U+XTNl0lyV~X#)Os_)8M-pMhVL zfWH@h0erP?udLDWJqSNAmNQ1enRNOM73ze@oz}iY(nV?WuzV{?rN)htuahZ=2hQQ_7thvg-9Mnpng_q=T+WO|6(RsyI(Lc3sxp@?RH~bNz$Tcs10sbNQYTPVt{KU>zMgH?)z5ni7 z&-ws<=Cp9+TM}=x4pwxz9w$gVhK*)Ea6ve7g-MqJHLfBmvt_?n=a6I}i*EXziL|sIgG*60YhGB*hVyPb7K#;vjSQ7tRBiy2bxTJcwU3GGBO1nI%L;V~(5HV?Wr zc}vpF)|7my?-IYAqW@CPwDN41-=G=iO89$m&s-dij7fn=+>S)+_8d7?rRz&N8wUQR zKcmXG|d3feu%x5D*WZrtw>|G2xyFQR`Q?xmdZSM3(=@$E=0 z?pDaO(^9^j`C?F&gI3XxySv?!^06KMrY~?tm}l}SS3vmBh`t8w5r*Nb`Y-bEpA$ae zxaUpc?*rU(8e`id;ir@60r*ri=O_G;@H63W7OpFtY4F?N_XuCd*V)$)e@k&MZ3;)e zDDE!1o8a$;uR26CuoSyn;RjZce^Nfs=d`2v6@8CNcxz(q7Jo0m-vocP$rrajDWCgs zKhPYGcvSh+=T}>jmc^{|sQ8bgKU>Fm4nOu!#UK7|_^CD%6ur~ncfyx*gtSAPi&f`9 zF5x_YT0|0Y@n3=5wi}th2*dn@zYcyI{B)ZMN_@7$-vmD>@iFbUdtSH`_Z>I&@4grJ z-Rt{z{{Z&`8^Vz%BwTflc%C%O(poEfhcRH@^rhHz7JJ9SZ-MVFACeCF@SEYkBMNA5 zWLcKEjl&&(qwp2-u@ ze<$l#!lzsx(m)JRZ66NXcaO6(W>#~0y{GxmM=3fQ;f&~0^ z@Pi5XTj5WEulg?ugP(-^DEw~tXA8r%KJWtk)Ne3v)O;sBUxnWRKTG)LCwe}BzZ-r) zc&>R-I*PmC-yr-#HSf4MBOruszsBO8`^|7H%-VjZx2TvH|ZpUy8iYXRm)do@*jUa_lF}D627z_ ztk=P^Uf{oi2f~rws6O3}_ASRsT@XLw?=b)QckphR@u$}n?Ij1N603}oH&R0Q876+u zp*?@dtW&w{mWI%b3U{CMs=(ipj&S5uyxBh~FYDl!!cQ^nPkebH+f}*Tj$FYLW*rWDqT}wM za(@B$UAT9M^0;C{LiC(fIl~mKNh~VGaR{H_$EIj zUGm{?f}b62M_HU!`{xuQmHE_Q-H@_6EsIbpo!8;7<*9JwG|}fOM_WZ7{FO#u-*qY1 z5X6k1#g0Ar>)d75v&kRrPp`K)rI~(=^PqZ=gdg#jJcaqd(`G%_@fTYU>}496^U1=S zq~A3B_52`qTqF5f4F3T9H+hzL&DrR^BqNhUpWd0y`#uuxI^;Tj7>>LjO*d!!qsArO z-fG)aNl#HH;qJs=^N(Wt83}g}{1ANAzh5G6*_C7jXUTljc|A;2{9pNtn4cZ_c-rPm z)s|m8sFyMt%ppK>=%s z`k(SAZ6-f^?v<%Y>jZ-~$QV<82e#;1s|p455;M4#@*zKa41AI%t)kdEGJ9;<14 zOx|YX(hS<+Gb*eXR>;VcZi=?kr^nFk{-E*se+GiLx?8Pin6H?8dCTC322)Pe_MK>O zw83)?&N8^zV3onu1~(eK)8GRJpECHO!B-5vW$;6TDZ@?p21gq_*WfIJiw#y8Ty1cp z!8;8;VDKq}FB*Ks;9CYiG??-^6TZRG2G2D(%iv;zRR&iZ+-UGlgAW*d%HWFzUorTW z!4D0loMyr|INIR324@*uY_Q7UYJ(dM-f8dwgHIWJ(cmiv-!k~2!IaZY_y$KCJlEhX zgNqGT8C-2}qrp23K49=EgD)C<#o${8KQx#UFyR{jhbI-^c=M1zo_|b zhTmiO-Rm^prW@YTcE$7mZRER*yiJR5yA9;g`E5Ghc})1w_1)=;-}N1hMFu+`({$&< z8m)&kdW@F#t-8JIF#UwxPM4YoyWi+MPJ6KXDfxbp{Oo?px>(a08Z7Hh{V>#~-_Q>p zzhOMDE%4g(h9u>XWYe}kgSNeKY=_J}Tc@+bFm!OD<_9Ne>>3{@XFMFqe_&i<|91T- zEr``yI$P7FGc|VRYs{SyD>pkYk9!O+UB*YtS525#Q&-kdADBFzf8!=jUZuF_j8Tkp z)Rfk%%+;N1(TJd;+COLF5C<{^_F89*VUns`dM*qqJi+eE6&3wY%l~1PE$?5X4fsV58Co}Rs5zswn->|=$E#9Xsgy=J7D@evEP>O{+5=%GCNMOA$58-(%kC& z3jRdPPZ&9n{D>1gMn3emmVec$ld=A^{`bzY<%|BU<B- zXH#1L8*6O&(CJ!!w>TvDnfN|p%LnIa`5^@fgkfp0gA8X57_i6b<1D5BH_=<P6oAk`wY0GyT`L~SscyC(&BVD$9SC-aaG+_OG z`8`|SZbGjeQ2uy!2ebk0=V|?&De;!L<9p&swtVS@T3&6tCh(%|&pp|Zzevl!-%oz6 zLWfuBkv8-LI^dOMHFat?ov*w$*3{Qo_vSEz;bIZ_(z~_G5PSgF`X$d5^(Fcn;nsdA zyezA4thD~iGat3(>-XwY;9L=G`B7iLnva&3uTa5dd+dh$37Ym^x>UY4T(YuqWl42S zSw%&Ibv8AYD{Z;iHmc+`cp}EtuR7Qg?s2GVvL2vA6+YqDRIRl>K30?J4g+XY40 zax0s4IqireZB-4|l&DsAIbQE35%jeX(b!5l$@YNPlK$!y1}6=aDrF7v=Tqp7*4r+I zO0_2P*_NoOBeqsjy5>llajCelw!m6b#x(~>a#0I`Fitt3nc(wai6}ZPp8hAzHYmUW_}Lv=KihF!3foIiupWr1QRuY&HCmuuE!d8k?-&L+cj4R-Qaj1FX&Fp`^}|FS!V>q_)m_fu)_=hLzl+YmG}a zkFf8wpX)3S9k57P3*@1(p}gAKCr_%VShJ~7wNyas0ePSvSw&K#xB*eLzhpnxS+l4z zBDl(W!93Ml)ly9=DoK#~wbsvEL`nHFE0?I(mQz5RRGKX1f3J0*h0P`kk5oWak==PS zS~Qrt?A!-sJq|Z2aQTR%$bmm@5Mxh^%wya43wlOOkxZu64RyF0ip$d<1u_Z)nKf>Jf zpj6~36*4gW0VVTsOrYzj4_Q*Jq>|~z)4Ca}rM4RKZHZo4Lt~|g%CD3^uO;KvAJa0o z#?NTZGrR zriKe&XfW*{uQmMgvO1gfuA4;@-e_&0&WUFc(yfXmZx6y!^~SM(t739f33pvJSzD

    K;&!q@280zjm#p+KJ>Lt~zzcDMF>ZytqpcRXXBQROCk)rhLhK<>df% zRCiUN*{B<0mCKIl#%T(+u-8c|idHb!m=vR+FjCnufGrYh!Ft!yHO z)=5|=t$0nneLH=lbuo>o=BY4Hj9;0k+i;GYh|eU9Es%ULave9qg4W&rlKY@sfV%Q5^4U*8l1R@$hAsB=1#ekd^w5}3e}Wo zwendwp{P5QTx%kIijV{#K9-UuqWZI!u$+w$QCpOKoCqaV2!8Os$% zg3^UjCS`Y!s@o(eb#+}#ST8GkWF~ei!QLrh>HFUGD|W4FoCmN z&24)hEr#0qo&2+17dx)it6iQpI&4=+PdQ93TecNQtaDU^WUBZKy~P969v$Fv?h*Wkx6+;z|6W%Fd1P zF=UClcuZ`y-ci0}UMNGYt0}GaRY&R;BkLX)r=enPNo{2XpA3&m(J3k3NHW*PIt;lOyOjl!d4=dJv#gCv`sFle~lPqqj;*9{gj)Oes>#L0?8ua`GT12zVH@7uDX+VQ9)m)mr!9nsRfY zD87^bQZ}i_TaZe*MG1U_mXxn3?mtkU(yF*`6GY198u2C{rc1e19tWik_AV0Y4xv&~ zy&mzqT}jDnt0@o3>$av84P;rusvVhRSOX7adi@VMUqX{Vq+)$dt`q&M42 z8%kT3dV=RYMW&_=!I0Dw4e3vNn6@wVBtvGVJ&9CmrXd4qKNI1T4VjbHiy^6}7&13a z#@DH*8gfe7S!s~N4H-;(Q&gR1$bz&GkxM<@kVR=N;u-WS)A zo)Z;WomMDjj5aX}rQIwxpJ~XZv?*fMSkDvq@n$!t4U+W8^~{1y%5L$bJt<~Q^b{-l zCQn+67&6H-9NkIT>pf{dm$1(Dj8*g|Puet5cai5=CEw;rdqiSc;CV&S+dOGc2tCKp z?Vhx!g`R8Z9iB8<-ATRJ&>fz%p9wwBGfw&6TgHxqc zq)TCucpbBw2jNQjP}~_d_jtGk5E-gqr4IU)#C`)M$e*_MdI@?iL$I`KU&1?u$#)Nl znRZ=`qEe+2eIHS!ajUKIbSt$R_qHJu8i|>&4uK)LA>;owa&pKyw`u>r(c*T&gNpL| z4xoF;h4&~b(|0DO4hdeTsNufzQ8i@xMnwgD+ev^Sc{<7?eM?1hrVb&;w?!o9>cWug zn~IMi^Y4l>7xHnJa zu#qQy!AgkZY1FOsB6%eDt#na)J%1|Zr(51K!cV{C5;({0!n_^Frk8ER4&Tp5z+Wbh zcsriekMwfc4)bOQeJ{dHuh0RP`c6Css!~%GJ~`WwUZtsO-);CvU#_N;*|o4xAs)x$>-&ZXe^rx@d3~1(xmA;odwoku!}L35sVwX8 z9?z;l`q!j*CS~vP9xtnD>32yrCqI*X^TnvIzp7l@lYBdAbkpxPMrG?3P;y9BjEk&v z2}3f_G!crHEHf2H(GrxRkGIla;aUC^?W0YWTBh37ci|4(jXK^6@ydsm)9=}YTk1Uc z-Ra+YlRVSat$iaLX`|(9hw0xI+oZn9Jy_}YYAWBiK~ms8O%?c-isW`p&GUUEZue`d z$oE$X>H$p^`@SZ1>OoB{@ij_KctnEsW-s+s(4nP2rrk=6qmxsdRPuO89!X#C^Zb|oy+cHMX!0>9 zUxIS~0$3h@ooMktjzf~4u`SK)vy}8f{tK`>#Vbdtyyhg_SWIq!dHluL#K)>A;_dJ{;0g}8{yk4WS%CwNBGVaGGCJiM)*FQ4mnekJtKTQ z6Cr1PtRpnS=Q|s6_HoSYXtlF^gT%F9q#`$E`5u`BIY*OiS-y_(kaIP;Ez8#~Uic%Ih0Bg^-is4CQCN7nJO06BDizS6ua%O`jH3@s{AWM`IdzmS(`RlBo%`Qmrs z7UkNN<-1i}i#53~%Qu~58M^op<=UO)yO&Bb^s?V6@<5jFS|*i4FOMj)C(G9;s;)ep zIUX5^W>K|N2h@`7J6>F`nyOqkW&5%vHLliVTek0);##7~ZP~ub;##W7_H5sa;~>kf zP=0sdcRb`Woz5NEzFZ;8HMuL>C-1)vtuXP;_Ia7R4Xq3*zq_+l6F9U=H-TN*stFvr z++=s2?+FR0T8$#J_vY!gOG>1wvI`hoP?Dq^DS8M)$K-!dOixnd;JxrO)qkRn>fK4= z4NiRywifueBOp&WNvk+GwHxAOkCY4TTSm38Jupu8Nb)H*oJ^jzCdpeZEbU3A{D=FQ zj=Mx&yT6AEPIDcnTO224jv~e0Ok?!N=o*|_iPXs+$#)Zsm@cxo-2rGbq#(q(XUgO- zQyMlTzw1a!8A&K12P>M*5DXm`m6oJKiLQa-ekJ3>LnUCMxR$5$!O z-oJXu?Hb7@?;)b?Kdlw+;MCjs|76b&kyeT%pv?CqN<)*6J>@6x{XddC@_(89^Z0*` zSH`Trpb-unJ7O@C9G~Rfv036pMvipJ$7YKrX#qzN=CLESli#cwnZh| zl;r2Oqrh{S$8(D37sM{9*?2kZcxjG$X%;W1j-?+-Y92?B)@hz@{!jjyM_Vs9m7YF| zw1gv~r@x66JPV)b$^!-yaf`d39DBWunRcL`Lg%Sh=XH7Qv&@=xOX`{H_=kWFIu_a%&- zLS9dLcy>wXf8$T$_XE*6>LMb!)C6>cLC-UzuYx^#p(FsQCsE4&yOi2rc-oB23kCzE zdD8I&pp5&41kWGNnGVSO4_)W#JIgeD&zKuaYL|LjQgcy+&w0s=L~wf z+{R^pP0YI6(`8)uWChV;SwZy3A&-&6BvsU4RR-Q8|3fG4xm&8HEGV2&&5+r1w-mEl z$ea=4`VBEFEou9m<)X;SsbWs(`KD&J8|IjDR>eHu66S6^o++vLw-G^+w+3Ye1uW0K z-@yQvv1f_Y6v!sAAt`MDDmidznko zeQY$*JevaMc|**T*RDqvD^gre=V?p>3H(=ad>3lmNJ*jnLjH=h98+U?-W1{;YUh~p zYRmJMP`6Qhve-GYJZ}p*CS-XIJc+(-)X1#WmggNI#&CGV^88I2{3n>Bj%U8;`MXrT zv}5CB3&!&=2`ZaJ%b6e< z^S+QtYYtjDzv993fhG@d%hm+Q4<#3q)^u7qo5l4%+I7D*?l&0VIV5B`)o@%9mU%uB z@;8t<@6hdg{;U1&v&MD8^L#AibZW;qUkc=5A%8$l<;)PWSB(O0>nO=N#tRu1GWoWS z=WZDH4RMZ$>P?tGVS*G*OSeyJ_E@=fIJ3$7U9Iy;yMEM3i9<9vlJw%GH!h;0(F>y?;j zyVp4Hw8bv>q+p?2n~2%YFWO>Pc*ezvN$q=nl(ucZLC*)CViAircax>ZvYmTuv44BM zikPaNecUd0`L@oHP;76x#MSel_o=uL#NNPYZAj3dr^xf?1onD?R`iuBvI z^A=ky$GcO+PNiCn9?E~#xjT*1j}4}?nlPzO%2m)i4uMJArA+DP^Wudk)%ld;OQR92 zlsXagN_|7`?~T6w1}hxBb6tA%^Lg>YTJKzMRPS6{@9;B&Du8hYZ+G;raOu_0*0~dn z)P)8+9qC$^w0^eEonfRF7<|u>Ug?t7&z8yu?^)WKkp?|adQV_q$5kMd*c{_rV~hR3 zE8n4w7i%-lPuOD5d&?7u9X8IxOf~ns?)`ECu}6%v-5PjK`v2H_6EG{Pt8KLQIo*9u z)v0r61cVlZo|u|>5=4f>;J_q}5O-$5iVoYN0`>wU>bXVK{_q+GY|3A;Y&)3h>r|R8n?X}k)YFE{&wbxGG+YH-? zURs4??C5$VUT=o&6eC|Au?u=G%J+AMuY%fRI?@r7| z_NEOLk^dU8?MPhN3|pqRR_!o5x)zE1n_-(_WIQxTRs69X-Qme2A-idXFE#Sk zi0#1Sie}h;G*G3zXGb?IxuqGlllv&!J$7`xlFv26_Lh8tE+T=Mf~*nV?>lK*Z; zHz-+#$~UUQft<1;a@Amy)-BnH#T!DeyUpde`Ay@^@ApaGJa0!c^*fq3%eMt@LAUhV zj;AE|j^J%jr(YT8pmzmtN4fp(;?4Ly!FR&6G4xz~R(9_vX=*E4U-!gHY4-~cQZ2UG z(X}jkF|M?*jWP1{i0#YdmvJ_k@c%MYrLE&!*|(@2ifvRkVR_D2c9UgX(Lr$*DeU{k z60y2oMRS{BJKosp?C1_DTHOrWjssPv=j`Yf7wv3@?WaSO?L9lX6N-KnXA|{5>Zfd< zQhitSb)4mZp8b{F&yF2B;rjRmdBY;PA&8JMMlEL#wJsV7rl!qwoU!nux7)vHZk*+S zdLwVO%k*|)})+Rec(wut;y#5O;1LlZXI*dlVzVR?^#?xe)qWV-~kF6Z&*4Ezjk%68B?q{@xc9$BLN zV)*@Ue(6o|+_kJv6r+)B2|)O##{4#Lmi zrfdftjnu}KSt#8h;3rx zpk~-2^6-dlRAO#3Y!Ug`h;2qr8AgJ`wXHUk%dJ#~kHm%z5qL98vyrs-=3C z>g~V-bi$hmnz>1yAF7*VG_s11$cwher@%-z$)f+Bne-lTZ%{oOQymPdXJe|PLG^4*buy@)jj3{j>e-m8bU%gu zi7NGMOjWyQ1gd9aD(=~sihDMudb(Pvpn5i@ns_#*ns_#*`n#7PyWrWFIsldmV)JZF zjdbU;p{vZZF?E=`Rj_$Brp6hrSI@@Oc*Ew|n3`bNJR4I@JR4I@JR4I}+kAN&PZP zrDx+m0CMC_rRU-oKD^@J_!cLC^uz;jIf#E_deUl8`He8dkW3%GK~RH#BPC1%vy=w6 zH#+?J)oiyGMM32~p!{#~@(c1WQuKFu`32*OF)fK>NHCt&V+H8t7fev3UVcH`%P*Kj zq2}cmOzz2$UVcH`%P)v~`33lDqt}QyDFtyazaZ}A7sS2%f~A_*y!?V?3eC$eIFF;H z;N=&bKOdDVc=-j(S=WM>U$BDTERt2`E$z5IgBiqy+5*rG_i{DQ5D)XOi} zrbxZ~f;$wcmtSxfi;leff|!?IutPc3%P+V`k$U+BI~A#yUl8;13+~?~GR4a;*rk0@ zXI_3JN%O?K{DKGQXWpVHQ&x(ny8oD$UsyE&;>61@tfs=^a~Pi-{Iw#?KcZl^YY6M;3E%b%IrXnIrbwb(d;0mM_zu}19TQuFTdy=H-_?9zwF^jye+}gSH1kQQ#uMXFTdnyfM}zwE3Z2{bRi>@mL+XkLEVW3?dj^2;9g zccGe>U-o!~=H-_?L7{p1WoIiiFTd=ZvK-4bFTdWy9Vj)n*7 zN~JGAvO1{kDlqU2B+iPd^sNA8j^jde^9c{hyCs>;C&@_p70y)>`&OAbpS0r-=pPm6 zth~~10|g`>37Yk=0!h>nNPWej4V;fcRw`W$t}@4dw_>OUc|n_wgUaGSXhM&9`PCLU zIYyf0G}Uh?aB}!G;Y68F7U&!kN@qWS^lpK~@u8%~72>XAsxHTX(2=VX&c(|wyNKN+ zUVgcb6OfupPsMMUTR?6R#DdyMLpE`zyBaL*p@f&1EvLuGDf_Pj#OwenIEl$ihq(Q z3xP_Rm%N3(eo0d_dE|j98`mFtZ@@r%yn9izQ%h0 zXw5PjvKZ}$dS54czlD^}Xct8^?^V-(6qrrEk(kRLfT`JEfT`IgL6f_pRtK@T>l3`l z&Lqw^;1`Lv6W<{GHQkUKZE~Zu$yr2j?NanOP%qYUkExtCmEDgLtD$kDnhIOFkrza4 z_a$~V!xoWOM{KtyeidhvroGC@J0i9_Voh7)KH$~znRF%@ZSz21i! z*K|9kP#NX+#7jWA_1x=yoN=9O$3X?|WFu}p_xhe?Ty=I-xh0J_^_(O3S~l|MI&$|K z|Mg?@Xa{^~LX$A~ls59`as{4&G&b_*GLjz!(kt+D8EwfPI@-RF(RKn6uedMeio2GO zSKMEP_hCYe>%R$dJ?)CCwZb>r(G?{djYDY6$WShulv%XinC2X;8V`mP#>P^Ovq=t% z(~(Avvq=t%hk?4voY6uiDRzx0RVr{cU_V|G|2E0>Nt9L|cWfCbX47j45AK77=)C>A zjU1v20T19z!14Qg0MTgz+Umq5LrH%;HLj9(gVVget zhd4*?-9~-Ej&0G3-=W`uyfc1jd|nZxy?Pk9dzRtuHncCW+!wk1$3eU=!s(hBPCqoy zbMO0hbPunNGWBgr69E_WF9;Y${eNs3-;V^`+Ng#YnUAoiR_L`lLqL>Tp`!ebwDx_SIPzP7NE?NvrWPkc61jvnI~Jb*!DV4t}~_*y#sxqj!$ zZU|W8xlV@bS9XVMcDZn0c>t!hmoreXLN1psRbz2pDd&l$rCsGAqDl%X9t_rgtV^|E z-lsZ?kR_mW?LkTxSs(v8@vkVftD{}wAHdRb-9+v>TpnF7Bzt$kcOGcZAvKr-sPMX# zay!dR&^D^Eqx-R2*)BF}g>8tDXGUy4bK9C>yU@tDM{KXT7n)%^bD|b{gB@Kj_eC>o zw;K6J5!5S}BsBmrFrd8tB)$IbCv4o` zO6!$co*NEV#d{9*Bx0aWaKGgQHy=ry;C{;q?gIiDPrv0v)b}9FXmZ>A-4G8;1_6i5 z=-C?$p5Zchey^~81}pv#W2i%d)SWxPX?69W`b#QmL1)DjEmK%LUOU5ZPaTc zu0J*6z{UD~RzcJ0{pjuK{(DORkgLI1@`dbv-x) zbGa~Qpk#NvH&nDS-b+(8ja^d9q&Ck-hYZDj`yA% z@BK$b_cp7}f zT_kvw3+t#zfA=F@UL?r!_(j+?mEGnT*wiFkGP8K zUC8h>_=u}`y9*hf1|M-1?{p!<(=_^s8!|i%KH^e)hNlS`o(3OrzmoFt5jXoMoTYYU zBs@*(Q8r0#L@AOU)7J5FuTkRT+BoSP!_%a8Yh&;u?ybz8=BSXd!+OcsVJgRmq^8l{ zlG;i?wx+A8uS8c#cjjq}BERx%F#U(WyF%=Mn<#bmEIl77 zMJ)ZGd&$O&)+_xprxuIM;^+BL-pn`Kj6`Pf$EDDoIYwsj$7`c_IexSCCnze)agy^V zDzeBd{v-;uZ?^vA-Vh<*Z2c)b#Z}~`DRu<@?K+gmEdJDekYe9#{W*u?!pre^a9$SnRziWcV9LmPj=F_KRsv-k@Yot9%{ z7Jt!9DPVDqky-qcHQ$mPBeVFYC|Z_l4<7%tLqy8*93!*%r<2CZB6mIpkzcFuVwYP; zIcF%m+~pXV#XnQwl`hA~EdE&v*SQ=cv-nFCZg4q9X7SIaevHhL8;c9jKS!xIxf~<2 z_)8VmyBs64_{$V-aXCh2@y}yl^P6q%QszB>0Tkyq+uUf@U^(l`Z?-u`X7N`n75I|N zF*1w4QboP%a*WL4*D3taHU5=4i{8b7+bvZ_6@h?*Nh08HAi+{1guUu{$ z>f&Fb@SiTXgymkUur87Nn$*h_UYy7=GK+t?!pjpmMrQF>E4(t1V`LWp3We(uxm~2L zQMe(IV`LWpN`;#eIYwsj*DAazkz-^Q|0;#`iQFJo;d=_VByuNG)H;Rs&DOtKd*KI( z93!*%*C@O@k()udLE(->?pO{*d2>%zX=E0E<7Ftk5Shilo>K(B+2$CT#lPWZq1GpJ zjLhQSXi8P-biy8z3uC00W*O{2!B~7w%r3JFM=*;L+{#OD#5w;3^P4TNGMS`yAhq>c zWRJet`ZtXOEhCXx{9Cu74tlxO9|}%fZaIFl^>3qYyx#bf>))tsx{LP9E%P}&G zzeUl+93!*%TNO>oF*1w4P0{omBeVE-C^{;~$SnR{EE?ZzbBxU5->tOSIYwsjcPKhB z$H*-HJ&G3QUSkP66`iUV(7lQ@GK+sdqu1d6#U=UvF6|3^vz6u|Nt%b>Y}uZPpWw%T z;1O1P4yH)|snr%RC05z7*THB?+}uQ&S}HRiDcS zSF~A6ZLUX_3NZn!wWbkm6iCy^-24)Yhb{strDNpubEH>G)2yQcZ4Sk&v-H(^La)2K ziPy#x&Xt-13+y-nod19(H4-}F3CD@~E~NFC2fNb~?kS9o7XfP|z`+yp;8}DS-at=X z41@NMT`RB;1@47$^%F-yxEMLL_I4@O{thRJwN~0Qc03MQP6EAVIr4*vrT!3UH7}$E za}?|a)?&Ud#dIGBjAHH-i6;P|T4%Ca<<9Lf!9SmwM>=BJfxHW}8VQb3?jA(itnsK8 z48`R#mpn}b^Fg^I-{1-0l_{ZI`s)#5FOS|^ldijKo-}@GUi#_hmA|??&f5X_O^4gjpy^DwUFY& zJ&*fQu&>^hzIqTTJy7J^()Ira(tdqg`t`8MQD40+O0NfIU%e~nO`u-#f#5yqou0MJ zu(nY$9xw%rRQ>}QU@HN8LHiG7L`Z9u&Qg_Xss6{Y*6QunQva)UfP2R%<2iPG8nU>D zh7RznWq?na3Y;mCOq}x10@eY(LCXI=F=~0O)be?tL8Dm2by5#pQLE6ZZjKgMX9fK^ zI)a^hbWp%N5zuuSzJ0I{UNDyT?C2K6D$>c(>A*cFwf@w2h99f-84n@c=A#nr@&bEx z6%qzhYJ1}tU`O|I60SG) z`=S#5*|>z>?t5&G71|uTjc3$xs`n}gc@jnRfPsF6G{@UWVdJb6G-5ig+5S|qPWt9D zU>OP*N>|(oh%4c3GE_Ov&r?kB%XWML9Nf!<4bzzn#WPIpdyL~LJG$<1wZFn;gGd+B zGJB0DvIcd7klP@YgFPjRzJZh)zV-oM4W(Kfse{y){C&2z2Sv{h21Tk6NEH?W_VL+7 z85uuonDJB(F)~I%j5maqu1pz4IyXeEV|?icQ0th1i_q02cPQ|#-4}N*?diluxy-(P5~`gSbkHDHTY z93Ymm!a^)%g@stk3JbB66&7MCD=frPR#=FotgsMESz#fTvO-;PkS;eh3bB;MRivsA zOPRB4qUygGOSx7m_+O5tj2PW`7i);6++A=(Eamgr(9wzm#8O@@xFMFZ;`%}?WyKA# zlojv%FUL~uBjv+bmG}n3*Y9>7x-z%|Km5;Jiqg@m*J9+g3%r+*6y6E8z?+0*yrzYl zL5WaN_=eD^0=hhWL}-di)fmw6(ioP0Ok3XzX$(t0u8p4#o5pIU$t;!L4A}t( zBiqf?OQorpq>hYIxuW4JB&v3!M7c#6*Q0*alB ze`&ZvOyUEOQiw@>3a;XiQ(!okr^rUjS2%ATWaCXdq~)s+lQ@jUBo1RSi9=exz9S}a zs4MFdmaQ)R@E^Ewp@vw0wo_Z#e+oD`rPA_Mh)EpM z@>PgQ9L8c2hqQbZViJe3n8YD1Uxk>&AuV5pn8cyRBxcdDe1)-?#9=HZaTtq99MbYt zh)Jv$P;9kAc>kLs(_#{9U({hS8=I3PX`a|>h46vjqS}l}e1QKSF=RzCiMf>E+cAkb zv!k>YU1c3Yf3#7vF^QR(F^O-WPT#Rwp+!GB`xasnufT&QXUY}>Ip)}p?9~>7n9iFa zC-W8u=q!3vs4r7>`LD zE~MlUH;d$lD?&zzYZ{Z7?atK-GPW9G5{I)!gLm{3lJ)h9@KwSw3inoo2M~@`_+dq; zF^Ls^S`lhY;=Nj-icn(`|4m?BWmwz~c@Lu-Ilj_Wh8mMt;kwFjXKzr)E8J8W))P)p zSYH`xOk$P1y)x98#0m>BiMxyBhbu#kNjz5I?#l4p{tz`)MLk^^YE0rKLfumtYD{8< zuUCenFxFcfxlX8iD?^P*{HVYWD?^P*On32Q)u)x=S*+19MR@vQOyY1X3p!Q{s;dfH zk$N1x#8KZWETjQEUg5f`@I_KjP`Ifo)R@Ey>#IVINjy^WZbx3W#$0XZomF99!g&fG zt_n3KvDLRK)R@FGB=6HzG6l9cNvFU)RWb!O#3T+iCh>ZVmn35n%iP5gDVOYIFPH=h z$C2PBs}<;j)=qxrf(x_XR*b1sdJB@(TmH=g1J6L>te8sw44}+$Txf1Sy&?I1NoMm& zGE)A96qUrjRc6j7?f3(F3#2NYl~+2S_(AGZAT2JCL>+t_A{gD#dqJUC3*r^0Qz?RlfG$eS5 zb=?4a{1h;i=5LeL5#1mMFW+FEEvLuGDaQ*}M{EKrtd3X>kaY8yL03mG;X77G6yg+9 z_oQ1}$h3$F7N=N7<^Jw(AQrWCj+IW{4bbr@O%Zx0_bHH@$m&J!=jWK$3Cz#st_5lj z+kvaI#8nN%3OA8?JDNA85h_l|Ll*W*geif0uU5{$H3KFV_de39#JI}V=ra@MXfEye z(z4dmfL84~w+FFvDSlw*ai_41Ph4LUTQ)n-bZo0PfT$a^BTf3<~5jmi|Ri27B; z_2uw5R}D3bs8LZbgz*V1eY;1=To@H})$80iC^n0G!&jjo%v5Q}za8bAS+&oUv z_jB_+;A>@Lb$dI`fbL!?aLU{~IlLdu&GX=GhdAzHtOef70@KGv1>VmBrvhq$y9x!~ zGB*!Kd{&v8=fQJ7j2F0u?Q^3PxO;A%9Nx+E^mrM>aie4{@J1H+L7~8#SzzDeP(H#7 z-$L3+08+=nmVdS#-IjQfob%lpDYDjB9<<{vknx8?k!vXSmn=gXbuEhwkB5Ps^XnSR zvWIij`p)%8g5_N7t8S=VAiIqtGH>=l*1@!yrna_9B9j}ev1<&_W}3Rg0PU_2#V~sSAH* zseE&E{n<}Qt&LK-x3C+)*#W8RO!|<2GPUL`O`RR_a#?`uVX5^IFJtStK9;&H;+0_W zeJ!=lc;(rQJ5B3*WzrkMm^-ej3`wkjxkV0nB>`N`2R@|Ej;h5dJg&2))Rs#hUt>FMpeUfNjrmTm@X+Z zsD|m1{S2yMx}?29HB6UuFsO#takXUuRy zmy9=ThUteJ(NH)gk89&- zD4derb2(ZN3?A{qkUAW~%dQl$Z{1A8O}DEC5S}fxO&kp5i$=(~D?~qI5=_5XLf# zYTlPz%!nXSxnoT8FUInnXTncim!-C0hc2xyminE7TaYL_{OXpW-t z3_mxzxnqR0Tjl}M<|*n6-A;o-2w_DHsg_%CA5L4j?L2=|*G9M{$lLl<-o@Wc><6;f z<}FG&M<0>hO+qHtGd#AO<5)Tb)30pb$eW3}C*2E3YKt?xJ;AUv#X6>w#NWdwkq`k%f0yj8@sh^bY*E{W7)afbZe2 zuxM|8$FP6yUX>fok27qZ#FNYkkkwHtdaqQ}%|M>xS=b|*XSVUqZ^&~^A+klaOYhE>oz>%L%!B*BS4%4S711 zM0sA0@^qSx^6HIca|7!bu$C`~bXh~W|5mv>jp@e?%ydZCcKNr}u@Wurl5FxSw0I)P zhIUh-;Ud;*|DzsLv~Cg=O{7 z1Q@zq=^E4ZQv9$!PqM6|w5(5!vFfBeiEOrBc#`TS1M{dNHd{{!?S3WO;3z3%E--6y zwCEzub&P1Ti3C*l*dn_EYM5z-7Sz`YIIW?e>k0*}kb+)hK{5(g6mb-OW(BR3g2er$ zP712VFt||8hR%l0`@82@qs>}StrhTiLqX1uYP4AjIu5zIp#e7+aVXRQaWHHZwKoH? zcH0_icZU@91_&tX2^RI77PZ@o_(elelM6*XCq=cGqvBpraZvn4k#;cBu`KLFO8ZEq z?KLS~7vw?13LLQfupsUu5%+7Pb%T(Pq$e1Sr~BP1;uUb#0aN!sH0b_EDeX7x5WRho zuXHt_{9qDf5jCzqr4;GDA$?7OR~P2Nhx^7n&--}>1;g%8RLptwMx^KvDJtd=c^SAG z1(y_aczz)W?VBp*0IfL@M9!7zVosKm0DCYXiSvu8)y2fz9o>}T+sSrM zF+}%fGwn3WQxV4z#f`M}`b^|?S&bl_;N5FH!O3~5=50IC^A1JtbVGMm%T4xoNRg|& zi)0u&AA^kD*;Vd|UH$?gHH$Q}hiM89ab1g`O5eT!{jxag*A_qhEAUf0U&^R)XK=Vx z+uCI-d9@v{0n;|ofKLI{auawCX&m>}2@c)XC+RrvlHhRbaS4TWO|Uk{fr8Oo%nE*( za2%WKOzfUWJXk(~`0k^Xi9CNnTK7X6@UR6C)8|?yoUTj%quldP$%Ev1mw;mmUJ%(# zr^}T7L!@-$w0ycu>m>^zQfAH5lhk=KuxfgS&^G~NI_X5+-_bH^P4?Y(#9aKG6v1|Q zNA4{zQmRam?<8f4ER^z&l+t>Uw#<8?l1!QJOL09eU_l?q`Cu@i=#!appBBB-ifnOe z9yGZP9D2DBkVO!2hFlUR!s?9MC+8is|91KGBiDyHb<3yW<#G;+zHNcpU*{uWIIn?nR=lYsn z7ufMtFwq-K_4`If_`uU42=(`*#F<33@EUdrPPc0X_K)B%0U>@Y_5D3;(x>Ht5hucq zVJ#lAKvVI*7xAYet@|wM^LtkV+U>w>?ssH%kmi0@W`;k2;0+`TAFe5;#;s(&8?kjC zYPH)6iHrofGjJV&tNS|qfN3?dAC0u`H!9}c;Wxmn+s#r~=QEKsh!&yZt$gWoZV)X( z#oH8k&(rMS{jvq#d)!T!Z(JShk+e_LFU}(;9*)k^<4<5P`SxlU(9Vkz29q4+THg=pVX=I-$X|PXVl_x8TcRAlyd9D#y2-T~w5UN*UAyluz zLa1Jag;2c;3!!=y7DDwZEQIP+SP0dtQ0)_`xP1bvJR935u*$Qsed0eIsyAkzz$#C^ z#~17q&4lXZIN~BU-&T1lt}le@RooD&SFzbA8m;p5ZIvgxhpRk&TjlwZlponA8bb9J z>=UV&eIgaJPc#nI`yaDUh#`Z{{bJrQZ?<$bD`v|%1O894DfJ<$AdOF))* zx&C+?m=Z77pP)$N<@)lyOFrQJ3R3+^6x#AVTvm0?pL`?0K3p~7PvI%XHa3CB$zAx5 zROAD&KXoNiT0V>mdV5QM&aM)aOy>!IE>DqdAKd!dsctXkHyRN7dz|?Ua+lGymkO_R8C`p+aGjHQxqdueu7CDOk-W)e=z-?PtCYK;^q36DztdHe!&ARqiZjfdU+zFYcCaEnaJqc zONACM*I%P>Ln1?Cl7FSbO^J-Iy;OKpB9p;Az`shN#mn{M@pAojO5L8oP&?D}uhw4p zK_WvBG(R3M*N?}`^$YQG_u+cKjkGs#?d3joP-COYzgh(XiDLW zI`0$gA-OOtUM@ROu*J(|m)V6Q@p4&|V8qKUf?)m&rUx3YGLhOIsjV)B_=dHY4}zv1 zX#TCOa5?GaR=*4KXie0$m(-2do36c7r15h7&5AT$uD?Z*#>@4$D$;nl{x(G#FW0|A zk;cpQ?_$wWyj(vPFW29poEk6JkHyRNcPdTe<@%8a+CE%%;@=Nv0?1q@eX&dXqR!&w zk|fO&^FZ?-=z<1HrH6vr+8vGGHna>j`eAWxICXmaBC76IaQr70T=Jzh9aKFHaqSkJ zgn;0DkN4Wu@>I;mC@t*z4omwEKeez2GlQ#_kIDDD{M5pJe*#y_EGh{%t^t3{U{c0> z8V=;0g1s%?hl7}oiCm`q@Bp1_HL7knn1dE=nt2lnhC}`d&O%h(@IY!e!rRcKLl!-ExCRNe59$&&Xgjj9_SdXhkksv91* zOrS;84aX_8sJh{Jg%(veoS@L6>V^|lvPIPmCn?-nxeu3uhKFww$re>NoN~WFi>ez= zRZ$jIH=IUW38DyBX6UCDPFHAAb;Be7EmVuD8_uMcR3WNvIO_<37F9Pq<}860RX03V z3$m!X;c-_9)uQT#$1Aj`y5R{5EvjxfTcJhO4d?8TycShAoU83@QFX(43N5N`c%s!; zqw0q9pOm~7RX03ICrXQ|8!oW!)~LGSLV2pDpPI~Y9FcNiTU1?+Bf$kfwK93S?uPU> z@rqZpDtPJA{3_Jsgb7v|&5TLc=xX|33ACNpllG%KcjFeMxu9Dcd%FJ1( z4Szsq{9dKA@=9L=3P_BPs-)i*NTQBF>f6SY?haYl+5udx9s6Cy6nH`Vs3402p$Was z5X#~W4xGiHYpEO~&2pOR^rKTw4xc8RkTk16=a^7B`vIhL3nY#YB{ibmtHGXh zlbQ4X)=8}6jm+IgMo{zyxp{G(CDKs77D75n;Ke>1T5d+UtPJkM8oKESnhU+LP<*H5 zxUV7SqlKJ9G^bbT#;~kc-EQORe|nz9c#F@(w-db3R*6HvtDL(3ilpj!SU3FYYiz%> zK$K;}ud;5F0Xxg8;a~D22sWuR@JMUJlS5o&gyV_M$I`MVvrBg2kDdH1or zSxZpD)l~03j{oI=TEZ@t@H2(5FbMnyAqsqe!uy?#(rLYVkQuv;LgBj8`~nbA=lK+R zr}Jv_fC!mr$D2Xz3mZVSH13_0^cs?A_P>*YGUvcZuouEwT87!USlth@$WrqSl575g zAFl^F1{lXQJG#3Yad0BgHiJN)J-9#Xf=WgbO z`UGH=EVI9bm)YBd@>Ta1UU0=rp$|jkEHUZV*wNLCn%_#ktg)J2&&)p1bG;5k%lU#G zyFqjv`coTgJ@uT8BoJK+$uHN&l%G-3HO~ zTgh=fE4WnCZE|}o*Prc(i{+z&ikqlni)B_&Q&x9_6!fj+CIt;*L0jCXRzQn0@~Dhl zl_!9rZLx&~^+!Q$i!B^DGon(P=5yPm)NdunOYQkDUN>u`8%nLj;dWdG(Qg)tUc;hy zTG4CR4ZnzrZfzL`*wL+(qQ8~gr0D6autuEBTh7z$_*aM?*($1aHx}LdTy*QA!OX(D z%v3-rWvpeHXGd4lc${^0%UDWYCWcyLiA-ioAmc`q(gjxD(Q_fRi(H*!cy)^Gf1Q~} zD!zfArAK#YW7)lEwJR~JT`yhxs#d#Ry7tuo{kXE`$K{Pec?oYUlrnqXFK|kGUSBKY zC(E_0huVRW8*=@Rzfsly_#0smA|jG5g#G`BzfmLqAvM#b^R01n{Z2DodfWt%<((}Z zGt;GGX1a9TOqZTSp=PE_Pd*bs%yj7~JQXt?#nK8OPtmqeG(Gi5q?nm5J!cKx@8sPv zJ(s6urc2MeQGlB1(lIk#I%cLz$INu;n3*meGt;FP-6b;BOqY(C>C!PXT{>o_OP|gO zs$ix|*D5qKT{>>2OP{G!Gt;Hx+ghdLX1a9TOqY(E>C$mCU3!^HHZxuNJZ|bxFw>>a ze+-o?nCa5XS=WM@F1_M8fo7&l$IWzUe(DFand#CODl{`)I&P**U!+ts)1~8Px^&!3 zmyVn1(s46gI=-z{dbLV6GhO-$g=VHp$IW!Zh zm|ZAhmScwsHnSbOurZxQ3x?V54>;$)V4Ce@^(Un!@H87U+of+>i|bF#cIjKc23aq^ z`ZdTOneEcIv56wHUHW!KYPL&nR-|UTbj)m*j+yP!F|%DdX0}V;#iAp#T{>pAOUKN1 z>6qCry;Dn9vt2r7woBh1;D&EzyYw#Yo5*ZOk~B}uY?pq37OmD|Mf0mhfTsnxDJ%Ze8CVCH>`f?g}h^&P7{gMKH1YF4yhz+6}yXhjPKa^OT(v|td^ zBP&{P00&B52?j5(lGHl3CG$(OV>|SwBTTcW`&59OGP-s@PV4_MkD_Sr~p;^&_!*h7h zh0##=rV6HX7idluM& zMGKDkNT6BKf@8HHv!VsZ72&Out35MZ?HL@e(5z^|2@1`M7R**?R6JcVXO3r@89sueAmKUDIX6)iYP=P|RQ1#v4{(8P+y5h>T1SkFjvh=|S71z~(yNiI3{Mmocm@)uvQ+v`fHKE%p}F}ghUDiYnawB3NO>FQDv5oo z%$x+;@dxxT3UpRp>1#j%sWlQb>k$Q#s3Va2MyowbMd?1^Ds$|26;t4atP={dI1rl9 zW2-&SFK}{Kf z(Sk+nrY5Gdb3irInVf#xbhe*6p2oKY^0u3FH!*{7EnxR`fCJGV`LZ}!9kZhsvdito z3~&6iVeaTCv7aW340x?}L4A;eP2#3^{0F{sMrdls3oumN6s1k;cp@gL2p!dFf99Je z5taPpKdzn!2-BPFm!mNe{p8nC>e-Yt-FW{VrONI(Gc5HhOZCd#L#%@?&s%4z?>av( z@_&FA-aN<^qV+T?{T`AkL~Vh+&jS>_k05m^p(rkJH(;f_BTuK8cLYK;j!o&)|-x1Ct9DMQxP}jqks)RG`=>9#63=K6Bed~<6GvfOG%4WDC>W2~6y<6j4-Kq1RjT$b~ zl3lTTs-Tl_+-;O+BaWf&qXrIYU4O3T-D5}B8ez3#YIi4FL{6S(MRjn4<7`s9ql~;R zV*B8@X1F5i?uhHHi<;qzs9!}~7k$4Ou87**E+F^lOU-aa)T1M=Prrwrt3Q5V**Eh6$g5!*o5hy}A?9!%wq+g)LI7hY<+jWe* zyx=?#{fa-TBwL1%W z1+d3mgf%h)EN$156`EiMtyOk(BO2F7jA)VTn;6j|*Ecbu72nawh?a?rXn(`}mGHK- zE*Q}!;gm+SJyyoz4S6U8?c0OVr4LWwkVtLD44hCGxI{YjIIh-&dcq|V#aAGAvZ#2` z;w4@}J>iNNLau1-@eotQ@Rmha@zgxwF2yM_&xGJ~k>BqlK>s-?QJ3(qN7L68`DYNN z{jZ5i{Rep{_mfQA?fGMQgj>-ZIVOB=x#j$~z?AR@;k?K{55HXe^k+y8SXvQnfyKWV z&C?DjwxnNxn@zOC!Y`2$?XWNtEO?5Kc37wrSlD4fVPS^_g@qj!6c%<^P*~VuL1AHs z1%-tj78DkCSWsBlVL@SGhXsX&9TsTBNmLbfSfFnu)&@p9ENG1iJ1i(H?65H3BXePg zg@J&ylSDf#j1%0j!$LV^H{M}^tI_Ct7wxc6EBp;RELsD80VK1q4E8tNJ;v$iaByOf~DC13h5>OIGm^a_wk$dOK=VO{$6kfemA6K{Keo2 zy?w-oFUbE9X}&~}^WWr+)GtSdgs1x_1mmi}Ec+(}cbaI9uE<%>BARH;VG^WuLZ>(jelvWPF~R*R4tg=8!4^ShcB2j586mT_h2qh zk*zh~mgh}}Y#1kG|AgQ~Em-$Y2pEV0G~GWTI7yN2pAanQCA3pp(}yous7Uuu2o{|s z1?c_>!O5CW_fH5;QKb7P1g9M&Qgr`>;B?YB=w<(epjM&npAej((DqLV&QxgoCj@6H zwEYu;B?@i-gy3xIN7qx?KOs0rskVPYuvB5a?w=4WQ)v4q1m|(I&^RdjCj{qTgv!x4 zDElV_%URdL{t3Z~YXsW<3BgJgW&0-tbqa0&gy2GjwtqsfN}=ta5L~3t_D={dR%rVt z1eYkZ{S$&q725s@!DR|<|AgRjg|>e}uv($*pAcN3(DqLV)+n_76M`!h+WrZ_T7|ZM zLU5Hr+dm=roRA?o70X4_~m6 zzKHbUYt1jF!S$y|pVHZ194n?|uLU4~F-9I7NsYv%v2<}x>+nPRn!TsC;0e2_qi(T3mFa}CNOuGLoFX7^ew;2QnmmJX>O#{UIjOVt+WE*h>5k=+l(H z0@H8WKLzQ&|0Yrbzb8^M-oCrhy6Lcr^K4t%6~k((*p_z1urp_Nl$Py!DoYdZJpW=y zbv~U46JF7J2+8(fW^nbonp|R6^p6p)1QNiNK!MqQO95J`cV2b?EfJh4vjaKi*pKwi z%MM~X?20m(XAjU>^r#%8$Ylr1))7_9a&JPZ?2t>qNxNc>QRK1*QbXDmbBrRF9ZFSb zSIp5nFMAN{MDM&Dz4Nle6z;6Z(K|0YT;Z;Y+(Jqoaji&xxFSdIyzEG&?yhK!Cdua6 z?)1)+y*aX@l(?rNH)}L_M?WN4U$4l$N;pR0-ijQf$YsYW{IDX&D010@6@FTgV-&gU zA+KwNDsqe>mpznb5X{<@IYyDo9_F%ymsjQ(MJ_u|;kwEkqsV2)E8J9>V-&gU1cmjL zIYyDoPE^U;D|5f2s7VTUR<@>hUiR<`k^FFFj#1>YQ-%rLU72GPx$IOG^>k%!Eb~q~ zRj7L^bM(&3PFMJPWsXtgvPWJn)V-BCMv=?TyieeVl{wlKv$K99@YBj1qsV2C`KQ3I zAeo|$)q?7(a*QIEJ+3XxDYPr*XjjZ0uW(&ej#1>YCn(%hm17jS>}-YgRXIkH%gz}r zdAB1kTVt-a^UkUqqsV3FDSWsp$0%~y6Ro~gxx^sA`I98?(^ayCO!g$50{2wO6qsFL z-909E9}8N@M=6OSCvz7^q+GI-y%xL!a~uf{@HkcUXAIMJjsmzjTbcY2;qty2V=9%t z3CYUvN`ZlAAaPd2(p7-{9LI&`{z!BGPLkPtk_^T#k)o2=x5~`MkHa}Ncjv+_!> zQ(;{Tq>~CHQAZ&4Eima!x!>de=cZC$RB&Lvww84gx1IPG_#K(n_sd#-T5hA9}<|LzXcl4~jVg zhg6!kx>TB(QfZdZJ__$ZJ(KQlNa}zyFELwAkC9UjgZ+`=-#E5+x3mUlKPN95u0PC8 z9mMai9}_yrzA^pyAm5=UCg@~8zJGWfKcwdCWo){undMNtPP+AVpmm~O72CcO);_MM z8l7tAz{5>W%cr9-cMbU};GefaPT((dE%_?2lZBjk9Ums!y(<5A1^M@qo$~LEO;bxa3&YlN>un+^i6V^qp+moDm<<5GA3w+m$8Mk!ppS6`%C-J38`T+D!C!BB=QYZvTVvgN6!6D~nFq*`^364BOgBXC>$hA#Ss+(FpT@fqorg@#W zvUzr?=)ETL!WDT+_BvtCo3~Ho4z){U&qNx%E_aFa^MJaF{0Bt-&BRi|0|}O}8@L;K z_93Bme;!FuA)jKNLp+dIpnJ{7NUwPdKVA>Acv1OwbdM$e9%mCtos7))L-%-sMzltf zgykS(nL?HyCPv0tda|(j#6K>JGrwZBXo zXn$#-sX*F59i<5_BbEm0C=K*OU{T}yCi107jf%J$JE+FHPKLl<zs#G=M~j{Wo~a4)vTXC}HXjp9CVv6i@qDlE~K zh>GsDQdRgZ1Z)6ZRahb|F?_YEutZwoQXo~~Y-x$diA9C8r6oQG?tLaUT3;&UgRa0b z^>aM8EWs(=&FMRt*TA`nI@I?J&}5)oZVj;2j&6CP5=u1ETG)0P`BxF!+QhhK*xoSm zzazHG618zQ>8T>CayL7=3*$Y-0AcQ0YbN8_uTGok`_TNcHc>NOE6wDu(Pp|W%zV z#P)+kZ8L1o82Qf;+Z~CGaW-kD&y3v0s_t%&H&ckFb-lEi%8e(uP@Cy&X#V!TQ8V?D zW_k^2oOpUkGj&`WHB)bChv~%9OueOMP`50CF_-i#1b!X(s5q!ja+P1cSGaNw3wr5qBc{h@hn}X z%`^&{PiPl4(?n^e+mOa)nkdcmF_4a?NzxAG-;0`Qk~Gtiz|u^Ug?uX^nrVtO(`!I_ z*-e#(>bDMV?>jLu+}9Rg5*Fjh0&xMp@Nm8b@0xZ#U@sW@FV08;mFqv>mvi2Jtf9A9 zJ)Vy0d~D(kh-})>dyM=^#5O&_{rj4-m9NTEvUljJJP)2bHPJQBCJjAtRi0Gu%vE_F zJaBNV49)vwt{rtX#-i+-x64sda{bPgePrLZ2-mOdjX;(U$TPwf;N~6lL6Ox> zLU=wT=W=Pcy-3v>U`O|ct1kO?8%5-45!jr^C0?Z@t&IGgm{mqu=Fo!}mg-I>IQ(iTlA4~j{z^KN$F zC)$DKmS?dY??Hq9xX^*0NC$p^G+s2HNCyVjL>>4iY3Mw$bl{()1LpxtJ4B-MY==+X z&5+t?aEj*Btkjbu%|DN6-gz}O{Yo{hHJ<60XrEq+axxvFq4t$%`aaUA=~tquzai4} z@1p5^V$t;PqUq(py)k(HA=JBwc!&L`z%K~#3GZKy?{GEO+QoURY4K2`ahN!#eXL?q z_uWRWW8HI!>*Fjk2L50yNvn@*k=We~Td9%zMr1*}r6pTlr!9G?v}7HSUbKfv<2*<#EqR!8mMHzzu){4-O%8s$dB1Eel_!N$2eWS(<$5rCQg%R6QSBBngbnS`y5qU4wU*LwtSw#JfCgEq1q|@BIX4sxJ@~2XG?$~~Y3aaH8<`KR(T3@HJ?@m{2pWt)WKZ*@@3%-8oR|maEPqXdX_M;H00~_bCMA+v2xP&M(neRnd5opPb~F@{G1oe3uj|c zCD-r0V91v&>qUj@E;xwhgu_h9(g37iZSRC8o{i+1nfy7NKV$GSpdRF5jKn^CC4P=W zIm0i<${3X&86pYkS^p92SUVqHTsYSW>siUy{~4B~=Q;sBC#CfN71N(r zo_l%zf;=a7gH7Z`;pm2ljC$6;vJ&){G<6Q18uth*tW$iW992y%S|??+yKh(*u~4*5 z(n2vB1F?0IZ>D3!deA1xv8r`!lVpC@v8|-`KbDf($+^~{OwQ4l)2ZP^EhB$r9wK1j zTCd0D=(ma0(VRa~itTla&iNCi=qW%0Pp0t0lXb|+Nfo6|7U^e!*c-K;BBbkxcQwxE52?eC!^zKZGVCmz3}1nt z-0eWCaWZTXetOYHmS3Cq__v#Syq~BU&jCntSIt2D4EU6a%)r?&Mrh@q&iz;A1(f62 zz|BvdOYIxXG{W+_vCJmRg5*uju(MH&=H{>0sV33zaJ`d_ zSWwkL)f`Ok$apQcF%-EE{RIWpcfph^L--wz;4NDSk%5EQ+v<6PWjwsgaeOSH42O3) zl-q8@?0h?=zfZd+f|+le2QEzNc%b;84kteVM}^GpA98jcD~}T&QB>(2V8fvNn9mNg z0CbxF1EHTRH$g+?R`5q=Kvee)CS4v7KHvNYves_Y~NiIXOY|L&BpRTBx$&Nv>CSL*Jy#4+tHP}-#5cn zZ{(*UwvH~1&S=zq2Xo?o&B&ieY@hdzbBSu<1}(F<9kHwOjAqy(@`#Adx#e*-8C4N^ zcEr{rcE>+NSBBD2$GJmvWvBsN8ESwlLvv+yXnW^c9FMxZi?ZaVkn;|eSH)vrMG7L` zd)H{^dJn?nlJHJ$hp(|35AH2YWORM2HC29dt5$SYB^c>5R`lPGS6{q#5pSb{nLpR7O4!Wo109wc*Qwd)B;1vEI-T%IBu_t^Vy$AW9sTr9ZVQo#RTV!?5`EG#nh z&)sWBDBo^GK(yq1}see5qdlnX% z`ZtuM2)`p19D7JE42uQF4is#QO4((0;mD#>79|*qN*REe|AOg9#;Z(bgSJR*eJQ97 zvEaD-LKF+G1#Yf-xz+E4JX~)7$6~>;=x9;te>)ajD?T9l_jeMRvKy(tiw#6~PXrc2 z{YjGMi7hJiAE-nF=@z0v)e+#4Ekrdm+rEuLv$ZYCbX^Q`OL6{cDb8Ol)%hzy=dVl; zW(HTU4dfE%ua@Hc)iQwxkA#qL~3p@pjDVEi;ediOe9TM~g}` zvCwRp!5l-;qSDNe%fMM!RGK-E8Wt9nW`FOi%K)I722ZG%$x%y zuPrLg%++?bMWvZ}3T;to=0vNnE-KB;pDcN8QEBERowsaJX(qm?G}B~JDMzGSXSS%6 z<4AB}QE59_kaIuM%jAcn2UFIq7*mLfhGb=UwZOnLkT{j4(p-MCpX0dD+_tE6uOzei zBpHlW6FAouI5|d|`g6LhNPtwWq|(f}ML?aE*3L`3$lGNXmQZje zBQ5F1K_EUPLBwo1Jw{GBtmsUJj|UCTWUB#^?qX*62{cRgV}d!8@v+;S$$BBR!7YmV zB;AfeCfRA!+@fUgc61-I0iTy%iQZ7x-jJsh@0sneVDE$5msnSx=V{{mgvjtNz{Lb- z*TNI+c{S_HbCA16WC~arZJ= zE4MS3oL0~pBD)*?06bM4QIqt>Ja}Mmv7_tZE`s%@dKhTc{qW;;j!1_Y%dbGMl<(r7 zv3)A#v$&jgY06qDU&ufE6iQag2lCIT5iHLv`dE`!7Zv@df5l4{uI)zM6LIaOwXczW zQjLiEb;Py6(E!+#Eg}b-@}h@J$wn59*t$`(h)jdK8{;-KBdM=RI5Ltn)IHV=TSUG* zVjJ$>ZiX!)?}*sOIr_af(o1R?kzbG4Cb+)Mutj9=daLEJZf2aVb3`gNmU}?&E`6Gm z4|Cn6Q}w({Q|9i{t4YUh?JnJ_dr;Vrsy3NZqT;dTX1sW*OhjH1u`PCt&epWfbw<83 zVr%KDQEnqP872|=@rdpH@o_Hc*oc~E$5y%1;w+-p8z$hJNYFI5A_bn;qRR zAB}U>a3Bpg>ah{mY}ZI}OiD8_i>1@J!Ihj1_I2)`p0RwK- zF8$%%o_85+t(`D!x<`3YeTkHEF@8SEd1}NCz;qi5AoVgr&Z<$X%G8T)%s11)8BN>%HH-D0yClDlp%5U615# z_hE_@{kl=5-w?vy+?{Q+&;9u7(S!A?;e2)sV4q6D(aUbiBf+Mtzuv5%%`JL#8-4(t z8`VO_MK`lYPPC&t<&|c*BI@Z8*RfwU!xd4ljJS^P2(_A)7*TgbTsM!6b4mZ+Z`4;J zu8EE0=nLjDWYZVSq}R7`u)n^IgZ=ew9PF>JnsnLl*y-d99CDr5q|SLi+z+|^*ib4(Znki4%!U4-nnHK>b_{dNX*5wc%q zP!}Ql`x(?l$bNf+x(M0tU{Dt!`yCDHB4odlL0yFGmmAbY$bO}J7y2ivbP=+TOHZIK zLiW2@jdT&RUt>@gA^SbuQmLRWLiYR0^A2CoWf8L9&n*HuS!Iim{r>J#$Sy2G_789# zCOo_i=^|u*q+2EYwg}lj%&ik_i;(?shU;|^vOnIiEkgDu7`8>o{zSQjVG*D%LiP_A z?F);L{VA?Q%74-pA^W>B$KbiNo&PACL>3|Yk7?`ZB4qz@Z5&;M?C<9EtlNCvjSQ(Y zM}>?e)=NebQ#n2)b>Aozi;%g#IH+d*WM7YWb%Z zf+Ah<98Oe}_7Yt298RK8vs8zZF9Q%ubvT8mxFEeW#cssEUEpG=4yT@i6th%^bL#Or zDyv(Di zDYO;O;SzDG71ryD=Wv-qTk#y8$G$GCcn;6sjmi~PJcr9! z*TRbDaK#>hw&FQlsiJJfb6BU)Ry>CnDzp{P;VOl;;yJuXp{;lhFIH$Pp2JHN+KT7! zQiZnSIlN4vt#}SES7E_ zQ)nxm!*vR`C&W@6Uah@gE1tt^6xxdCaDzfy@f=<|SGsIxf-lYC#=X+6W~mOZ=WIhu zwJi4wZ}_WFZN+nVqbXITGZTAAE{u_0nq{y91t%1<%k07tI*StA%1eKWbN&marJ7fn z%y%ig@wevvG_q8OH*r%#UGW^=`frf+a;vXLeq3&{;yJvHxdnunHZwr}Dm_z54_2WqILI$JdcJYuQNR#UE6 zstb?=rMgrs)uoLr)wzC)Ks8HsZomS}$(-JD136IG z__S2#1~Hwh{06rJIzXpBU8bBH%rS&2$};8Lkfq=(EK|-MNDT|ilygI=N@1CD?jY8w zuuM5OOrb4P&J9;+%an5?o)%_Xrkoq8)ZG=dROj;LBG{HG=SC^fmMP~(-!4koGUePD zg|4VwKHv9#`~IKj|2;d;Gxyf1bL!NoQ>W@yS2$(I zl+*hwXvdV((|NH&q->WSQ%=vgTtGXfoSwBqKs%iEt^!*+>P?~%vkPJ6#7b3Sw*F#1fd3+c zXQ>s>9|=GeAwrYxn?Z><0#e>$6S|oQ-2+rD9V=YfWN5+bpbRfsKm)w`J!Cfo%HuMW zG>$z|n&_J{l(d@$l;HGC22W#9JR34lpJh-q3`J!ZD27-qIxAoBNYw>lnW9cFVl@Sy z5LeKl4ln7Q3QEa?J=eSVby-lx%-D1N2|ZUqNB!wl==oETc|j*m1P7@C%zhMf;fA(! zi$X+;121ym#R&!7$Qm3xe{C0lb zpo`w@bN^YJ@X$019&yW%x-CMUUn@cRvee#*h<0G{9Nz8SaBz-X6qVn6k%y3=gy(M& zL;ZA^p;)$}4zKb@?nP13$Q*5Cz0iOYN!!S^D|wUkCzkt>S#!obZ!&+lw0#r{rg@Vo zR$Csw&YRo=K_uc4=Dg*ayXy&+Yog_3*_LOv^OjRyO|;w+)nSm3x5yG5kV&+tKG7m3 z^2%C3{ODm3Y1-~O5O&~29f)BVm)J&+h)|@`V@Y>pgf&(AFvE)@tijR*>u5=YwSZ!B zGO*M4K)c;hVHwU)jNe!T0&G&pj8ISf5bMm}c_1S1X4^0=gbDcFQDXW7>O4hR5PJaN zQn{4l3!&~Z5qUH*b~W~P-$uJ0hWM_BP!0$}`|R`%xircXL8chx{Htn6;jIJPwG@Od zo~*{sl}9!W7}fseI#RBXkg zavL6&q~z)vhP~a0awq0pwTC1%Fc3>p#X4!XNs|nI6%yf}o%J&3B?$zDqFV;;gr^Un!C6FcTcm8p-1s*S!A>0QXoIz=DfqVc^y zW&G|)qYy45{8?n}^_K2r!aHMqZshyLSl_WXC}O?67dJ&qhj||E*a*D7C*7p@9roS? ze0da^x>{+0-#-lDY2CA%txXKz;&$*O6u-)?Z80acLmo5K019UdotvFyKwFYSU7JJ&Rd_tE`A#EbmO=tg>&)E3tN^{aQW|Uf+=qD&KSLeK}~=@?Q|kpS+W`0n2|;EWgd4J+p_A z4{O4?_I7FaXs*ms{1AOkNEXW}z6`*>Lpm9NmwElyL4{F2zu5D*ppalMBEy zQveF>S&Tm$jGKA`S5c`rpjh0dB0W%u`7^J|ESE-TPe2#!|4q*FBbCEgLQ42&-(mD`* zT^QXL#ueQhMn4`#KTGOc!sxrh=4(F4{J zj~>)mcd_Kd8WFA;hOe@Km6yGM_20XSzESQ(fyVgvOm`dCW?^(y*UD+Bc^`dDiN^(y*U8w2&~ zs#rS%^(y*UdjqG$>nL@JfivT$!J1;ZSJB6EucD82mc=E%Y-yZR`mt^X9xF!=V!1~T zVhxTS#2Oquh&4ER5NmK1eQa;H5&}lb*1GtOu*%q2ce=oK6@6@+!5j4|`q+4b?JD}% z1cUABs#yI>lw3t0n`|p(+x05?*c2QrWPVtQO8gz6*tVFgM8)pq!cs64qlj3}RrIl( ztLS6f=RiDNiTWK9+vkOmee!kQ(92LA|9Ysl(YC-7>&1_4(n^Y z50bdXvOd>X*5?{y5Q6=2vOd>X*5?|_`dnjKpKC1ZbB$$vuCcDq6~e3V&15C(bB$$v zuCc7oHJ0_c#vN4|eXj8?htFkWS)XgH>vJ)gBndja57u(r zBPdl3cmXF#g0d(O=m!E$k_6$-I-Mj5I#HmQOp*j$it|9gNs^#z$bmm(gKlIklO&uO z3A&eo4*t3kS@b*V*d?56;+8P@W#HUCKsQ}_ERNBB8L$m`&3>VF*9W3r*F2ojU>*^7 z8mN&H8Pw8%BnQmb(t5tz>uGdjZYJYo2>CEN8)6aE2l>nfbQh z3`JxzvuVK@iiplov~*)B>4(NNj>fLiekjsv1|Mn$tzA9@@Qa)-E4#@cZq*6ak0@r* z>Q1mim{!$PT$^badPjT{#}avMZl>jRj=O&Va;Jht+o3F0mpCKZzDzUhN*_sHySAID zsmk~VOy{*ZmkCE(!Y7%xBpj^?hq4%B<1C*t87EAX#{}ik-krj%4o;E>_q1Wy>bX&t&kVQUQ^(z&A(xa{>gN-{}naJy0LsOMDbzF{e*2U6mlhIlob z-~mc|uoCPt#7Ef#D=6p!&0@D9zRf1Mow`{P$QQ(Ww1yh;V)jO9+PjIAVR_&REwV*D z@&gpL>`fM8c|e7^X_zQ`fjOQWxDuo5QcvFugRQca%<$xZl_>PX$^Z1gRT*9XdiqhM zKaBiN59v$YBh2p{Em4ipj;T-6-km^(=Lc?zMb4;4UPOjdsk8G#fyK>Tt&z;HC#B2& zPQy`k2R@IIb$0hqjPitAY9!Cwdo|Eq(K_}*m6uDE--VbiJk`6wNIZ<-T)#vDR9*i7 zwq7@4uQTjz_I7;|ibZCxP)C;}+%ChN@>mU$dEOx}cwQ~ol+&jASmYG4-<K0ZaV zPP>774*Wa)h`<*JcpcdR)f(CSdh#NSnmSzuT(cKJRk4Fr(KB#Mjp7n}_XqY+knVNl zF^7_X9oJICbvp=2ml@Hy_IAC3t}7AWbtxWTIubpJyD8x|8ou}AHH`PJ1%pq)wCz5u z%3Bh&*vhRa-!qK7p1Rv1k=Q!d#wAbbXIHdJPXq!UCSQqlRH`t-ak{iLNm) zqrLo8@3$dEFZ7#LNs75rET;KOR;4!@5jTRmbqTOJjK=(4+<8ybkgs>M zy^ltc6&W_~#o5=~5VEN?qLL;^{B0bTF`13#t%M`lR>S`8NoDyZl6^*2w?~EOOk`93 zGGO^Ayp`Zb;by=+Vd3wHyng{C3i!LR@{E=HFjPQy_c$dlz@~I0uQPo4Q<`O?SFk-B zKbERCQ0oO!zbg<|brR()khb|Zz|697Kn~Tf;$OBX76lZrCw|;Fkeuk; zPHF2OF1k4kF;&y@g`9od?SOkNB)?H&Tt5Ovg$$8`YK3@Dt5(jkclGOViU_94rXWE! zhapZYq(Io*4!D}ykxaYL8jCFX6xMo^1Q(>V)V+zVFzi}l+l1BB*}X|S{d3%{HT?8v zRG1%;!8;V<7A2q%2fpEX@;Q6Jh<~!Tdn4}kDJS8^n2bkijmyyImD@E)IUc`vdp#Nl z(bX|l;q*5FKhzTNCV|Jl1$Y(}y*b9VS^TzEtU|p$Y6Z+Sf|(6W<#rULDOoO|a-S8% zUx+Aa>Un3UVItV8`h!Hze@6}G2l0~r5FoE|3aI`{Dk$+KISkx(7rKETScq37Ro^<0 zv@Kz_Z%Typ3wJ(SgXEs~u)W=1V%hzI*NsD;2cJ`-x9tr%x(-8p*FJo7<)bYgm}cFc z$)j1E4Nda+Vy7mL{#oCyc|0eNKH^tqOnfOKJ$BdgW#0+!L$MN!t` zWq$=ci%b;$mT+gn7e`r(`+bOc;j5|Dsj+@782?$c|1+$zrLhBEtN{foqpBG`Oc?q1 zI*EwZqU}F_0Yn`TcVq0_t1USUj8TFfU*h}90bi33H2GE#xp6UGRn_$p#P{Vy)_`je z8gLsQ58#2BbPhA8Sdzl#NHR3mmrG0oYJnJd1RlQw=e}zZHSjn<0vc8o<7NRGH zWKnm|9>}&B`o)ke=62_#h#9z}cV z{T&`de>CLr?<>*XbG_&Un$z(>4>=IV5S>Wy7l4xQ1S34k-qC|k$j!Hg(uLTNZL~H^ zGB_XdQ4P4KtOq#9BZced;*HpY*abAQ*y1oYMT^XLJTDZF#4J|b3JmK(J8$XM37@Jk zh5R^gB`qx;=^e)YhT{O8--$;`!r1YYq`lF031bJ5w!~t)hp}fcH@(X%9_eqf$?tqL z)+X?ov<6a)ig?3*A=xT-A>!hZjW-TQH0CSlC^Q*~VDx}MinMSjHIli%>Da}Bbc>N* z8j`*gl2&=c3dr?G_lc11y4y$}BPk_t=ypVciI8BhsMl|SI7~&}W3;ns8y~mBVAHZSlsGvTP;{;W^JsZ`WIc$os2Z=`phq{Zi zZZ}70d2p~}u_HJUoPUj89X5g!!THx3z=_~|yHsps3+C2Zssod#J8hzKMqP*=#%&eBz~t4C_lIP%B{B!{BH9ZD<}7p2 zOJdy!&N2tRIe2ky1m}N);hcnUPNuBRN9QOt@=L@Uv6G}DPhtn^M)V;timJeIgC|PR z>3KJFz^_4_t-TzDM=aG=i;`@elCM&z-H!&z-H!&z-H! z&z-H!&z-H!&z-H!&z-IPkE0mTIxjE#x6Rf{8{vsn=?n99nzuNF+v|Y?^%zqSjhC(f z=HGlYK)3hDYc3({UvKXZ9ntIr`v2S!4Vh*f(R>TQ9MLd{sb()pw)yD(r1&WAY^XTC z?;D8CIHKVUmpP(g5XrdzH9nVGLmLSG6ho4E?2P=f+MqdpM8xMSG%x4^*6{@jEezHn zMO?Nb`enMkKfaJlWq#R_0TGmdE3wzC}3ED-F!a74ozl7?Z9Xjp*)nMO2r#Yh0 zx~MZpG(?Gd8aSdk3B@jmCR)A${J-Hym!1>|%6K+x%Q1ijeP813{A zx*mxEPrysBf^MfHNZr3%1*m+pK(XL`;=11{P+_o&P{qpv6$h^ps#K^XC?-_(55aW_ zTBAq-CUD5J3VU`rt}N!zt9TI~1Ysg9aDI$Jw3GoNa}}IW0mc2iEgZ8CliZLYX2wcP zy%zIF4N}~n!BZ5)Qw*TqLe0F761%xz2o&Lk9_GbLNxGapse(q5gT3n>4EAFy&;< ze3HYtIXqHk&U}(16f|c($&m`0GoR!r1Z9;~1_^GP0}pgHqN)+%Vue3G-@lCL1k=94_ss(VDho7|EOocYiqrLQt)KC~l&GtPXp z$?{xDu{^AmjexQ0rD#g9JVU?`Q2ZR^$L0cP;b<3{nm^|deX>MTeG-kB%MeyhtXn0P z2G0lZH)rs2@tKIijs~b_GN>dJp-Dv?0V!{>34MxmL?3~^g=4)pparjD#HtuHga&v$ zDZ!B)D0^opX(M~2G|>;vP||!FP=eEG89Z%5@vH}+uFIfkABxH@&?6Z5qBzykkE_NO7H*)k}r=RtOwL)J7*dyl>PP$`wGcPRz)f( zQCry+UeNn2#0+P$Vw$0Y$T~yhrr(Ddjz-V{yF_O=T0-g1 zU65j?>yOmB34bQ0K(Uf~TRX)6Mi`YXrGy8_zK^nU4Bg#b{L~HPV_1 zNnf`l2Yl~&i;$*=H0Sn?HBOi*??-vr#D6G_X8KcevA7KdNY^b5EQfTO|w59V6UTbjHEA{+eSdW z4`eRJuf4t9z^WCLV2Mc3{ZtmUmoFkz8OfdYei=MAV1`nQ+Dp{a_n(BBgf+gJ5E@G_ zpN-`XKz)uq64*YnF|zTGnBG4d`3yIP2Zb^W_LqZi70+8#UC+lAl%|sMt~Hu%A#Hy@ zTi~AaDez|^?{*`p{kR6HQC{TN9@C$yvd^fpBN0_C?w~(ov)x2cE)M!T!Pfxx6NT<( zI)7bFe~SB&@vY*t=?@L1)P`IMdTGc}Z@`~fi{o4<##R%j;3udrBhEOAa{!_S@|=|$ zcP0cr0boC@RZUn2z+bmSD(qxSeyzRTKH23Tw5$zMcXckzf4RqV3K z&#=p%yGR1|VB-J}7HyO}()#Oahp@o+8IPqba8I}A5_doXDY5Sjy16X~TVbm7lNzw~ zygBwh0vW8$2+>M}*o?R#?6}iLw3WHEaaGSCPV^ljb`i1kSGjxL2ebp?7j2JAjmur1 zYSyoV{T-O-)pGwLS)Tce8tE_6fxbuxM*53%qTd3lI5`1^p3jC-gFwZzEi*8x!|NkD z@_kmzC4h&XPXQuAkDEgF7L`W*NkG2ZRxxJa5(sc1mMouu^Z9e)AqC+rgWgq2CLFLf-o7Wpy_FJjIcH2eX> zmkZCb2-R|hguRjp1fDnX9?6LJd`7(IGvd9FCEg2J;%QjLdnrq#m$O8AMZ#Y9&dhGN zX1Co;WyQ~o%b=6sV1{qBzOjK?ALaS6u3B4OlG%8G60a8%s)h$cL|Ha6dn?B zUd=`@oDKul8v0U}%(R|0>n_Qu_8+#%J_ro{GA zMpJ|A+!j|vnHu)Yw9&PHSDst!?Vk8`PvMQOG3+FJa~F^5bw7rtxJFHJtI;<3 zhw5?|m^k$4YKm*b6t6}cO>vEwV#h{mifhCa&j+ME`l&Ju{G6~H4n0+df=A`U6boB> z9(U@h+E33?yN11C92mc7qSS!eo#9sDRmHu!RRJH4k8eR%^$8xs*8)3&&JyF1{lkT~ zN&%;Y;2;6V8aR9xQ;bENzivt;%_ncS7P-XU7<&FnSGYT<*3d`V`v&mQf}EXI5Dj}n zIG(;PWXvz)Ij(9m;;Pp3aSk6R^RXNck>YM6e!<>u^&X_?ei_Z8uU1)!Rn_D6-USu^ zkg2l1Qf1v^T4jBu%6>}-ADq5YWq$^wRn||cEFU}Cw95L)PlmaG^`ksM`ZRo$Yo>tz zCj4u`2W1sp!#b>5U=ppix5E(tBxR!%m|P7dtP3 zoTE#^_HZKG!}x@@hZETz&IiyBiy`j!T>NI2)$f>P*zT%d!nLdWEd+FmLUpTk*tLcX z*?Pxv9?YG}4{l`Lm8*NUjT4E+tca%c7H_h(Ix=TpaeaW&Kplt zd)`E^gEri`e?jtwI+;MSeqYpp33kTV+g+Fj>pharF_M)b>3WVy_DFiRkz5;+UOsP6 zq#WzsZ6vRSq#JohTGnuH0;xjmk0I4nTlYW}V%vYIg>qNF+<=Nj4zc}1s%u^QUzbCa zIKxPGQi(Tr*%KM1JJQJLMZn!Mo@DjEFUFJNUZbcY#ob5bQb-A#e5Ep%+uJ>OUM`jJ z8D!X6@_Be$0}7Vz6rP(7MgU@U{?xHon;r7Bh<--r{leIrifJa{A9ZZVN( z^-?YQo58t>3ow#QwPgbU(5oDuqJH-vAD+nLy#7Rwec^(1DhEh5pZFA5~}0hy_w+&@TL>Q z_!G>luX|14(_DOT9^eK?&+;1_J~Tot>~Tot>~Tot>~UzCbJK$)qSE4rX+e)oHG?Ga!xdVd;(B5H z$VsAvRjD4G0WBde0vCplFORrJiNl6BYc_r5>Qn zCn>nwr6!U1_X>XHQvE38$qIhwQrDs^@lzE1(WQ=MzH1b$^HV<%d#Zvb`>9RL=rjdS z^HV4I0A-XFDLczgeNOBdie2lcwh?=#f*1HHUMv$oOTqPi>TD99t>6Yf6;QF~D7ev2 z^ZT-xQt+;ujx^ z%;5>mZ%Qxr@k=VDPS!_KT<3~kdc0saMpE217{APfD%0+OH6#sVZ!f_-Sb+lj3bV?r z;Rv2t3Ead>EJK+80&|Z8TbXn^=ODJ(8n6pp7{)iy(`dNIVGTd+(%d%g1xhyboIMVy zoIMUHPTFMlIHYp+IHYp+IHYp+IHYp+IHYus1GB~+htxcbOfr}4qlxDc$3sP)SPnIPeIRU)DwXtol6;#W;9?B|;A0S}x*)AWVb>#=0f|-5SkY z1t(NMU5kif$Lzx-4`qm%u@Y0SEqj0?QoNACQxwIsf`IxGs6y;<=nBNYy09o&o(2|F zJ@z4$>~Z+@g+W& z4s3@olho@FE!lrKD7nWWbu6qcIe-#!k3(t_daUF?io!h(sZ$7M_BfssjjDc+Lu%G=&<;OVlD^s@^&-I$3hwHV z>Pv8>f**BAeKQQ;C_9XPW@2Wm!jWvM2_9`vGMPb*86Q5y# zstih0l!zlB{#y&Xo0IltcpQHXn=2tpN^ReizZ|!X(M~2G|_*X zp``gVphTWmX7IEL#j_rOqE8nUhxVbU>;k=>!P5o=k5oS(*7i8Cnp)@XaToF^2+Etd-ya z5+q;#>R1n`?NcRSKU}8W+$$OO!zCwKP#VrEZ6}Mp!+Rj;l{|!I=x%n74(e2zn@R3< z*hEp^(78)T_id#j>l-3>Ddv^ma5REaOi;ezXbHNm^QKHrmho2wj=!*mI?5i0w?(Rs zvd7^anXv3AdmMHNeaDNK+q**3sk4askz{IPpVN`QeeydrE&(Y{Vo_7>#f)H4pK?>M zs2>Uz_3H)8^J!fwSe`GY)7o9Z<=URM-+|ZLXvNiEz2x`gZ#%hq$?vHen?_Nub*>cT zs3jsZW;FOD)wg^{L;?q&_)2wT$qY_m4D!b0l@0rTTq+>Q+UeUTihU8>>`v1T|?DF2M)XE?Xh$eyn! zZ`C9uKf}noqX=g>Ha(P~hkHZXkneU`njPQNc>F`HkI$sNS?m*8Roq{Kbl5P%y4z`_ zmEYC?^1S^q_k26jREl_qN`BiAS0&p*4wY>o9|P{mUZ#a5>TGYf%vH}ud^IQ4v5Vs~ z$~9i{sWOt|>|K(=@-&RUx8yUPN}GwO-qQ5OvzJ&&PY0&tfSH5_vXKz_ zmy4wtzYM6KYzw(WwuMv|VBu^t@;T2K-yF(twf`{qRzF2SxMQID4ocIL^6oO4s0rb& z@UsOTu)72T&vk`{uC@0xi}R6hG?g^C7&MV zwkSKZ!F9~+O6S5{Za1=2q4OR`ZmO9p#Rz60u1Z$UuaqA1T);zQh5ahG1cr#^o}pC6 z;l@K|iLY}FmEaBT!4`KO+JzCuzORAAp7#eb#Eg$-dY)wZb0*XCB-7sT5Tu!2;7A*0 z`jqjiqQPDI>r7=RGzkf$5BI&nqe?({ymN(?`O)^Cfebcfgg9P=I2UnJw01_cl)0Sr z>s)%(unk|P-I&pCwfAFSlHVe%z{^;HKOw3&Z0$0dTCXDK?M?mFS%QtsEkJ5Q1@bLCq$yze2o=cp@LjHI&G!V>z1p_aH%a1wPHy zrF<0gk>_U3GT_NsC!}e~5`5i5L+bn7gd!wE-s#okiB12u0 zMXh1&tll@uU+nF=`%93hq2R+f;yLY~HB_p%v;G!QeTfIe^N8jdZtGCENay22?>Mb&uyc&?&>a99JY!TY(tulB#60r6} zw>k2~p1Y3XY~>Hq{B=BeyM${tmS6!G?Lf3t)3i=yCa=>Hc`*Wl}3HTQQca#lliv{i|d)t;sTGjqi3D@i_!4^{pqUAa!%WyR8)p#8| z?@3T-eJ=C)Ipezj7|t2G<-+D$WH*eB{uwK06%x7A{Tq?)S1P^IXg1qhD`%xJLjO~T zr2kSHui|wG?0TzKH~w6MvSMeHH%#6=S~;(4yHKmWMXPTkj$@YIqE#Gb7p?Xdt#$;Y zTJ0mbA4yoWs_FI5t&ZjRMqWY$wIvo1s_@Eo}lv;g1{HEB1Du4Q~Y+$6-cuU`RXIO(AXlZzECP35LEX zBpd3M<&sIC7ouw^+yr;!o^s{n#iPdNqmbQX_YrBE1J{=d4hh}}39f4>y(e-N+Fp(- zd%Gf60NphdKSWOo$=bNSxn%O2YpS7-3dzb`7AIZYIt=OLvleb}>BF`gk1V&*-`EFW zsje@-Jn=}aMcS?xuK8mJi|%?cKzF?ukhAMWH@dup{J7DDi#uKu@b>-VzUjCgUY zoS)-XIX}m(a`s-9{|iSQ1+m!N0!(NIU!Y%z&6^`N=@lN0CGMCxn237*@i70`f{!V0 zEbloC!t7>RIeOL~$z>~=IrbPE!tK4r(V*vMfJIMX`lZe_+b_BhZ&&mVi2Nc>P(_PY;E8*XUUO*r9jWvtvhyWT^DMKH zoi9ald1sMc-l^U_665l)d@JXo6XDL6fID9j;m((UKYbH2ot4@7l9)uMO?Br>VxR6{ zQOKPyi75fID9jnVl~IcfKStJ6{6sd`V<>z69L)lF00Q3Apnmk=gkYaOX=Rv-2h3&X+`H z=S#qyFNw^~mw-E85}BPZ!9SslL}uqpz@0CN6}RG<@$QjWIUj|~c=t%GVp%iZJrc+N zRzUOak;v?P3A!`i%+8m9J6{qf&XLyosSCLCC2^91yInAe#F?Eh0e8M6WL_NW$S$}R zsS=r;F9CPHBr-c+f***@?0gBh^Cgkl`4VvFOCq!LCE(7NL}uqpz@0CN%+8m9J6{rK zsZ{IzfID9jnVl~IcfKStJ6{6sd`V<>z69L)l31&0uk&GQM|+9$_LlPA-6e75B@kTO*v3&n zqm7A6kHl5f0~;A<0}@v&q%J)Yn-o%)9*NBgsY{Q5;fuakT-ryClNxE&+eKCc^D50k^v(!tE~FYQpUn^r)bx%41P zbds~(C23$8H5t<7l#FBiL_IOUxa6Mc+tIdN9I%(Gol(Mbq(&7V&=iyrRDY z+o-4~!udrb@I()LRVnmcwga4E6$o}ocA5ij6e-zROX&sN;**qH?df%5M+ZP%_~R4~ z{|o0WI@(KiRj9b|=w)8An*t>it;aHoR*0;;q5*h1ujxW2cdqNxzy#MLOV^EN%eovv zs9d|OXz(ovU3bOBg1f2!^h?1>U90yBx(`ad4 z+p~zwkI1imcOI~97A#uA7=~MS@PUnq2fj8qZXAoSoLZDvgm&Fp=Imk-7O~yYC_zGk zsEWp5-?ksQcQWp~Gu%66aqpbr-Z_hV=Pd4>>$!JP?rjez--Wiey(LLWHAZB51%nS@ zDO2mYj9;YT8pd1rWm@E6qLkKCNpqOgVLPd&BOLjZoJN`>9gVmhDsr)KDY=N&YvH4r zQp4@we|d>gh(#}zY0i?lSO+t!tqit2dOnO|E2U$VA6)+{xM>;LXx+AUj$uk!7d3o_8^Txwh(u5h(6}Q(N?ZG6;d5uL^4Y%AW5suVo12hJ)|*9m+|Z#F!8Jn`Cmqw! z)v|q}+Ad{Pg=d-zFj>?3NSeU&j!mGAG=b;E^jP&TN*%UCBe0OPf|nedL5Vbjmz6?{ zLBl1|(O5hh#Vf+CL|VnG($Prg`=E8KxNbWthR`D@|9U! z8n%dbK6{mQfVD-m_t~|)PFPw*d-<64Eel&j2S2w(lnTA}oMkf8UGjUXLc`spC1{u} zgX-ug9JM8MlJG2W*Ot&(;BKPKz5K8x^kGZrBB(bg$lEM!SAiqTc6uex67D8j4Eo6B z3EicT*LTEeOx9XFP)+Pa`*4H&i?Nxc;&NKSIy@?ucEUW_^#~2^gjR7o0+D4WyPgj) zz?Sm_0)GXn`XvO~bjEVPy9o6BOz`VhR(*>=RRlI*O=qFyw%p!WW_W}-?y2dtO{!!) z?d^v8ACtDe>GYs^hUh~45OlSE3ZJzs5^01-8;1)*PUrZ&_dwR9P&2HwHx_FS$R(2u zLv#%@Jjp*HmqapLU>wd4IUVX>vj?&eeNRYsxc~GX$flaHo?ve`!v8XtOxkIP-Vlq_z3TNr~W4jT}DAF?DhPqpghR_w`W6-b<{u`pYE)bBzpZ0rUX@d&?$&z-o zq;6v*JD;|fGMgK1nDa7twmz&}V)(gfhY!uoGPKc;#w`(!d};c(N~UV+TP0jF3>}8M zO~PK=8nXSPHe*+TA-9gr{^-|_%^nof=Gg2(X}LN!dr(@Tj?EsFh9TXTjt3hj!&UNpL(R z7-qvb{1U9o5`>Rh>wpy$3aQ=82EaFkDG>Of8BK#V4 zLEqQ`nIH|TyDMQCVSger{Sk0iDCE-!6Rs!+)?u?HU{armxsEO}hW=EzJqcL)x4#Q` zM+HDs?&qR{)>Y{3#IklvV)k}@SdQOlN|&g(A2_b(zGen-~T9p9aE0Pf4kL+Hl+ zW&O*!&gj02RS$wu-ck_CS2eW`_hC9?+1uWN{$KCsDGJ`N$E3 zbA(+Fwyf^Pb1A!xzR_;Q>|+sMxf2o9mm_p^HTu{s2yjeQeLDhQA*T8P1WxaTuJs87 zqz5{*t%_N-7g*g9!H>P{F0VJoC^Id$h4yy$MzoXV&_o6)kWBij-#1leciY=7jP9Gu zum@XPc{3$j$~+H>w#8VjVlm72eb^q6+a6}Jv&kwAZJ z;e)L#yIGcb(JG8)D}F?L#cn>{=i_xgp5^0VJSuCt;6oEb(~KPKP+tW4BJd6Z19?4sZLtcWm1e+NuySi6cTj=#pE4<9DA!Vx&n=AbuDvX>-lwAa zwl`O{ty@?bS4Ao|v088C;}Se7cOsMO`w;5V4?;bLzyt=KL*PUPUPoYXf2Y>TKKc;j z<#mO0v!V}CCCyp<1RowBUxBRRBRnc=KvY$VxL%x3SZYa63B`HL-@xQ6fU8){$8W0bItsLJbPIMyRPcVI3bwL}d3?;|Veu*q7LUO)JTZgZ&RlNbHI7+w2t}b$>?XyQ zXGp;x214cyA&=Kg(l6}oPW*BYR3&Y+JOk|QPHsu1)$@|vrWv-R9J!sw`y;X_2COvf z)Ap_&iK1;l(W)mS@H_&-q|VS&$mBvgl*nQ-lp^2OfRS6DMWJT59`%*9MzN8MrbR2! zbymEJ_=>0bc$kkn`M3d(%EInY|N96@Ew^c<#qD8lHy}cn7+KmK#5tA_U5CW3d!%A0 zYbVWzk4NP$L|0EoC^irkJO_cQBluX3M>TJxDj0;qpM$`Y3|xx9X9!eOBT&(qk5+h8 zjmJY;d0(r{njXmRX-AhSSrUohCz`+)g@Rw^9wu3RMG2>!hF(ifn;cz8WswM{Z;hlV zw2SLpk{Yb%G>CPvAv8g9`jg8-vm%7k6;==1?d`scOed!X9n_W9qF%JO+dF!EE}4k_ zi#6=s%y4X!n@_ViNk2W@)}UwG+f_!hNHCc(27{OU7`K?FInl9qwo8b;b#eT5jx*fe z*)AdW&R!hf`zRz#wdXh3Iq#fa_~v_65XtVZ=!4%jTozwyBJ`iA26fs<5OP`kKer9r zviN^)8`fp<|6krVENf2OfF|=ZJY1Cqpe`MB1=?SLwifSnI@$>@&s_!d|A=>oM9pPn z{ja<`B*r}t^#A!9H<_Auhr~YL0}$^Hi75=q8aLU-QAAUKKN|&2?E4mzg=^eJ`QiH8 z90rk$M|Tr*ze5+pmtU!Tn@sU+# z4M*0vnU%m;s{{`k6H(QzXH^(71T;rA-ED{@<0v9fKABE;*%iTqw{Qq*fn_2(c zmb=BfL*n)mg{OFTNNm%(sI%p6qC`Cnmb*_zu?wR?%eR1Uybnhx|5ulut9OTFnTPR= zcy~y4yU6+7FZm__5HiFbWy*nh!=~jWu;Pmd0?0&aEdV@u>;#Gn4 z28(2+LVANmvWgxQ;N3y?v0CcZWY_@DxSy6az`QOQ$fJ+N)^-YOZdCxW*^rM}ccR5b1rK zv%o@Is{DJU>M@X1|H8XNs%Lv(&AUUYSKAc6Gx$WLdb6=niBEI@t3HgU66vET)mJ|s zdV@u(AKM|kI|Q#oj8y*~pv>H0ks3e=GdEbI22vDycL?a+AvK7_LATl=pm&GVUPl^)2jq) zH&~=*{6;{#!6G&5WC864i_`&|1+*J1QU_{Ac7sLgAfCmKl-Ugyse=`?8!S?XC}=lW zq-qtk8!S?@-;uO-gGFkN>e+6vNX=EyZm>wrv-0W<7ODAPOIo|ZBDFyKe!IaUb*NRh z-e8exaDxRcQu->p!Gd-qa0BlSbmP!c9%P4BHVVd?ALCSkHdDHBPy&X4;^!bgHXlH- zqg^PH6Vr%ZBhgf!L?h;Mgq0KPR*9v-^8x&=89WQG_%ne4>bVRm4MiwQ#1WA4hTa`c z1+V&TsG;q`j{Y2F4rjTx4~J&UHi32{kvc_aa$C( z0={g(oG7td?CB+(heb$%BVOx5H?DcqIgYzH~R>jeOD$ic*3rWLd5Wv zkNrX4w*Honw%NCQ6cMUGC9_3X&2NKX5>DSZXbiL-21i*jBu7ye)M3v46r8 zO8-P^--}Zta<3l+!zCin77ZTk30OQvV0*}fnL|1m=gT5+yO#!C& zAcT%W8qw1OmgZG^yX!wCbpt(lr7Byoz1`{}E?(4ARkQhZF>D?4U&9M5Dxc1%dZZy| zhb&H-mdirK*=pEVL#k_6?tv=Aeiu?*ebpYQLTs@q)?K<|4^$zxe@J!SXM3Ouu`@%e zE1FQf^$HN_L+tX9>LTuE&7u->3b7Z4ROe(-U;>;b7vi>sG|y+#^f`=;?OnZCe!G#h z?WA>dFRJ!zteEwc=JRfp2J|K3`aNAOiP$v{-W#wS@P98#cs=0$Vqot_OYtq@hLi!v z(5~N)%9mqZ%|MFvndO!2sCl^$qpguumTW>+X6Vr&*=JF%;WZ@NYUrII*^kjhxnzTw z>25<8TLInI(JW40=SQfF4XTV1qnT%K^geH9bg@Bn@h-~R7w$?nL^&9U*5JJCyx$&; zdn7~?UZ2~^?sjFj)Yv5V(((=jbrL^ERJU0Chi;ESoa**=;c!1;(e1VVKPnNhVU?JCP_yS?2#(PdaO&nl{ry;+>3`VwYOzPD}GdcU94;sEs4A+N^fHODyyS z#OY_?y<7`@FULavX{?HkmHXIr0H>^?OQC8FT^Ex5;Kt^XNujPZ^p241%bY?DSx$>w z?7N+Y4|-?;rj2&q6`2AomXYY$i1UUV$YNjTyF#O!RiP;!0d^IBRA`Z}lN4oRoHrap z@*8AZ=W0MAd#NQIW^Z@1A4STnB93ST`=4#-UF;X{@OzS^81cOdD>2aOh|uHUXhyou zh_{A3@APNp@)UhUP1-VhyLBOQ-ApskZOUaUxwoj)@^!Yi8|t{DEGu`Z>`FsV4#|eOf8>@$K7ywj zx}*vjj>|DpHJW>kU{}azy+42@sqcj(y8;uu#@_Bszc!al>|lkVFA2%6%&~($n`sAA z)eg2B&22rkz&*w}Z$?R2p;N^)&PE)+y;J2U-phcrsqb%1{Sf^a?Qczeipcu9G5$Uj zVx~)iZ^I&uwR{)a+bwXta>=AfryBa!knE5gEe=W1Mk9G{yWz)GYjN6-civB#;zZ;d zyc%&VPDI8AcL3^7Cm@YvB;l_+r2m2}=wK<#*T%NFv31G3*Y+S~i0&7XrE&%eeO}=} zp{ouMrx?w>eN@s(V}aXq3ssMu%JYO1k^r0ys`6Dwr% z@_k$;czm>$8Fbxox&T-ja_UsEU3J7Jd3& zVH?>NVH^1wacs@oB5WgdlfyQ$Ey6Z(Ctz(OcSP7m4&Dc_{KUE=!k<`M0Bak$E23>= z<4SE5cSo2L+RVxUFQTmOZlT97hoS#qBe_S|y@ljM7qW5O6S)-R6Q=-X1!Jf0BxH9V z;cF*c#7{Jn?(tWjrJ?-rtj0^->mJy7ZWT@ZRdm-?u*By}_$V(fP zhP^R!jB1I!FUn9qn?;Rl5%Kjb5jE_MIm)O`uy-5=p~ZhIi(13pn4661 z9((u8P`{H!tzmD>(?<20z2|4B-_4@duqR*4*4Ac*+S?W7{P>o3N0XHe0=|z$3bv0v zQ)#TzwE0c3q>;TkwD z zogG<~OCsf)Jy=Dmv$wl2vMDz+A^V%5lcpPYMdZmnkX0Ib|B!5D%J*7WH3e6_xjM6kXgz3?#u-fKR@CG>cqACJk(#~jC5|a;E(+M&u^tPdQ(JI>eqe-HZLcMKLX!tD1qL#MT zXf@j@_I4%ykxWoOevvlwn4v!k$%gnB?+xMRkW8Zw3g*Sc|;+8XR2jgDALx)7ikJnr` z+S^^6Gl}4h7>MNgTsKkm2@_@$K%r z2F2lsv)ovZx3}Bu+LL{~a-`umnV=HX*xTKdE2d=mgYoDXW?AJQp36)6ncjxp%F@jD z&&eebPLqseX~=1Wf5#rk!m_;;lFjhn+ymKs6Z-T}_>O)A?INp;lIi<~j!)FGx)y%7 zTryEb+Rzmt+0>jqP&LHIgO};UV?8BkyKuvyK7%%;}pE&t@06}UQ6)#igP2%qHQbrhu9@!)ypYSvg^yBgK5W{owtnl(1oT`B3;yZ9ei>Tw2dbn$x# zk2m-_7vD}8USx&d+*kf@oYVP0Yt62XDAT5Ta?kHpL1lXh; zpIC)T3C1HFpLDvg_ktvhF+TYMfnsovmVXzFO*oDLv2f&po=E`oKu@A06(I+D5}g>t z9`n-sfc_sj&=b_WPS*eGKu<8PF-%9cLI>mLSdr+oGMJ!HRGd}@6BUBbwpU<0!6Y)Z z13ke${lP*G^aN8FM1^=svK@Za?t$ z?Lbd3Pcv4hl|hcv%3y)w76$8(A_$#U%7LCB$7yA-Xtrda2YQ0TG~Kb{v@$qcq2;nU zJ~)ycbm+7)SVA1zj5w_fmMVC%6Q`9yuG7jO*J)*t>$Eb+by^wZI;{+HomK|9PAh|4 zre? z!wMAG4)n0ftl`K^9J3M_2YRkTnEwLvKo47)^fz}SHs?T3uwg&o^gvH=n;efu7*@?ZQ(I^aR_qF6!(+4^g6? zoC7_<9gm>cxFNJ<8+2j+wgWw>vc17h4)mltQeZjIlaij@4)mnD-oj3k*R`g)J&7Q7 z&jUTF@(%>k13jtk-wLD$dQufFFx2qN^gvIlQXxIigG(|6rw4jcSqFL^1&5X#*tA^4 z2SJz!3!ERL5V}{Mxe89GfZBe0ZQI z-B&*!dY~uWkL@r#(39?e1Sm5HdeQ?ZVdg+jdLTu~9Oy~s9_UFAX6fL4yFUdlg>HNldhruGY5Lo!<3U9=t&R1LXz5np7aO>cXbH*4o5yC6|@6A=}`*W zfu8i}2em+YpeH@%WdZF#PkQV}0@{I|^f(3WKu>zSf_9)MJwZV`(3761ob5nQdXj>6 zpeH>!mS&0UKu>xKFFnj0=t=LZtn5Hfdg^|H-B}v&y4Ljm3fh65^mM(S)eiKeXYlUL zNSPhzNzZyjKs(TrKHx6`+JT<*ftryW=t&>+vtaE&Px@d5?LbfZ5C!c(Pr6n?JJ6G! z-L8Q7+JT<*9M!WO=t<92&<^yZ=UI96Ku>ypZ%JzhdeRHD@3#Xz>D&W7>4jUwj$Rz0 zoi;5}`YJonLpu_bC`?h z$0VBSlW4@eiLi2F-72v(cs_vtdj`+KE1n$j9|0Wl*#a zMP(N#idZc=Z9woy)e&Ji(34)oYHGp*J>6Wvchei=Gr$u)1>ZBs9_;nbfPPRYCg*z! z{;80j=qdP7AwAJk@Y4cGS0ZP43Vv2-e>vY%@CzZFXLE5L=_&A>!uPv45A_tl4F`%8 zDckMhKM>aQC6Tg!xHu2?6hsXFg^TlOPeGpJXFF2%rHk`$PeH!nzjkpR?+3*f-;Kf3q=!b!(r4F=PG{Or8|r`&9TFYx2v6Q4Hz7yI!^m}Dp@ zFnGNmznXAk<9~@Czl711l zKmKR(Z*A~Ze*87kw>SE${rHK@uf*`1{rFO*FE#iYKVD9JnZeik@mtBSqrqGJ_%Onq zjo)=P$YbqDOSdCX)TY3_blbz45SAlR({BVAe26{gZpI|kBW-6L#Bej&qVe^sKN7`O z8F4Rx-i|~u!j42q^Kawsfx;stGG7pJUJ(vI(cyHYL?{nn`VvyM2h)T2?FyY)mxC00 zU6ntKba(# zQ<8R& z`JoErH-^`7ENRkFu^{&Xh&ss$uyk}08blYF zH*6AR`mWevX|C>)7XbbX{>}J0UIb&{fv-78=h98lRmsNBVmN^j;r-Pt?aZBl%ZFO`hW3uET%i7^wOr=(oKK}JmP*^BNn+Ka`9x$?>VM8s%(Zqg;^_5wG1uE&pnB6l@rfo4X;S#> zDsO}M@*Mm)PDK}Lx%Zl+vY*#*7t*gm4yEYt_flg&>_}vJItc2C_k*BPvk&b*K=h!j z4%W1%*{mK3%=k0n)fg6 zq|6TiNtr)I?f-fZeJS&ARBUpBh{eX-mUuo+)1V*0DwJJqVb2Z@qWYHsRioTJJ)!ch zV+b)6(GH7Ppv=`)#0%#KMKJ0E<^FC_Z{86^vDTJ*z(m!$y?MC-SfR2zTUeg?xdE7E zkFv0qrfu_p4LDQFuCcIAW<(G+fJ`+@*~wloLKibL2;o9*QpodG(!0xoD9+DvKewp3 zd9VSPWoI0uwg{Q`8h}}LI}3}LFxHRjSF~mKwXn}SHGrC=+|w=UAJq+@EcX(N`uU6o zP#cuH)1rP`8$_`&EcZi;$}u~FFt)5^|7l@+zGwhtxh)S?ll{;LU#QeKh2>UQ)F(ZI zC|1mJ53#6b=BNf>mOb0T8kzGNfLZp%7FK5N2*L)?Tw|58E2)k3FmDi~2Jr*eA!5)> zT>Xj;j!!wLL9SIW1~vl!8kEO{_(~z(A!?9bCLdot)VD|*W%skNZ$>tN8minHi~8f_ z22hr}(4u~)16b?lXt`@F>Z^wvKw0iX7WMT9K@=BqyK+CWs39g3187>7472RNEUb^| z+5pV5Tj_Xg2AP9{FuspiwjH)jf0LG>Q+NyAH5coo&m{k$%W1NhQ6sueFzaw>QK7xrD!9Rn<@b`lCkGg3qGo0(b$WF&Pu zexs?r`1MkQ@f%Au!Obl06cu6EFqfH4C`QrQG#R;^C$PnsD9TmQ-yy~<<4IBxopxoG z%XNg%EBLyYj}LA5AJgx@d)qeN%AJ0T($_vBhX0F5G#A zgT^2{6%m6D!No1aIhHxiho6X$w#n7R;dI3X*9wsR_6XZ?PEePR`lBJLe4b56JsR7u7n%Qm* z&D~~U1F(I{&O1Vfpt!rf0obe~g}qiov)?@50L-!BO(Qhy zQKUz;3>u}{pszVvR9H9-U(V*+hF#6$$ODKQ%@f0F?t*`U?!`01YTk%8@;JCc=Po*t z`2*-d@d6c`q+T@HltE^B4j!kjmr4io(~Spx3symdnhf@p9Y@d34Imq(|N# ziNc=B%uHGi9W)b7j(5FBGN-^$Q;6oB#X{c+dMNLD_n41CZw&8x7cz1JDuH)n#xf~e z`np+aA)ZOKFaUh1v;-%=lxW@wFLe2d5YL;4vodEQdv{*ZffrHnhGmYgkrHv6J4H>i z(wZolNqeHws;%mFWo^-L6!=B_30H0=Jy#X2H;^mWPSp#FdPl=Ee00k+v|Kyf&nBuq z>!>2TWv-^|>-rf(4pqoLf|O)F8ia5`ZdAxN4NcF?j|o<0Sw*B2R%$fS+0X%Nov8HG4gM55`Gd2j=;>y`bKg-ytuAB6D;o1x9Dnld~nGc7Y& zB)k)8xS4uR&s}umUzWkmv|7`>F+;St8TC%9u(FqRSq3%JgHMuXx-5g5>2*Y*nJ&wq zX8H>#X{O6FsF@yevTde9e+gQe=@ra(=k=FnD&&Y$FmQ4+y)uKEr8Lp2_<9+5(nPQ3 zr0)~WO;qTbQ*9HyCWD$Ne7GBFqn~8-K2D0mmcB^Kc&~Jw#sui;nV zOB=l{qppq0wNv$*qGHn|mliOnpf{$WVzCJ5nz ze5#O)>Dpvo%eatW_1fqpWuIwb?`Ldk0Jcln-&xqF8M_;Rl^!pd)o5t8X8hg&>=$Jh zYC|&LWfY(trRl~UqnEPxP$_T97!ibUQy#03WIsTD$Vijm^fWY2{X=G?dlYxf38LUf zXb2LGWY`vE@3F9^q4FS%4f2JuE2*?CLWc$+d>iVn1w33slL*{~hM1A4 z53@eP9lAzAy-pG>cR=muU^TJI`UrLC-w`*Oz45G%&`o&wY|#8U<1G>Dg_naCJ8g(i zr+x#p*y*+iZ_!v$DIN7ThW9vK>~tFoTMUW~aJg!7msR`DNKYtI-%i_<{jr5@jvUnh zZ0U(op3NGX9g*{bFgE8FWzQmWJ{7q&2w`)^)G}onnujB4I^o4O`DE_>9D&NPm=fbvppPrV9S*J7Z3~TFYd?Q{7T5i)oi2XZ}6&Fv{_#qeA0GELAgu@7v?fw1cA1-dQ+yXDgLJ=rR16=}gZ0dhmwwXQneV zsSxhGyfOTh=?R%t&}_un#u?1__-`@~ydUk*WJiXaBIV`^tyN>(Yz=pEW+uL5Ni$y6 z1IpU2VLA9RGMVxT(yC@DYoUgf;EOF5 zq}f8QovJO0dQwCBOhV8XrlIB9=}XTS8K;Wnay2w>WnN7x)LE};xw5X)aECAS(lnuR z?NrT}B?9Ma_)j0bA`LCqPSxj%+OJ_-v?x*dnl!Xr%YaaPn#iA^q4_Oy1QG>ormTe; z&hdq=NfRp9@+iJek+Z1g|HxcfPv8s6eoMnAeSz1f36yKB{z1r49vC-d@=I&D2;woL znfCvI8kz?KQ!K2QaNjl+)boaBn01P~VXhQ;Ci>Ph*vKWL@KByseTO*d%ENhnGj6^e zWk+PlbJKVkWk>LIsM`V=Wk;}siDjVVHlsPcXEht0ac#GNQ5p8DvU5)th0OSj?Lin1 zpQkH(7g=*!#@--=CEcQsomSE*83~jutrl5Q&K$`IwFKWR8Qp>qmUOm4)@o?Z$e7ds z>^o)WsV&W+84DYLS$4IB)n=>@!nh{SRJN@dQxlwFyhkf!Z^*rLonn8SCuJG45H;4_ z*5Vs{FFg-&axZ;@Z-3tr&G*tb`R3T~99zq8^S$(Z&~h*RAVRWeDN3sO1IB+3K0O|P zz|w{+LTWxmZBGQf(YXXP+wL`0?R#6=k0V!<1!{p|zbM-~L#zFp$iqPxSC(a$Qe`<& z`XVQ-K3URPD&Ynz>8A*Nky9U5qo!J}p@~I11z|kLTczw8$}l^cCPf}sjzAiIT!AZx zwsb2xTh=3-Go>6aoh9LCu*Vg6%_{xsY+jETRf`&E8R0}UyLk?@^s@!LKGEk~TLTM2 zGMlNE3RuXqzN^8e3RuXqz7IjMiTZ2K%Sq!)Lw^MeRrQRr-_@`XO36razMWc1#pS8y z)f(cH=&``2S%9-UOxfEgyPHC%1R>m#Z&Jv!R?=00X<^SvWVbzHw_S=EJ74V9X|Zuu zms`8-kr%m$6FYsxcKQ^wwA7Dn5yVa(vz=y~CwBUn?Q}mVX>poU)3de3eHrSEjMB=- zu-lZq%fkK$O$@@=3~woWADO{~=LR8chPGOy5gOu1z_iSqo^dimj&$g1#SB~|%KZVY zi!pLb^U2{3z2O*acsiF8;azGMpmFhZ?tlml`A-ndD}x7chh4A)M&k$C zrV)}%-Fqmnel_Fn9a?eQq9XQ>(~R+A@a8-;^u7=kzz?`WzW}<3edY))^E$bf2i@Z% zeHKVbWWsh%WX(dM*~k}baqqIGX&HG4ZtQq2*epcUHf=fvAOpBE66xZGys!GgT^V7C|OP4i7@OAeSoSP7TfBky?V) zo4b9l>?UdiGbOSi2&<}4)^H7XgD)lMlvV<{mIi&MBCTc3jL7fxgl<;IV;XkC+X@ld zElsFgJ5|YZq>5T=c$kkaPeaSKQ&p*`Dh-$T=ssy^xfX?IC~~=mW_IK*BuX<@)q~2~ zq2W8e&;e;e<=UxwUs3ci>!?cS1Rk$=(Xi_!6? zwdO?hjQl-l>AZt^ZDIT+cJv(T^8Q8tK0nl@XY9wpr`ya>{>1xdP%;z@$6-T+cY#sxuY9^&08$`T%)0R+nv<_ z?6C6$cD9CaqPVgF*hXc)VPWgt$Ad67!q3WHN9up%e%Jt{&-s$!E`qFe!+2&*D{*8t42-3ugBbG$pZ0hnbMSlA4AZ3D0(WskP76WkpQ zz%2U&3p>gEvH_T7FSf8#+!P)e(u~0+wd|WM>{Pd+0oZNIe%8WHbB}ERX4zj_*y-+) z24I%mXNlTvu6tJy#uYVC*`-ubXSwvmQ(yhL3c1co`pW&O0oX=mKWJg+xot37)R$)2 zyDjV?cX$xS^O=|qIz<|q7XmLYd)`B%KvP-0FICK+8a{+!!oz4F165Og%={K{quGyU z(~KK2l(fGbLkn*RZO+T)r-0_lI#h+6VKZ)-vA3Rnv>Ws}Wxr)%{W8kU0VwW%FfmfK zrBUk(@lNP0l`42y!}ZAPc3;0Qcuap6aiZTBVbZVhGOOP|c*E+Epjp3;DrAI)=9};Z zkdsWUxdM5OM&B0uJ<9q$OL1(!M_IqWeElA0 z{YEWU{UR!)gNEjbaC^wAuix3qzR1Ep3-QCCsoxc>U(Xe)-y16IN1O3g;U^o=Z;a-3xQ6EbfPUj2rulS9)GSy2;7dif z6Om6(T#D^Vcw6fWh@-usCD9vEvqe{6{jnLIElp`uR#z}LW_R0`UbkrIDiU87-Rshe z*$mJBxuN33wMrlj& zI8bBBL1|02y}P1%EIBB(R3#VdIu-l4h9*Dyd9YXvv+TVV)-KuznWvSWVV0e#N}7Tw zeX3R;X4zdWtT1|X5XR%8WuIqZ?E}jO6V9b^v3tmvg+uKouk$b*zzA6&%#jYfw^)gtz9G@6ydyH-gv zF)fW;Ynq8^X(nnKtUjIxLG0hC=l6JXX`REaQ&U;r8|R}9cq45qf%{hs+dZ$6R#F_M z$K8iO4gC!*&2$daH&}2~v~U=1unQ6kISdR|9#i+6clO9Od3i{!qmJ9uE9D9 zwZJBqON(5NUSp03?--5>zZUW3%kVRB4`K)1f~zgS54r~zeOKb*Fi9$FrQmf-z!A$3=Pec(D}mxt*L7EGJ!18a6R}VMnlMmL-6BN9joytXt!(`8Sp*pyict~k7TsCO2MXCtkOM|(Zxt8QETcT;8zegrFRZt=Rrg0na>MFZ6rGn8Yan;HjUnO(F_sXLY z1SUETnugrS%`pVw$P*lySNE34jiBs=Fk)}$DccDl#CB?f?q`8vf zT_}>Pq<9yKv`|vK3q@KgDc*%5t&|k+LXkE~ig%$%TP4N2P$W-D@h%i;htmg0N%1Ze zDKz&nDc*%5LGMD5pm(82(7RBio_C>0J?}!1?uPy06`W_hXJME~FZN`_AFK996-4TJ z7mAEB7m?u$)Vok*w9@KbC^ANA^)3_{tF(F-iX6!MH}QqK@up%iCo+yVQQ#AGN?ZncL6<>Z z6$x#;w<)}E8*ltiAabV6oa1=abO{kog2YCk2U`!toebIDgm)0zcnhL$OL>!%(1x8p zcvI*S$#UQ_$eT)5bJb;#H%-(KmqFffLQRVoL54S7C~+C&9gpWEq&q2R%IV!5FW@rB zo0-QMh|3`F1W6|@gS-=k5|=?d?tXj$G8A=PD&ccGB#GRO-fHUG{u$ujn(53{mqA{d%ODyDsD`*>sLLR#K&JI; za;h@zIJ^{3SuqWlK{dFhf2PT05cM)1UFIS7fF%(BS0gyjqdHjI7(8(q>i^ zv3UY6gS-b8qu7nYvD|mSPmV{aP4X1CEZyc2-CVH=RY7at3&#aH3-_vPgD^jhrMO zg`G@03Omu65(Cwhrb|iooRd5fdBcq@Y38^tGE9^ef@qut_20}-^k|pBaEp;LQBG}+ z>halpfO=BA^_-LFC8MZ#&Pnv9=4d_VBr3WC>3hye^dSv>&pC;{qzXnCISM-w^qi9j zdd^7%J?A6_4q?gaIVa(J&dGsE5|w0k-*Zl4Fc}L+L+8g29|HA<~ z)pJhbc&%2nkXVy%t@0F2wni9@F?szN>3KiyArs*M6G(L(%lna%B z>z-N+$gVv``fA8(xgGhsGpT}FrX3^QBIpQZ8zBL4LN$DOBwtb!X@yd(0@WhuWaXbo z&{~|VuxL(@Cka}Z%kiY@F6XDSkZFl^N6}(=ofgZv`L!_5L;uh~ZrC9+0Z0)#kdU}M z1A&@~QShVNf!o41D&&6Tg| zYBa&A*GR&rvBt9?={WhEaxSMlbG32u;nHC)m*WXg?WSUlb{>;M*BGZ@+YlVIfIJHM zGokbN8LeCa-Z<%!pyQ>bq--o|N@csnva2&zK z&&+0pmaQI)%pK=g04C8IE;qJ0lx8KO#M=Cj^a#izwv9X$t+Te_r=nv)I$decI?nGj zl`6II8j*e*xJw~jnsQTa%F!E1r3j_?fvYP|!OpdkXaWFqF1M)k1F3WoqKf&2;t$jr zUjf;f{JQ)|7HoMF&c&wTWt|GzsG-R;eDkTQb2 zrV@U%l73G^IGvKD{B!s?Q50^c;XEiZ*H`>GQv7a2Ii2Pa{(V!bxT7?D1y+?=e3eoB>-pQ9YpcPjG^}RETX#e$D zKBYSj)B4%P(6pkm$5SZgohtlk4b5XcAiCQpV3ogxpL1#a=t;xtE=4%QpxHJ)1-uc| z?M6P@oH9ZrRlyD#nyuaH5Kh-tr0y_dN|kNb86QFxW3ebHEo2zCkbQ{D$LKbU-DLN< z1#equ)07!usC=OnwTpU9AaNs=-gZtYjq9^jbSddL#{5M^8AeL>p`Q}clyP?}u9k2U zO-JNjAJ>~3f#T*|C#E-toAg$YpquBBNX!$Xq*L)%XUOY43o%&u%|_$GUpAGk53TWL zsw??Ew8opMuH^gB8gHh$lJ7%nyxHnX-su9ub;e=Okgn9;2oumsZKP`K`+@(Tm0IIHjVIjyH&<$DBJHo#(&=av(TE@JqXlIlj5PFcALWPpBt6_m z<>4N_GQkW*!{1^#AQkpn(HrT;Jh^SmliS8JxlM&l_c_EGgbw92UQQ!R;}-aJogAKs zx;1=T3Yi;#!XN@p4PVl{&#j?(UlW~(<`{B39Fq0>kfBx91KMNE40R&Z0aG9~)QQI5 zCQegRO*wsPZ2w)N{dh6Px3(A{noXaEG4E3<;UkD@PC6c;m|ZkfeV%&-qH-_952nB; zkhBV!?TD$v+dKP^p<8Y+PRq8r5Z8xHy#r*c zH6**rUqF%lDSg}9jMIh`%+oAKYG_8ME7{f*Q<6tn%gqXTRm006qYPCg z<$jd3d6=*kic|AQIxm2k36R~0WUpq~NtL-$!(B-Et1o*s z$@cEBvR9MriA2(hykYWMkW`RsC9CmVkhKK-1RP|xfihdlnXS`IUed6|oyIv1eOs)y zlro!+C@Bap<6cB0XU614kd)cQ>E+@jTrSrr%4#lWwM(-&^hUASex!WG&uT7ZRkG1$ zHJ8+#M{-KAVpD6@%(l5$O< z%&sMpGh^}-kd)c9^vw8$MAuEotf^T*nLTgzX$JOoIThUBkWovXPCif5$!ifM`94qi z{sb}~pBp2je{PJtyY$bExevwp?>aXo@Mah1#ypF>{5QJ| zoEviy?B~DP#kny;uk+815xVZ&7@_se?*GQQF@NX$cmB({F=P@wH%6>u&y5k|{Oh?f z|4nap@oIA=hGH+5d+QbG0$z(MYEwU9H1Jx|Wi+Q0&&6KbThUA7?@)AJ8(h-D{kKHr z3l)yvO;kIfqVaP{R)J87xIXK*_%ZFyZ6rV(e#q~KYmmV3Dx(`@$pxK;1$7mHC@7> zPJ+bVf`41w$*6$%gtHK%@15h5H(_k#S0?c(bV-Yhd{hlTsQ%}Vs^Ry}^^dA~oHO|U z<)|8JE&hAw`23epIRCwKd;yi!fA1V$_&$^Wb5zYV8mV|05vG&(&i~1yYUo{OeC0Q6 zSAFjsUrl}6fA1V$6UHq%RM5atH7n2&sD`*>=zC|XK&JJ*GgX;(9DeUiSuu_G&VS;X z{+Xut&eY5Jy>k;}8F=p;U%MGRdsI!9XS>_FO-N6}-v6zmYA9>_-ueIds2YCn9KWwM z%jEaY@%yDNYW2M{vDiF;_s;PLXu}QO@#dZd{(sqf=d6OY5XbMG<)|8d@0?}dJ7;%% zh;sWk@13(dQ{qP9?5^(->fgL~&Mu?ZC;HwwyT`VKym!tnr#46R_-sDbk9tzPeeayz zOGZ(9Vhc4#``$UbVi%D9d*|#vq@n-bIlC{Z;=gzP51!bvhb8~Zi7oWrIlGeV?!R~b zmlIpuEaLhnwg~B;*dnBVVvCUei7f@3_Gf!yOJ62y<-K$Er~{eQ_s-d)h1B=X*<*y% z_s-d4gmEB$ll2owKViWOAFlcg~(5qV&CU_QZ#nyUU)~ zBBZ`|&YtutbN9%5=j=oOU{c>ZXCK}%n~bCHowJV|$)vt_&OS;q()Z5UM^9m{zIV<( zMo4|{oPDg2{)sI@>U-zx$%{FyzIV=^B6imI&e>Ci^iOQj^4b$yR&iQ=@0>kdhCqGq z{4XcA&^Q<>pc7l@idrOhS$*$J?TG1m@11`~d~^Ppf>ap&K1Z91L_b5cyryjpy9t1< zz@kwx5{-h$HPkMI`2lVQh%V-6G9O1HrV`g8iRxA*A&=%XsDMAw=TqT@PfrP8&GuRM z_$*e3Nz&K8cP?P1F9RyqP`zt5bVXRmdJKW6@yEaN1Mi*R@{!a=>PZr$svZJgWK#2~ zheV!TeLl4b;Zr?;MPGJEQfeQ~9H`5yO|Xau?>6JNpW`b)*E3-A@?%bnNO=GTyiy zkHl^Gb=VVhX%1~so;c#Nc4KB(Ru)-e##^@RpYwUYy8wtNouF{i(LVJ-sCB$ zT^;yoAN;%p-$9ahnNkIHuLJ+!gLhf*8UpV&l?obC2hPVER4K%61-CUb2;By6suk9E zy{rhJ%o705<1)7~iqCIN@P)iuQ>n;(8lHhgk*!G5j*~2;6q^v$j$dmoq$cq)$bzYJ z;Kq4T7081&8>g@u8&pj#J(=AAvS7Aj&L!w>5KjI!GSV2%&E`^gtLWF}9^-Vydrir0 z4CQtxq9nI5B;r1h?fD%glfQ$M%nzi@&$(A}<9EC7gK+XYQQ7Y1+)6cC51W`== zDrbWju$_kHlb*<<6TPnM^eKLv&eW&I>%!1xT8Ijp!wAv}3Uo^0Ml=fpE`(aI+^rV%TUu65QIitLFQxK%p>?|KoS-6o0a<(_@SLJC1RC-u zV!Tjgawxc%_PhnME3KQ^Bb&R*w-mJgU`$u}mO=?yH?v1JJNA)el| zIk$`P?!I5bRx>e-$@dSgeE;Ce_Ybao8{r!M48nE!Gl-CU2I0zQ5MlZZBJ;9iAT>;% zL1bP|m-q}KEJrUhLylgi%gksv)D6M?M93-3kbWqN5)B_v7DHR|p2v#`oc6RD+Si7? z(};?CzY>-79;6`83sK}Y#~Vgrt??#v=z3QpG~NePZm%b|WrU4KH5p!GX1X$}PhbI$~w`|SDWJ}2nhXU{+PIdKOn#`Dj8 zPTYq?UUPFJr_D>yxzC<|?sMV~TEOwoeNNE1&z^tobArx&_WX076MIRNf9`W)7L4op z=RPNDiR+*HoLEXqw!?Req;pN;d*T+FeoW3tRFN7Tv_!KKbndg)Ny#}0I``S@Vva)^ z%%Bq)R0*Y~93-7#o1k-_y)rYJ>BS~dONw+igCRSFFEbL)QeM5x1g4jo1bvy|4dP>@ zLj}uC;!Y~%D03C3Uu6>a5~v4~{+F#^yNT3^eHI@z(%=KJj;yKF;Sp z#}2%SvZiyNV^!x6x4_A!bDv}5E@CR`gtwqa#(Ps=NY%!N?Iis7rHC3!m%uxXNXBdU zXB8Sb;e(J_eB52cmP4Z>(XT)>&iN-8;jH2wWQ{Cb8}B+ua=l0K8}h~w*Lw-q8QxZ0 zXL@~c9rG^4Z!LP%b|_Mtbi#8$Ct6e8$H(F_nRWN^5SaZ6l4NZr*;I)8!O6OZ7;b?p z_Lv8PRm^h2`2c5kCmG={fy^$)%Dod_hS)}v2^TI#Y@^E-<1&5{V#AG=J&x<_T8du2 z3YS#!_-!DQjXny&pxNhB;*V|q&l2^?Wejw)Pb6yZ%}j-}`xEs!CBl=UL+`en91yHq z1T}ag6)hK_yyWzgNc0i7P99IuRJ5Cjnn5X$JbMmNGqq`Czf05!LLHDz-tdwq3bMHq zrnT8rE@c;{wb@h)E;OyprdrZvoY!VkZDVMA*|avB%3I92IN7u|o5~j|oK0)9sdhp| zvuSNMRUlL%o7QGig`_r)D9@fsRC^MLwb^W1n@x3?$Gq}vTANLE6sp2$L`hlz$YyBk z9Iy@6iZ(77fT$+)&be_R6{`uob8g(8da4tDp%u<4YFxaL^rgva<4(_TPEIzRLEE@9 zZN?`XeL}o0`Ud$`Xrr5^a#hRsfskI#vno%`0 zqwdg*NK_Xo9f^_>&AG=@uFPW;ST7->siEv`k}rI46J#M^zM>HXDPS4={^p}dAwiKc zVC5qszLBcebUzN)+3X*q2dPC(eF`2f9) zGEFqqPgKUr(5BXmGWrm#1t%)gMAS6GaxV#)%PA2($Amjkkz3GnOt=%})Y54)870Gc zC~PP@1G(pp!C*Sh&6wBq!%eC!8Xz!K`3FB_oIE~8?lMkt9q4>MC1sg8i%bU}_W3`M zS(Y0bAVHtgZaC$!g7JwhG;hS4?kkuqH8e&fyO~i_}f>(4u{oIZprz5qWOf6(*4b9)o z9fUoPU}yR;%N}K6tIf*|z$|;Jg{?O~2VupO=?rC8qgZB>X$j+|W!Q-z^Au7`kOxf~ z+iCM86?(8#);7gGewS3%tFXc&xQ}sV4d%)^|6%O(U<(g6)YrZTo!3KTP($he2(~qH zoVt5?AViQa*8JvIZP{ZvsUDAu7qQewvZ}v1e3QBi3UHCGkTvWK6_&n&k#EP z4G&aYwKb;0HWaKATDVjxrUU?hAaR;mxaN}^1=dSL zGt(?2SVJ{`l435h*m-7sP-KUF(6JcCEJOiiEAoTTh}+Fmn(9NF`dsr-Fg4fo24&}s zM3pTt84#AHa|zY-p9*QEHE0$EYMXPjyx|rz$y5hLG0d{3S=bUYF9>6$<|@0Il$vVR z1R>n~&R0k+K~4-P)TR^lW1KxpHMUK0gYOo@H-6MOL$bB`HR9&C15wia8gZ*=`J0x$;YK><*<+uL~+wPfQ2jo&1L&c71~CMcQ$8a1q{ws zs@NpD&eG7dG}i@T9rhu0I~0Q|z6}pc_aXyMy-ZWxVNz>Unr4|JX+spPBlO~%^-*-&9QOpyqNKa+JF3kd(&nfd$SA`7>hXkz=Ag8W1?LomnWnt+EasdgB(ZL6XGtrRYbBcLX$YrZ4{GjL zV+(N-H%(p`xti{u{dwQq)l~2SBdA;}Z$vQ%{6%QKY<=7*r~rx0XolETG}UZPwX&Kl zGJxjrW)01*ThG9lc`aoy5FdPCchR#nLC58pdP$RqT-d4GY7)QO*h;XA1TVrW$2E4G z9<1MP(j;@ct&QDO8%sf=MIfKur1DQ7xpLkhRVsIYBE{Y$>I_hQX^PSKUa9JQ4b7)d zLdBwU!74ffKTdCI0JD_44pn46D1;V8PawMJLHv~ML*$?ra8>aJel|jK#YedKh%Uay z#Wi>)toRuhAK;?Wg?PH-RAk{|+>>Y%REz(-Pt>R$gA86Ag*C3U`eDRJidasF?I#2g z+!CHt#OoTGhnF{ix?i~#ZPq^+L=CQ1#335K4Sq#)X!{tn9aMk|X?gRNf1QTrUh)u= zrZ=~|>AJ>qfrjS#Gz82`rEjLZZB(`&Mg*k{A;d&Q>?6eI=@J^zgmW&E@S_FJlbKQq zNFQn`UTDu%Q=u(3+Q%qz1ocWp>grd|Fh!1}=HP`|tr6r8vQm{M#u)%h2_+sb#W$wppz)`YmZSJ5C1hfA*=vnQ zv;Q~usjom0Xg3!xOSi>+fwrGFN^>NVgd>sD5SL0BKvV2UB*l(IQu7cKO0gr6)Ji}y zQa__dXQtSZNQxbaq}Y*2Y7daHR1q-olsFQB*nUe$Y$fIvPKrKT#WC~hU4%BLrg)cE zioJ`ZXtP6|cae8V&VSgu2no%x-bF%C^Z?q1>s8YwEkY$oYz_!Dg*zFFc@sXP*e!@A z?;@=*0P+R}Zwg%^*#YcbBz>3Hf5N-S0S$Q<>B1TO|KeSQ>e}Ds<;|x%iNDLsTR>&? zcX@dW4`lLxyo=B-uR8A{Xlnmy?;;1Vcafvmu3Ov##JdQ+?eKSbd241f_uqIIp&H_j zp}V}O0-4rbUQ}h;ad?*(WyLghd7Xr7`e&MUc~LLpof0&4Zn6aZtj@bg8}O`mk@@KM z(%sgz0utTr|C)CZ%G&Po`akwAa)5XjxrAk&$#rqR)J3iC@*) zT4)%Fk`gWW{V?UqJVt?4jfm!kvbRZ2^ud&|fXNuVyp9wLeLkro{3*x`tm_bw497dZ ziZI1{(o*aTC6(q2CEj_9pt}A-DBhEnVqYjJ7ZK_UC0<4jl5)fsO1#IrxEay79xtaJ zLPmTxA9n3Y@nl50^~3{v(&D|T^VmIU@rtj2^!KF2`;dnIp0s#hQpMks7Vk&J!C06d zpO5%>e<3&L$5$4D4EjQe52Wovcr}(E{{tDueP1XCpe@8J$^QPHwD@3=q`pw%L)u`` zz~7S=A1b8oNsA8?Qun0AhYP8D(&8fqaa!Gz79Tl@N!^ncA2owX-IEp{Eu`*Ai;odf z_oT(g3aNY2;s=Uk-IErt5^{691IQOjeB4r&tb5Yp)i*P#d(z?)M3nAHi%*nYXSydX zez1_bCoMkdTTZKc(&C5aV%`xd&^>AK!-q1dd(z@ZPGwT}q{WYtjC4<0{OEI-t9#Po z#|Wu=(&EPose97mHA3p1wD{!fIj!zVi%$_d>z=guR3UXwT6~(8SN5dEkGr4K>YlXt zbQ!R9Pg*?a3ngC97YemV9$<7&8nq*){XJ>YWt+oFx%{KOER5%}NHm0K0rc?!bOjcT z;gM(|h~|dcg{0O!X~Q|1%*W9H9))X>M0G1*?(p;j{wY463a@-{z`ERLz38)89VSWN zTvd7n4@B&d#8AD97#|B+Z~3yQA=KmNk}nkg_yWlNK9br(gJtl>*}lSNh78o7|Kk~VX3J21U?R5u3!E)GF@@g=_u=tV|o%WJ5; zx{|C&^bsh~CPfLyN<#7tAPL7(!dCpshkXJ%dDVoA$)QDNJGl9q@d%EXD#*&`^N?d9 zQnwvv7Y`ApU?zMYa4fQW1`+I+qb0xIa?9kqAw$bRVu!MekY4OiMh`u$==GLc#_G1@ z7isP|P1M^@#BcCh(Tg;99OW;G#&M#;KWRB(kZbHR!M(a%fX!&rA)!6*EF0ufY2h}d z!+TW7Nv_$Zun#rt@|1B_0WZ6)CNX{*;+!J0g{<&h*zB`O9vBQIpv=7JGky-=g*Aub zmnnz-Z0>!E?De3eSObA$Qg}_mO7*7{uOO-u+p|Aa-+m&w3j0&>nm>)D^x0TH97yHv z2dWFTsiev)*U${eM%R&~cYpdRBjs7#Sk?6`4b6Muu7s^CBg4*7_C^c)Bz$lX)}55Q zN7=hA?Co%xB&Ybk?$Ble*Vi6}^nF;2bpZ-b=PO8kO`!Vv6;VCd9tT--l%HMz2}&+s z)RT((kxHtjq8_uafs*z*9Iy^sB%_`hnq!+{J5|@YV0AqKKTaY0uO zTFBuRI{Ekp1X}JX7B%&Xh6IxJE>p;63q5`dLF=l5v$fov7Inh=!ECwNW~o_wXb2z1 zb#k1NVycMYatu(VLLSy|=(EN-0W~4rb2xX;`H1Vy>*K@SOJJ*eKzAprUaE<%wfR)J zX(n|_E~Ea@SNg{`#oYQgsfo`ZWHWqiNJf3Rf2?{A8S&ASeZy7A=tH7;m#EO;=WQS9 zAEvbQvb=&16B`hwe%lfJK76|C#PB}Nk2}N*N^GW9G9ugzY3tW2xAfXaM7i}EnghcF z30r49hK02Z-8D2PhL39i)?3-fTG(;nOM@`(H`A1Tg@qjw=r{a~xee)f4%5gf>DmK@ z*ekb>&6?(vM@8{ju)u8W+7+wr4N*_J4RIYYwCxSi9r&N13ixQK&-iXqa5A|I`PJu&IaKIPe21L)BItJ1hK1fl^lWqkrDHFlmCSU!25-n(@?y7x!BVI>Pzp~gxn62OZ={?m#ON(MMNcpq!p*1b0FSaR8Wx~3em(N z{&#c->cW+pW|mF6Eno&GL2a5;;+o8KvZ>r9+o>dfigBFB#VGG>m2fabe~d>ksm?#y zINu>oI?11Gj()prC;2l(*keG;jl}UgNnaS#aF^Nuu5=lfyG-b1+hv-DOEq`yG6^M0 zQCbrVkAdU{yUZ5Fy{n-~hEF4Gy)M&VOLT&UCO5pQ0obX^zRtqBhPMV`Z0U{4e$~P{ z2D%JBe1N4(T2Y(1N-Voak=Jh%%g#o*uf!HfvFufB*~bw_&F(60c9B<%)4vl{^EGVe zigT%=uX!9?DZD5IG}BZ zwWRHpVY6BJOLvH-OOVf}Ev=?k@{s-+;%LfqWth53>1)u8Psh2MTjNSkR9BAH*vAoe1^S1z|Z~&QYd)O>j{u&K2nQn#$AZbn8xsL8czD|cQ^_Dqd zvrd7R?i8E81a2WVCrgSsoTB7)snt2G_dHPDPM&;%W6t1ObypnKjqfU!JgnP{X*h?+ zk_QjyNy=HWnvMRgX4^=!#c_!bL(lqkW7*{vc20Ot5H@IxvX0ZRFYa%(tmrl<%Eqe? zF_#34=ad{r3LY&}q~$87$x~vGAE~%)tU-?EDbj{FFbMxy#EEF^*#}w%;bYz4Or^%9 znM%%^cGx|`eMaT;u)9u)J=Ca9aG!wT>kqr!_&Po*%9J8Ev&8*`aP@}VYK6?v(9Ck< zP&*CAws_UDwRkuuE)C)ox266jJ|XiIvfydSd^Ae&7evamy_O~$ixJg{PY|l5$E@dx zoP8W_#^(}wz*}}wf1XV0*U2n?q6)5|(p>r=X<2{LO+Bu?<~vM7v*O)gfq3?NkaA~R z)b)Y#{6^(@i6291718fmDbLYRZ)Bb=&r4LEPZ33jE4@TdY~9|r>hF?D-Mpxhr=KrV zolXRIFwZ{sZdSqkh_YCT%~ZIzuWb9Th2t8{ct(_P#sL;G4-&4fMtf2DOBK>zL-WDA zK~zsdS#Bu_`u)!!g5|uX>0K?i`MeONPRot$m{M+;MeR>RI33ng7s+x>rLv!VPV`?2 zGtA7l-6M;;N9rBh9U5tOz*ZHuT#~!P5#YcPJ=$FU` zn9$#YmI0?#$RBVFT7zlL_^kJFXXOE^4bKtWdHn|*O+BG4v)y@Jq(1VPTn2PE44e6i zEv;zU0oZoTEd0P$*0CYy1u4$J&8VPx?a=txn{8pJD>_8~^#+OL+Tqb{?(+h7#)ENC z=msQcusXM>*mpHFhlidbY+ZjCa@va`s#e1v{LDM1WiHn;Nw`IkuWD#c4YfoKqzUC( zeN)+)T2nZKD-Gh53?Qp5(?fI>Q}l``GZLmbyuGc}W!(0!L>$e4mbp}`?-GStUFK4) z{sl^EbvgHgbNAR<6*}}G9@cmfnZ^wAmZ~>BfH=1aum9%*mj-?oa3Oq(s?ErGRsm>GRPfdWd2aQs4qIx z!G=21%iu}_KZNt|{Ta|aEuCblP$8iezn{~W=cPhF3_gEKaVWzp7L#s=@pbfb91+NE zewX2a>kQSkmYUp?=CF=vOZDzU+%&UxO9k&D+_Ppr;p&=Z8Cm+R*9GEC1jM*oFlp>c z2ZVO*M?c;0)2{}s5fgAl3tYpF#>F%!G;Ag=Ud6@8({W+NaC5))O_BMMhWLzt&iYQz zn(n5#ZwPD~W!>7ep{(nbg2k1qn8U2Nc4jzX>q^3~SC##fg$*(%H2|~h_HRk<*j#>P z5XR%KW!vUpDok32nEcS(W}%i~t%fG#eh`!;_E7dS7WSFRM9WH($FR4Rz0bm4GhKtQ zQIx4=?<2FlAJA%ay63;q>7M^a)5cEgDR?w(>`bWVztOa7GuHcZ+g7lgi?KrG zIzJEdH)Up4$fnF{HQEo&htQzn6wU+izj0HhOW!wVTs;$6x%7Q=#!9**7l9iP1`mIG z7%}$nw+mdXw9zNV|9be_jU=n?@HZj-!{3DT4}TNVKm1Kd|L`{<{lnjc^bda%(swB= zq<{FEkpAIsLi&fl?STGnfq(cLx$Uzq0we#$hrfwSzPiKTXko;65!k@tZ#R(P(++Tj`AnQ(F03E$eKPej#gd7t;dPeC&p3!(hqHkjyHS= zjg2La1eo)oJ)Kn`WKXA8NQQ8yhtV+G(`7OoYxg&>|BJVG%1+K$<=Z6s-|gg#jZQ%i z-cA!^j~BGnhR%tL@jGF+K+cJa9XN%H8+F__JTR+BsP5#9jjw}0wly9Ep4^!Z%uRN{s3S$=$e zCdgSr>N#<-(}Y}V;@#0CVzY(3)WqpSwpj3-xELRS5GuIJ#K(|%gXhG># z#e(O=#n|;Nb}yNDU)UygHnkRiCueN_X(*h(lQXt}%Ifdrj4eEuN!`gATO>+;V&Yv4 zMAZuUxrx67SnOOO^_;laVj=aMxY&6@>N#<-^M%xN;$p#b;$lmLtLMbUE)-JFiHil# ziHil#iHltC&H8!)7Z&*Bd+P6Y1+w2y^N)9L2O_rXKd|3 z;K@$T*bSR;1C{Q!ZUT_h(HE0BZzSEQztK5yv73aFbK+t*3nk~o#nuZY=fuSV=fuTs z6`q_E7uz6|oD&zjld`ruIb(MTuO?3Z1!9|ol5^r>>=g(1xA<=CrHO48o}3dGyGN*5 zypuC_-!_)XJ2_+bOI_6JPEKO6c>+5*V-M^=v9Vh*w-tu4)OeKIr1+e;6rU59N+Bkk zdJNqnBQ*d$I5WlP#HALZSw&MPBHl~UxED*wIdQTnG*Lk3s_~}KL?Nljn?e&jvOBUV zG*P?>5Z)A;==2;e$@a7fdY%%_=(vT-_9!)r0n}(JdmY zoH`pB@!5RTbWe)6n?kdC$?ZcN6=wCOerPv^W>t_6Hh)uSRv*&P-xQkFmsIgLg=Pge zg=Y1q(&0-J*%X=;+!UHMZ~;r!O`%zVO`%zpWPg8CXx3nnq?%^I;)3M7sSvqrwoq;3k$8udAox+yekw2-KT~*mm5gv#JZ2)J>sT6GW753eB2$5OZ}?Xx70( z>ZZ`FNvASbH-%;$x|&Jd6q>7(SI^mH-%;$BcyH$ z%{o>{-4vQtBcyH$&6?Z>&!MRNf_Om*YGI1lSvQ4dO%+l%g=S6D^2(;rtmDc#t!@g< znl59%ZVJr`ZVJtsv4PtWIVz+pYLPrv>84O>M@-k-6uJuWx%{KOjMiMS^Apk1O&|6F zbOjdO4zNEFL~}#!LQ>N-7tv30G?|a15%VstMH1DmfVsod5BT5sd@8)~X`lfs8xfL} z{Q3!t)nStKwVOhpf-HDR1gg2Adas8C?{HrhHH3Qnz^2f-K9br<&dtfchD!BXMDlKj8GuN5Ekcl#-tHyW;@sr*2u<6Z zHeYvwi0xvS5s=V!3P{Q{4?YWblD(Y#RcHXFSEgihAwUJZv$j`e6^Z88C%rPM{IavQ zcP3#9Vb(qzi}GHE2;Esr-e#~#R!saf;>E<{*u)KQlFdJz%GpCL^&QekIeW0B3V0K6 z4>3vmeFSYPZ-?wE&*8e-gO~K=_^$(3P}2|@$@{{9rWDlWyMS^!enJ&`U&z#GvMF`R zry+R}C%=!AV@q!FfoSE}!DVJCY=ws7A?zAIyZwy6kTU3G-lZfzcxIhK=f6Fe3{6{d zpYn6~!6OBcLnpJFTd!wo6?eotKK@4^zq<~f>WPF~JX52%F7NvI4roeJf-QCUo2Wmp z@l2`WqVM_mNj`o}9sV3@x$`}fRNQxU_$5AmejR=a$v?_7&if+wO$||Aa?&UI994%u zlI+vZGkX;G(g(gipZfTI7T@_B62C0M%h9_O`rIB#@)#^W2)(*X;{pazliiQFt~9)K zR#26OW^JV8N5q%>13%~-gQ($uD(!v+ghUGY$wNWvA84<P*_p zLw1j9M*AqEuO1^r3q*I%I~ao7lWmD4y zb||?7ypme_nTwx3B~Abk6Dp;v|t)s;#2*GqLG=FiHk?UrFmYi8E;W zHChATC{AJtcY^N1H;a=vqaQ%`>)V-bzbBhfxY?%G_JCzm9~L2>)akf(QzgY{HL0HX z4X2hNJ|lHHelt@?K$l3W32qNjr+-m%BskfGA!5Kc*uZyoN6@Em3Euq{^inFtsZ5{0 z51X-Q`N_;;dH{BVo@VGK)a9Q*eu0dXo)5ZjKHYy#H#5O6or4}T$3$?0r8hJKlTaLI zGSOVdo#*tOOL+=8=r&6AlBwJ)wyB`%eO(RqtA_AP+z4u?)gQxbngcbh`Mc>Agq2YZ zTXroqyt7SlF10Ddmn@>6)A7@N8(2M7;;Q^6{JeqEa0Z({6{Vig&>Uq}1~XvTC}p2y zVF#JVgRn9xmDR&J1bWn%4;z3yrX?vQ$TSlsRqMJOx9hgrh-_mr;{xs4skjcEWS`1u zWi>j}&|c1xr>PhHjehRNPi1{r`KyTS_ni)@aZT(C8B{MPDwJhb+MMn;j|8=56Il!H zwXkjG!yt?c_l>e!Xd&UyIIa9n=Rv(-mv^;SDdulqNF{v?y${27Lg`iSa<4k+d$d!2 zD7x#-kGL(|dS6@(3`K^2!nh5kd)cg-(+$NLW1arz~iZktV?wHe__kH*(#^8_kM_1!=F)xia?o9FrFs+kOVD&%>&|;8q;h)j^@yKPS#11r zrk8=1?P5oY@hen~F&dh2ZU<Kv85bD{?yGM3KF$ z$fHEFB0^{UimKR6mG&9)$AKQe8!SGL&^%}<=rVfFS*Mw8BP0G0`3eOt@i40|`2)<7 zf8wY6KCp&1K^%21E=`SUcCm)$y+CQC3wX-xWI_8PIVeS1WwWeOWm}o%tAMP|Cz566 zi)EH8w)fX!nU_(DjlN~(vt`PDL-WPWYkq`oR%e46fX2FzOT7aWXZnQ-YOaFJ1;I?` zQ(pN2BBUk*?TDJ2lsaVl%s+LxPMaZ;pQ#mF(A34+@-3$VT&;-at4H? znYer2B#cn}DaU{aJ%w*TS~fvai!)q9Ga_ID`ILiH;&BC^a!{E&&!@p9R}8a9Q(phA z80I~QK0VJGCYKFU{FfLemkl!;lzec|Qo0Y(yp3Pz_d#>PDpllc4Nb?$ct}nw7?lKpUA?$X`6kHQRQNiqBK==HbYlkY3-m?UZe`F!u*FK8Xew{ivr# zvHLX4bzSGscGk9k^5gad#C74#eDYDx9MCdUI$^?M2U!2(J;*d+8lYB!&2{{w%KSn@ zlO0Y|Rkn8>kM!J38#$d_YN1#$A|Y0v3%(Oez6ha@04pP@!+K?eU`ebxIK1jm1WL4W zL#mZi+?6V4X~-;BG2_1%abp16Jcp+IHp(oeSsINz)C6RB_Yyq zU|5TpuQDq&G^<1D#q9V96>C$-R4e}o4e{81s;|N(R$&fgIvtnMt?ky3sZrqZbqUt_ z32x;CD=9(eF*HDZE%Sz13jRaGM}YX=PxUp=8@@vv8SZPBmTQ`1Si^nmTElhTPi~ld zW%yXDdF;>-8~!f`Jk6lew3PjYh0P7j4tQp@9qG7fMV#UiD$Z#kV~cRgeksyX$hTK} zTa?q-rdJ_O8q(>uA&IJUxZWQEn{^naGG=OM76$6Q^Dk75HBoNPvlO#O!>=LaE$_SiblOPG@YWe@uZtp3WiNn_RSV{aCCJL#zXjH%C4m$ zyhHTSAf$rUbGIwxDJ!W%^cRBl0I#w>v%EiIFNEq?8lk7GJ(}o%pG0djA)1H6)Yo>B zvM;c(?$MDAz$|;6h4qM@5`=M~?o#$8L} zO0%f%sZMwj(TD4}IHt`gOOsY;XsQCuNJi%jtYL5$3OOB@P(>aYHM2Cq*&1RP>jjuJ zt0TEt9g9qy;@fH5`zc~-75L*XV!*}Vo`UrYN%0fk6hA^7HN>BIrqel&BJk$eU-+gt zhiUp0Sm=#J^H*C!e*(Hcf3_ubs|4uDl>M(P?{cE=BmITm37YHn7FBJVh9(^S9c8Is zw?8VonU=srqXj5HeVAnrv@kC^CJ5sWyjj`1EUa0;@||CxX>&{YFx~l88{MB0{tC^{ z?P6`$GECz}k1VlW%P@`RGl?RM{+_^U)*H&~ohzTcN1yHN3}1k4{6&wKMEiMct?22R{11 zG_+hh!^Zz1dLN}>7OGB4IX(?7*UqqciaKAzem;6a8d|Q!&^IV@hlXZecnT7w)n4~K z80RF*gVKb^weD=92E_};9_{F%rrdrK^^~inrzEw9wA2v8QXKUzgUr?3Q8u7C(I9g* zca-Od;*NsPdC^h61}z=s8g9(V18hgRh8trK&`$f7G?BPevdq)0it4gF$ItRo&Tv+5EW0s~-~xryuC zQEH2MJ?A+Pag^uvoaco^ah}(6p52<-Ja6DUcM{F7Ms8qNF1IuT&Evs39uH1$jy}ob z!A;!%*X7#rKj8!Q4!*R# zjcIL9t{r~&v*<7BAjv~fv~WgYHwdiv?uRqqp}3DUG)*$b61Hv`d034j6?d|RXMr!7 zKc1GkTsy<|DC%nsH~8qM($I444BKo(_G23E_R&wLq2<~c_N1a-(J+K&B$~XChL&q* z*xQQwOv5rC{c;*wuAO1)T+!q%4UhHFucx8qTI%gJMgFXzX_>JEiPB8R_fA*aeMb$= zJAp^a_HkM^=#^onpl_5aW{`#$5n7kouF@-mZk-)lNmuEWLATB^L~&Q?l|duI$)Kfs z_RgU3W;xN^ReEO(0(~E7r~T#BJ-bSlm73Loby+U=v+T-QzD}8-cXs70e<6yq?8;fT zYHhPD;VcIc%~_UkmQz4Go!8Nmh3j!Ct2L|TVKMmyKpfiL7SrXoyN{6+_L1XyH$w5u zHt1;V(m(9Y1U&^bH{f|H>>3SC#7jU{S}j!2UV|@{{fmWl^m-6%FL)(y;YXfSj!~5s zYG^unX_B1IDH^`F$^(*Be^-VS<4&m529LEeesASz<;RGVVr-34F}k$1#dso0#aIfO zi}8?V_`1z_XY|zuiqT2)>Z76gB>HOu#kgMCk6GAf!TH*Y)BqMpF|7K@h!o>xs6^X* zq!mon9i>k0^bs$IJK6Sb6usCmLwASB) z=4vc=wC(qaZ=|x5limALRKa5atL#3Iq6$6+ScGz&C31oNHE*U41FfV`(vnpegN=rS)JW1SG0<{0+v_J6&_0Xfe;KTd>P=8 zvOZB5udVCq#erQ%u4Q*`EujM7Qz>h8#I}~O6|$F-b=EDy-P52prtWahyBe7~FW+5) z)ur9Y=(F01>9#{w+F$dll7~?!VJG@71tBG}BSA!;vA*VP_AZLJ^>wE^+3z3Oez#;l zvA@vF`N-C8|46#s@21`Uk#u_}Lg@C7q}wL~(r*7)8g?vU>2`%L0PJvCdeQyraBJ4$As zl7xt>5Pu(3#9>wBb-JA$SgRscVawFmUx-wfVQzqHMWh}D&lIUz5vjKbVSsBzq{3cq zfcuC@^&%_-tnhTeUZ)FLVHd5i)>bq)u8>?H3&k96_ zyGn)sL0BqO*z1i7YnE~Ht5EA*XDj-Cnf6jOFg_dZYOE0J{XEiGV}%@;w66tCk;)1= z?>GgpTBNEJOY&n*IVa2M#>ok#_ew>{$ZJD3iUx?K-pz0_eCw<CbfBFGCzbG2AU08xOUN}R!jX%qO8eXVhP5h&Q&ji*LkySU?K^Wo z3EzQR0C-I92+#Y;NGE+qB<47M)`@ac&He*)xJJlq za{?g@*9e(yehNs3Yoyq4Z=f44g)arH>y|p1UEU!q!=>=hL2hiQ0t zuv1stR$9?^oo};$;LuJkuHOUNgEsqT z4sCt$rahp!>|Z;y6N-OOh$hy}W&hcsT~M6hOD9d0W z`_QF73nGlft}Zd6pMEx%F9>4l5)UWlTrED>B@Vz@o0+G@>s;bCRy1FWPjrcw^Fr!E zC=O^gTsj}W2v{?Yi{=yg-b|31Vlkt?V3cma@YuP0Q)831)@Ee2ADlFZ;k@-r$X_!;07}ENud6LCWi6iT(~46Ew2MlRgm4 z1dXg=0gbF-0Y=uyw)r-=0UAGH6UqoF~|G;P*jJt6m>MM-9aRX9Z z+>H~_KYNM0aW2pjaW@pq$K6mcA9q8+eB2EM^Kmy6%*WkOFduhA!F=2e1@mz?6wJrn zP%s~N<96`xSLfqy+%4de;D8M7bayuMqzOxdvx)$Ax8RCkFvg^`hgmAQtAb(!uvXp- zVhn-cZj5hfA9Dz@Q`M)NpaCLM+Sl9%+NtU|?gj&i+zss=7k5KDXZN@pC!;`Vno=QO8e+RdXPHX*kknUQ zD&uav0U%4kN__pSsr@2Z^OWc1B#uQ+zDSmpiI9=#z(wg=S3vT*W`uqeLa6Jm@ zpaR>t797H4KC2cOaV`FcXZ{zMaV@BILeWNhxyv1zbzEtn$- z7xt&%u=Ag+#CQx~x{JvW4y2&?)f$r_97LINF&Vi zeyHnop=@I^#2@M^lMi*Z6~a$chIF;;_Mt9*H$L&9E(L8&hH#vM`44p|nEz1MquL;i z$q?@MihwpILpbqm0c}i%aFT*HCPO$`!7Hl5Exo|i{tDWd4B-@|Y-2Kn2Pn9`s*IN} z!vnJsdA?U;GKACknfp|=jmZ#BS5h`6LwL|k$+a;V!b22%sVW@J-Z<qm;EV8Nzwm&o(AQIA6j1hq`Qg-G{n{N?99|AzY+(%*JF07gLW@)z6L%zuOaB zHTqB&B~tXv#$=!z37n6~&`Oqkn~~mH{*W9@);W-=()30oE5eWR2z){oO<8IBI{?}k z%7vEZ5(vppNiw@nl9BQno|O{ER*`80TJsO`-_Pf>@tS`wa*)*=30m~ve3q~yAo*== zna&B*y@A!naNLzl9v8G`=V?(88s)d<=B_pOZNOZU$E1wx5!14MQy!Dz(+Crkp2_D^ zCN!VpfGmEfR+~oo(5z&GGDy{Y%7EmfRChev4|Q>v_9^^O*Gb52E0GH7*@0~nAL`nt z@Izf&h{@Kb=Ex%)1bE~SwnHsf0BUcVV_Rm%8MiB|vAZ%JW}tKjdHsDHAMtK_X}S&% z`*vrMt|C^ojW%=d!7XtXc77F7t}DwOJjC}Z%((TNy$+VamiIwKP_yw1tslAV*mB|9gBOLk5Mm-N2X4*l=( zd+v7c`W9TBEitd`v~@gIH3kgA`*SuB|Fw5TPhjy9yn)}+4u+%bSO078+7tbNInD(0 z+oN9&6n()YXMz{F6Q5@O8TPIzjTz}eL2_Z7_`G*=XN&K8AA_Z8t{EH!UXEXIH97gN zcWxz8QaSmqcTT?Ro%;|CEXm1ty>s$i@0@(sJGT>)~711PZFAals9;>ZS?RSAp@@-#g2zrfreQ_Ez7ycns? z&x8qyH^@G$h1(#{#&XAQkUe1jzuX|R>aT2rT&^4B6NTm~8H;;#EZhc}S<*d?Hpu)+ zZ_}boo2QVUn~IJyISKrjlfaL;W=JW@Jp@BqoRh$hISKrjlfaL;W04-@B=BQS13$Kq zy>?jrHpsQ)UOVi_^=C`&wL|?Hc+;Y&O8|Smx4m{$!@|Jo*_&8<*=t9Anj^PN_u5gv zGAw*)DWm?BIk(r21~A?2wWETMVn>50j&83V4Xy%aey<%3A&2?Bb~Kc%>KCLA%$E#w%#|+R+5vsD7w>?P$OAgp}QDM-w*-X!qLDBn9nWJDRMZ-D^kt zD`@xH(G;a@_uA0`3U03|=UzKH@Q2!5-D^kFekq{cYe&uN@uMNpkI8J34%-fOfAP%{)awyVs76yi!2B*N%?TitJuHI{F^TwR`PomV$P# z9nDtI?zN*i3fjGPH1~NaYxmmGJnd(@*N)~ZX!qLD0^45QYex(JAZ6`dJ6fbB(C)RP z!o7CXXs=C)6qU7mZOW0rjrQ6HBE7Zzq4dD|4TZ3lriUO|_j)Jh5%`2GTE)`z5dhj4 z%7vD;du?u1+ZcAABm?mxJS!!Rts>K&wB{e=-l` zOrHc=rRi6Z*T!(%8{s0aDN>aU3PPj&g1vT49+NV%M@-B5p?OS-Pa{m!xh|hinb3TW z1G28mXHh;hE7_p?^ZAqk$w#S|@hp4osGh^*HywsSzR^LVh@bm9J4K6+X)9PF1KVtY-yl6)Fy|Aat5SCE=$m zw@#tbu%0v<6iSE7N#%4hLN+dx&q_Du1&WG>0EZ>tz`X7k~)WvvKkp= z*~@;m5u%M9bVYa+`T3k2V5+VN9wxI!!j7e?pR5QTBkY@5QuTZ;!cA=c zE#!a|m!|JTvk<}>&->iT1~)THQD0j=n|6h6zAeaTAG`V5C)|AP3f+895ffeGrRK=v zk@CpdqXR0Vo3CBr*Xm2k9clSmefu~NXM6d?{eeAE#@qLsXtUX0{1)clSY#wd+Uzfn zZ$nzl{uJ%@Wqh2%`KA4_XttRmsX5|GY6VBy9LSEX;5eG8Y=33%Iv`AY33Myl5qCO^ zRgPp&9K^?}%Ewycc~(30X@vwqoXzBFe$u;A-k4oOHY??YS$RYeN#7W!%OkK#)2yg8 z&5)iQYgpZL#yRs|vrav@Jj3R=_9(8)=lCct&s|MWWChuJ+3d2Y@5V(&=8L>+i*&4H zFZ{-M`;0-&kS6!LGJSF$`8SH(3Af6A!;!*Gi_YdD_T)xma<;_x;*vL`q<-gaqp|gL z{Wa_9BjdBfVoqwSb-n>F>(~~a*R7soDAQT{p}yDcIVr-~t&ZTSeUaOj=IUgE`|abv zD+xZdFF|(tGXT3^RtvB{!FK`Hh*Q(%pjz zp}P;3?miNbcK0FD;0p;$cPsp#fZ5%LN$#XN*WHIV>TdlG*gE8Ech403CkRV-A0cqF z(XP9XlG=4sbAseTOCZn&aW^Bnv}$G2A?4cG=u69kh+lq4*2=>K|hD;aI%? z+?j^%N7MntvGKZ2pbURtSYfR#`5pV)3+Rj5xCVSc3X7052nzWD^>HJBVZ#1LmfSFD z+s6t`>np|q*C#=H8*oL28!Yi#?5`;*=+K@M*_tLQv)e7s|x0?Jt7mCcCujZDitcVSJiJxy}CWKn+7- z5_MD`XQzCKBzDTf6TX0 z!-q`nlF8=hHg74p zU69}ui~n!Y{9P-fSZjOTozcC6{utiQjpDT&#cmTZyUCH{wOqDL0VsSPYm1&^f6aH> zpkSgV(a{TS?t>2N)&zz(bP~-(4=y)?)C@aJ1! zR^V%NhkdAKp#+1>5t)!K;kq)e(^b|1jj_rgB$;M$SFSmG!*h51gpgt z@G+e(Nt2G>4h2g3+=0iSH6C9o>A4y|8T&=MNkpmkGELzRENx+~6)>I2fTz-y7R+V7 z!?Uqg7Hpll8(5{SEm)CxoXBk~*fsMRcq-k;g0-3RaaX6btpx{XYQT7DI}6rj-XN(C z7Mz$_3jRy?wcxZ&19K}ZI3sg5nXEGRf`7kyPUb!4b~HZ}a7o7Atko7R&Dfi@3a-f5 zo3&@447`~cd$V>7K;Ep)*qgOW1wP$m?9JMrfHrT|W}akS1I_aSpKUV3*~n35li+VM zncLXPiRNm7uP_;VvsU3PCUYP2C)@m6OlAk+{VlxRWF99xMdm=BPG;=sB)RA5WX7IO zzAW|QW^MR3o=Wctj)ZTyb?N==l4vBhX{8Tn?|9L_lj4KgIqB#fSnbjs+8H^>**8(3 zBu%MkLMdUp_SK`O^8v?^)~oZ$F+p>k@(NGidJ%%eO< zYrs^nRKX1|WX-+CM86IIu2gV~i9TV|Rw;O+iTJg-U^S(MhmO&iEW4(RmaKZ4iN>%6r?Ra) zbc`00=e1P=K4YSbiM&opy>6l&1RE55%S8OzT<}c=|74;Hrs| zyCoIf149v9ZJDZ8J3t8+#Tcb9N6acp6V}NA71+mjj{tKBlliP#;Bvg3jc5KBIE1yK z)`_*5iPYxY1jmPvue1NApfNjx>!#yJ)o+VczesQCl2;u1NBojaaJ@p~B5q8B8x)!t z9YsPnDl{efhYY{5^-z-N@X-RkRTZtq5;>ZAtbn_!qU9uYj`k~V^a1Olx1(s(|+Dw9n}(? zui(Aa(d#_`F0k#bj(ogR9W8uC%05voCNWy1Ch^&7F^SP)JKSRXAb^5;$=PNdk39Z!LcaLA`qf{9A+MR)$G)^9X!G7Oi4QdJ2FxhH{~$ zr)lZqC7InP$w1tIXQjlkRb<+e*8GF~%kuebyykP!kF4+Kv;LCL5_SY6bFD4Yi$SX- z{R?2VF&uYW4NDOhc?PM<1_hx}z8*r((^}i-F)1T^#I&sMm&c^|G{Quk-^k}vCN!Vp zfUFDiS(FdWN;YU)KA$om`6%@?p6wwdhpF&Zo;{LeE9{XZ^xPdu*5fg-N08skLR<=t zAfpS0K|0(KWK?hj85JBsMg>QZQNa;pRB!|t6&yiEdIZUi!}(XFN04kVk01kk1bGZj zJ(Mwb1gVU-K4K{zojwA6DoZWQAwXxh2lfbZ0yak4Qg;NYEp#(TKnvLAGz^m~}^x%B(wr zj0%n*qk<#IsNe`PDma3S3XUM7f+NVN;0Q7*ID(7{jv%9gBgm-W2r}Bs5#$cGNRJ@- z$kEaxNQKf-rH`@uug4_M9YKyMqkX-iBGMzsDd@)(&hR2Vf}AM1`6Ea!Re zJA5SA$^8*2sobmhTa?>|^y1vLcrM8e$8%|J5}wn!$MGEG7z;U*djh4x+`~wZuxvB^ z-Xqzz$ntV0BFp4nM3%{YhLn#@?m;AFat{J%Fu7L%yq|*48lV}$F7*cznICAwQDy^H z!+yQEYEq_OIgTCml$4W;JtdV%tDTt@fak?B+ojCG#FV*oF|#{(`<_6e-!tYo<_yOi zZ@x!GK@~@{;2c}>o>%y=NeGZQ_t3h&*o4bORCom=aMDq*DyVGyqyHv8MD(C zd@UxhJx`#WCE#`ZfK90|W|u{KH%5Ook6z)>JDZo;g;&ATSv>}@?Jh_=}c37TSj) z4&i6L<{@S-SsnOcsW$k|;e(jxgK>wBzmUmg)TqiACG1>Rd zN1;B#^0%bVW+1H(M)g~U3#~PTaNhSV!&TH~Kz;w(6$Ce#ze2!%Oqk9sz!bRG0ry+x znTu4wr+MA~!2X&cpsVy-w(`>beQyHx$2tNtI06SFNk>4ylL6`o2zVuPMIB~P9iCyX zsD$LcOHkB8z+qE-9J6}n2-2MeK-J?&f<39nOD!Ac+g~%k$2myuu?~OGZF9)@679B4 z7Ui|h+HKzix#4j4X}2wrZo3a@?6xJ+ZCwx0Zd)SVwgHfKTfKDKPQub{^$5lHw-#%c z{Z-795}5joR$swZ54XZ%TYGU=ZFSL9-#Y`I7;W`%Y4!Jz##RrPR=-3D!ZKW1{RyDH zauz>AxE_aNg1&M%tnm4O2jY83qa^qDfCfybXx9nccRFBBb7r)_YXJ9?J{cphzp~v> zdOOTm3$`A%IAqg~ z>?j?1`a#-}9i=00B7~0YC>{9(AnnLb($>EcmX1`o@?h=AE|Pl;AnnMm0-w)Z>9}qJ zKLU6}1Dd7XRFmi?ea7{X^U3{f#xZ=TW&BMPT@J?8#@t35)8P;wR`HBF;GZMp=UCiU z-LD9GP(sxUFG!p6B?XH z2+F~+fFA+u4`cTkO-k>>e6NnieZ3|36URWYQOoXie~Z1kS}NaVbC=d=FCB#9U2uY^ zJ-eEfpM#{XP_5N8!_N_5V5!xtEI8aTvYLDy1aP#lc`9k(cw4$+s-=B`{WT5Au4qDg zJqYOH5=eQq#T>&(CDyU^OI!46)NloGRbnHN*yoT&)sIACgBhZpxW1J&mWhGro2Js* zQONYVw`K*ukc6F(mmP zHD)#OCu-txE^#3TbrL7XAhpybjwI$}&X7TBl}(fmzXg>^hp+R-oJCpO{|c$T!IhlI z#3@>bclCUa|HkT>FptfQ8LMZ)7FZu(Wxdq`JQFs#Yf-|OaTnF0rsjqkg+e?bHseSZ z>n7PIcsEbapAgT2|BolcZaA(Qr2TsT1&}5^?Dh3b*w-^(KVAADd_cY){rdLkSI>)m zJpnG_32@Q*urpo}Pk@Uq;A3&Rlu?$>#3Kqrg1mH5)aUmAgQqa)4SsMXhOD6|;Mas3 z(!s}sasj^v-B1yvn7G3W#__ko1asxr4=%;830?(~9}K{AQLq|+dFl7hpqycCMSS2rgC>BycwE0~My>9c~Zqq~8H(`N-M zB76F*VAsf=J}X!o+0$nQ2S@hwS;4yK4G*L`SW*)sd-|-o(<1)j^jX0fkv)B8z#qSQ zPV^pgJDPn2ToT#SXKm5a$euncxFWKr&kIq8Zftw{+#g_yr*(HjAQ0DyGQ+q|kv)B` z0BxQ=M^Cb@fu>eqoZs2g=cR&=6FYnQe44;Gqx&vA--zp^@D>x<(`SWo4rfoF72a+l zd;0vol)u+R_Vk(D^Yl5gr_TeWew;oxc^iXRdQWIipV=i@J$=^R@v?gQteun2>gls~ zMh>F#A1t1xR5Yc)uwA(|cwj2!LsBQWRGdER3$ajzA3)OaP6o1?74fBjbf2CmRm7J9 z(tX*VMSLkB-5*&>mNMBlheHo$ABkKDV3r=aV2(m2OOISIS0O)3 zk6bWMp;VS0xnTYxDOZ%GM=n^Pc_mqT zE;vo0k}Uml!8(OXv-Haa4GN{R^veaOlMym2tZz`(TPy2lDC-qqogEyCUoP0#v=}{0 zzg%z*AKA0?%LV5tI;}(vh=$^q3ofLk)PcB2Yql-DSRs?8UoN;rJKN9FFBe>@c|}?J z<$}u;D$dd`7hJATi4NHn3YBK*mkX{`D4nHWF1VUi=i7E|LEARRZF`faFB z=`8(n!EFjRZ+Z2kj^veaeYq@Nee!1Wdg_>sRmkaJxD3_&QF4(S6{?&lsj{~7g zSuXp7_xZ@tqI1hZ=qjjU@L$6vPyKSihxY=aXDds;T=4P3=tuhHvh>RZpZrjAtzRzq zObyJAiY)zd!RO?FuLeZ)%LPVO!}QBV^veal`H7T%mQuyu7AtT7(weVi zJc>by;WOtDVcmi0h<>>sZ7lN<{c=IZu$|&nq+c!w@l`)%KcZhQXkznkAqT9uG~EL2 zD^0Ul3%(8zG&3*Yxuv`s&}s+7Bb}-K94W0eViHBCwMI;0+r9AVcK9k*rrQaam9so# z%XDY#5O(!%V5c%QHwl!^^29CE;|Buevb+JF>Gh&Ot+Ou?>a9>kwl$&JcO|cDmX|;> zeN|#>aU8P&>H9RG=6z=I4?*z%3b0DkWTLGhE^C!M!9vz1B(yQC-W9nmkIae{nQF2R zCkd3`VW<)#E1FNz$odZ?b8~b|ADy(s zNvQs_KI};KAoe)~!=?VSKElSn`(ZU@S{Z4SIUAK!)M^T!EBOJ$Hj;-*eu_S5XHwlz z$zWT_BS6wh2FH~Qjw=}~m9%rd%?2=%GXI$`RL=zK+x$Hqy{ZAMe3r7~7I;7JHHLZK zPq0c}i|Yi{ixv=vBXiObaFvLYWtL&z-Uhg%+}WLFSoOh2`d%k#&>U&poq#*DQFEnH z9}$V+& zGZ1`s#&?I$6cr7QwxwIyru4PH=6v(#!qVMY$%mHsPEayun=%kfR8T{d11*K6j?%d% zfug*+yiE@0B6D;hsU9S?)n?~rqqgG<$W%4ucwDaI@vOz`-&?z2AzD?0t%Qun*f;8BKhy92QH|CQ4JzXdG)f0bmH%))k=EcljWt^GCE6|!+G+32op)LEPneUy!< zAj0EEVWYdS;mvkp(OpJw5?~dJ?jjaX0amtp2wQXJ=*agJt{w!`X(nq_xMr?OLNB4U z0#J8);Gea8)Un(8o1N&1u1k^LvjGXcPQ}yZK$RXYwXE(S>b?a{(~)Xjt8E!zf8kat zMUxUE+RdBedFLUGQZ-hjs$`y(s^e{`vs~%PW_)33k*aAnyB3u2-o=ta6d8vbEXoc? zX<`Ah(ioRFhh(M}VnH${iwLFQmmE&>JLrj#}K^!pzs?~=v&ItuQBaDAPk^j zJz=?c!~U9&XX98i*{_@Cc;0JB>xX{*tS8D2TWGtrS6}6Piv7hs|7!{h4`dZNo4o^s z%;!HW#E@Q{Z!ykxg#3bDlfiL$yGSK4#ptO7mxEZ*k8Saaxj@;K$n^%VWXqp6uCJ?Y zpI?rq%yWJIH2eG+q-eMPoZb2^KyUCxERD^PE#)@UEf)FSGB~yR$d&Se4d|Q4kkm&$ zT{RG<$@@J(8P9>F-FLC?4Uw1I2A_&)hBx%`-$Uh7}o}y z0V-d^$=CA)$8-lR__c)?B4fKOM#Vs_(OmFIA(qtC$>we+o#k)r z0pnVWv6C40mZIkybNj5t$jt-BS=|aTgqL>K88XuTnwzH$1flVB) zULtO9jTx?9A`7g?_?K+8Kr3(?wrK!upmEC)b|4{RM)a=1&#i__M-!yB*l^_|7`fYg z-zD#~$=>HUv+})vI|R#3eb0brOPsT}*e;keQU~wFi-7nK41MMwj20DNT8~Efhhxep zzMPMAGi}9hVKDFekm7=QpW&mUt-4!!;5eAK!dpyWojwZ3!Mqjz^1-~{kow{D$*9vOZJj>s5*y50 zd&dRy*3Q{InD==oP@1MxL=+abOWu=YD&<2`|Kd^^%=;4nSrIJt|D<2V`Y)HBLVr;> z8PDkhHi8m_DU2YD=>snjD2=OH;wWl5&sD}7=u+9fAbSvioSB8c&4}QYy*e6xqhQur zq?GR(gOu_X_-o2HBOURnEG@+&YyA{C!7_z%`i?}VBRjZxQCOA6 zq+Tw8*~%p_Te$>gE0@4*T9AJ>wB*}^3X9Ut-? ziLm|-sXzm>g~w>Q6(Qe|2#-~0Rmkmec>DoE<8EpyAQ1DX|@*Ror zOa&YK@MGqlrQilX{ukk~^BN1Mp;1)mRI}+iy6ui+7u}=2FO$y%PL)4ae;YB(M+x(F4NQ4(F zc)K6+9f|M~1@G`fz9SJ{IzfhPyWbKAe&OZ6M&*1*q9p^fg;!GJF`lXLKr(gJ`;xmQ z74jX4@M_BxTu)m;gd-%1VFR;q00p*z**F$fapW~|RwXb3v%QUH{uh{m*{EegwHQpc zIuqpGI}zbETac%L*~05SV;dw~_Lj{kPetcD5#hJV8}*GB8N%xo(#YlE4GL-G^6*B5 zG;(=(lR_G~JiJ*Uja(jXRY)V3hqtk67nm))UGwIIyd@Ccq0oYm??i-mDzqfzI}zb_ zg^p7Nx=W#@E$IXa?`{GS#PNuX#XUL}4K{K)v!r_p0<(qR%YlvNMNyl2>o zd>25ACK$DsDzp7~S(}zJ+n+MWapb6G2Qb|QX3Gv#E2=@zvx6v(=&kT&FqIv=1DJd# zBIG*}*&*aGADAsWl&s_fvt@^|P5HoV+2IP>z--wO3fjPI*^$o*WgD0+J4$nRRJKHy zWb4@P`M_-1(VA%kvt`G;D@AQ!w(M91ZD6+SI0bEBw(NKXZD6+SgmO#{XpjbG%kIbM z%dpy2A>WC}P8=?v4a}CEq@WGVmYuAi4a}C^UqKs~EjvXi+rVtu0~Fj|)sn~h*#nOf z%J*tuw(PW(0@}cA+38Bk24>41v{iC#V7BZb3fjPI*~1=@TpO4zd-#6}XalolXSTv# zJ5_B1vt^GQB%lq zW#?%>+rVtu`3l;=Y}p02y&9M;yYOr&YXh@o7pV!ff!VT)?Qm-l^z4$YB35`OLTnc$ zQdHIkW}_SloPQ@`A439vLV7d#LkQOICCF51`b8uwLKF0xBJc@Ww2Gza4}p*~lnc$| z(hRadHJkw=d*+z0m-i}AxqQc z!t`2TLRag4XT$Q8xZ__k4cN+H1zi37AjjF)1UBC@H38{k=RU#itP_D78nb z)=8Pre2xRMM&z?7ADWeH(2RUOWkB*#Y89U4orr8bhsi&249s6Gm)C9Oey%@9#x!M~ z0V2*mfHFrO>GAjHaE#i6%pHI_d}lPuHb^)TQw=}QzH&3NfsgIhbzmO*qXM2XS+rE( zj>~#UnP(p=8Tnn)K=T6B*w*lhed<6%Q=KyW?pHhB!c85>gmw(6lsb^DY{!sFDGjMq zW#+OKCmFY!{@EbF_=jtr*MLGbBPE^0Qroab?6_bdt`4spgeE`3C1WQuou%)Pc0X%N zzGHuT*8AR0l8~m|!KN)lQfDr}jm(p00|bqynQ)KL@CM@<|0?b8ZNTUVhl|pv4!NRqAX2 z+>KKoV5;B^fV|^;DISYLN8uv0MVK`NY~bHxps+Gu z>rqncUmeu}7U>ZCYZewz?PQ)~cRZ!tVR8O6R0;2Q9KIl3=7!}dcE^WEs+P-PPf4^ z{OR2ae;z^-XtJYfEUmGQ<_l9uGwv(Fa=G;m>!oFTz&gq1UgofVdBq;ET<(Jo>lH?v zO4Qhgd_7`wf90@#oxt$=jzg!KerA`=4TotbLj!Z=I5oIKvge_ZJK5BJ_YfXoOkZSH z)USYNeG+%??B2=LOs~JWnkcv#&q6s%uH^_M;$P|n-&@+;iDEkuid9JCCAfBm2L5?K z1D3(5-a!b|o`{Q`)#E^MUx7~nJcyiiu$1>5u9Qus=>WpL8mA9#4`jx?>fIF&52Lt@ zLl;|og8elI@D^60XQb~+E%AIM$0RV|w_IuS&$GW%z=3{)bf6ry=%;k`S=DKm#cXan z#Ozlf`2EdaDfmU&dleRGtNqO`^}ToVy;mf?cOuf*dqvWF_X5&TL?wopxF)G_ib^e5 zH=HujUMZ+f-cEM%NPZvF)PPYqjF(t9@W@Im!A&m; zT#!1>C9=Hfb0yk`TZ%Sulsb%`^pRyosl(U;>M*td9mY-VHy=mQVVn;&(-K8-sxSll z7IguSg~6i>*mc4YqfjsY-Eb)oO+Vx!3f(bCkUyy!N%#if)USIpZ#^E1=2OJ`5~~=h z)1PTxiC#Y}O|JxyK9wnYFA;8D-b4&~BFWWyq3taw>Z3@`aDFz2W+wCJknm9a+=tGO z<`6!}Yhn&18zar32G-9OOk>tx9(sU>bk0ZA&mib>eaHyPbdN!zgQvQ9cK$`=dPmHP>sDEzJI;JjLWJE3PlW(wljfrts0RG(}k| zmJU3SfUx5TOt*y0w2F<_o;-{#s75{whwN96|sU(N#=3qNW zd7}Z~U;0nT_FjPGPGKOTD&tkdS}v|Hi}Bfg{yQop4o=H zaFy^9;f1So&D{c}LteN__jpvGT*wPo>0W;nsCCE-SLxmgRfN27m97=%eRWsy zD5v{sb85Y2<|33SI~P@#)$pa@Ns<|4y@M?5Sn*u=rn*Gftp&DBr91!`2%x#Vz#d2tQh9+rh+}F8 zaZK$cuAGahotb4YElG^z%7rgmn5 z=4njr%tD1UrgmnLLK;&$vxNNtDU$HinR*=r7gIa4^80zlR%tQI*eMEWOzq5Sg*2vi zW{pA`Q#*62LK;&$vsNLEshv4ZA&se>S*MW3)Xp?0q%pNKr;`z~$&0g@4a&5})Xs=U z-LI~|D6nfargmoI8)TgqXEW#Uk$uXGvl;P=^M&@(G`n46YG*FIh~9YKV9s2mHQSb6 ztdPdk&RnA1tTDASmujBI)XrR{kjB)`T&|GD)XrR?kjB)`T&a-8)XrSZs`G8TwxDgB zbwJoQE?qRHcINtetQnNq8bw$PZ`nLm*UiK(3_ zF?q=5YE11++F0f#rgkP{*iLdUF|{+HIY2nFF|{*IZ2m3efEAaf{|E^vO|w{Q zUY5-?Gu!aoMlR0oWA5vUx+}yqrVQ_kww*nDIZ|jy+li~F;#HrnBK>w=-m8?xg4cSL zURjN zHMn<@C$&~cc^$rqd(znCYIlu;Q%rlIgVrPg#dQl@DG|l>LvodJF-o_>l@e2YU*Vwg zR`RXs8eqIq#7rZMSBaEV^{xX>wP9UV5@TG$MOR6X@lL`>$8OA&%UHE$20BMWjcZix zj#*~P8@T()_O|LqF3k1W>!djcC35r`Ubd?BV}LkYs;H`DpXf6sbqj>B!wXEayI%7E zJ45bx6$!JI@_u@el&-v#4b|s;?Ohn3>=|gEn?&46p9H$fcl6 zvM9OvK$-0Gj3R;3CkrjQ%eLXC_7@z~pqB0x$m`oUvmXQafHv*HbX%Z~w8#3Fu+S+; z?|(f06k&PVeJ&olAId+I@Tb=%Aoe>0PyH^$pKffzTFc)R_Sf8O68u@Dzu3&?wwKK` zzW_;m9Q5_e!fc(_`Gc|GXqlR>T3hHq`+FuBYYLx&4$(3hq8}oyi`-XUCZq8_*VZ-L zIRy6^GtHKKh`u%aYqiq%?x$;{vPcllMcNZc>ml-cpW$5oSHd#B_Zf_icl;^@8Hc<+ zAkZs-`mp)OS<>g&U-LtADR@h?PS7s3**hHC4)b6knhf@nHhU)rY8!G3 zfbvu0qZx_HgvwzSWg1bQHk}Glgr7|oWt;sq&zdQFKzqz)|JtGbn_0dGG|%>Hh5a=z znyU)Y2C%Q{ZFU3s`b~kDbp8bg{0JTJ9Tsoacx`IgDZY0IRuwwnN63Ibf;1hjBYX~5 zv>E~+Cg%vhCx&YY;NFOnm!Il3ZDlU z4%dN9X{(&gu^b(;pK|svP(FrBT{&wjoGm}qan@Gqeg?2|)>b&HS&O=NqHeWb6+Q{@ zj;&h8O5sJVhd!T$0zLoC{;86@hXBhU-aA3L*lB-FSHBr(H5P|6Y<6xTNc8ag7ovy- z*Ftfe~9{Ya=gsG>&ioAn|?r-2v zukFAa*a8U4kS_M)FP6L3woA>01%lxX;Ckc+TTR6xRMXk-{x}If$Uk@C5B`Y($&^_G z^0_zYVfGhZq?%*NF0sGxW6cDb4p<-q_H(krl|^G*6Z+k2k&c_yykfW1k~nmtcKbUh zcQ_{jsS>;q`#EB_GQo`ZFjFWLWOOr{m#H zJY0f@eh=WG`?>tHj(?W(&tm>L5`Tv9J>(#@!%oLTbBjH10!R;e3F#E{fv@9X7w8Oa zKo&z^^lOT~=+~AVGITrA7@fS|Ux3xmFioWWn917h%j_>AbbJo9#?tPxcY+N3m{n|3 zJf(`rU2ZA-$WaUVxldw&=oz#Y$&Wj(EdD0d2Q{` z=9=3I(S(uLZ1%?vZD|1`Sb5NFR#~TI9oRB{!NeKOL+==PJ?+`Ruva<4Ls}vQ127H% z{$(jfq98qxE_bL}8E@Ee6ue<=1$Cz#t6@hnaX6_4sRoz0oJ2=x;s%@8wVarqh*^;O z@S6EjmAws4hgbeKxCPX?ZvnjxelE)7Z-Yxq%!qb_kant1zZPzTSJ?aLo@r(}Wy`}< zwkcK@3`Zg#>lXWno-5Wzxb2%F8*5ws5ItRPQrR$M zX#)^n5foCzlgbA}=rWEn_O><$rI^D&73D0^q|%#I-Ww#do$-Lfi6Rq|%XJ00c390c zD=Mg_OlmE5wc@r-DyWrTb1RW+Ifr=m zPXN_1D#xj=gyOKh}1)aY6`WoqFzqr%b5&Z!={RcR}wh6t++<~@D z7914kLW>eCiYX9nO4^2@)HAC`R*PbDL@lzmjoLCY$vzugpik7YI%?VIn1fN#KSayi z%oDhoC!;e@SU8nMg;QCRcq+>lPGw)|jOFH_mO3Z3DjZ}t6=BA5V+#K#ry|T*ni9`E zoUih8OFNsNn3>vJB9Px$(*)SJu+~_6Dq@lpp2Y0MkxI;8qJi=FW9Ev7m3h=VCzL4Z z^iEWp^Ows5YS%q5BRbzLpHZOU-Q#AlrW(t#)zTi8DGPEAvnmY@OcG3La6%tRvbM1# z_feJZo6r}Tn))RX?Of*6C)Pyi@`1^+n8^|#CZxe}@9Bi}|9I+gDib=Dams-KDj)jr} z_23-QIZq5GKGwp<3%sPPko9hf1d+pnMcx;wM5AdbsS=GQncjs;>Xvt+z+BuV4F8@c zDpBBm(mcT|Tv_%{5J2Z7mwZr$f%$$<@C21mBwn^;c2#Hjw>jr40*#oNLR0_8qym{&F|kr z_Bn|)-2cjS5HE%PhFyKqO%U(v3petOCEac0o4aKZLMPE4w@f+-S{3f{TgTc0X^u7O zf3U09$#J7*_1`9n(o-iGBY6Po%9-pjspas!;M`>G~h| zkiNibCd?sszX=fgU$-L3%cU3;XGQIXj?0dOG$m!t+EFL9dyut+1(P+Av!DsvC+T0f z;J{Y3#_~>{P^;KZs)f3(zMOo;Zb|2%gj=%6St1&Rz56JW)*^5&#sBH9&#j4bZcVIn zb)loOywJWik41?yc?)OXS{6zizJKEe4YP7AWSE=T(0!aWY+EP`caN3R(48ven|^<+O3d?Cj686LXbAM&$BaX z!n;yvv2+Q$yTy|9q%1+wWEQrT4xsZ%+A6q@OK{Cat5YfP)5kdt3%!v#L32s!a4~WN zk{v1*URpS?P}>H@13}xJSddZ;hQ$5MT<4p#N;0gmk`31-0=z1^L>MU#pff6|rtqTV zg+i8xF>|AnqoP7ECLR&b8*8~mXL;T@+g@u%#wY9G3^F0k*GXZ&xHbPEzs*;^+U^X^ z$Q9~qGiM#XiW7#U+4+jJc+WOJq05w{*csW` z`5(I^aK-F*Wr9;S*?3ygB0=LCc^=?&7O4NA z#fY=(|CH{*!g=CLA83{9nVO7nTRUgUAi*t|6e%}@IM*P08IuQRkk}=d*mOE~ppbG4 z4*{Hz3E+;h5+xHGI0#$PFva$Y(}gp+kXz^V07;6m2%lX&f`g@*-` z&OaE=g2{sN3l}^$W0)pLOWb^}24?rkZ(POL?|HM9Tsikoo@5Ph06ZA6cHziMPpT4= zLpz+ka&mAw09H9UxV`|0gDaP-S}>fhb9Zco)_z?~a8edwisO^t*bv(@RtOKzSPPsV zI*B!Meb|oTdW8kPyyGLU3JE#gCze6nCvJ?P=fZn(t;fR!{Rv^W3iL;0T-H$u4dRlZ zvOOfvi5yII-qR&4X)ze>m?;+Om={YSDZWE$NbEgCX(=mWyC*#=FydB|64svPo18hc ziH&^KCHf8G=Z>@3 zB8=4-x8fgbTa%y1+=Axbcc%xGFsFzVD2N8mxg3@# ziYPPAxKvuL21_DOxU5>RU`^62#YLSrr;$eVOcaK=_KMp<>Fu46UT8hkllp;)&#{)A z-uhZnRwJmO2}MZW+<+p`XX%(mMFrB*&YrD$qx6uN18JeynxU3=TmP^| zeI+>9HRY=X*%8SlE6${mo0wMJi8Pg!s_d-P=wvA}J4Ve4lvrKYP+m147olyW8YZ79SbETZa zO*B6-Q|qCH6*k#m#m-#8g)_I2l8Lgr;|eP_?zqB&IIeJoV$Fv^P^nHD4O}}(Dnida z8x0(RyP`===V@O;c^l8#2~ma7fLWl?z!8RHElG;kQJkJb?wwh%I-E2MPQZAO`M$&?q`jOK-|)!3Jsm+S&<-}6alUccj& zgq`sV?|h1e(qLFEkkigsQ`8!Cu#zUo@q*v7LEGf~tb&270K zmA>5fq`W%Q(P*lt=}5>JO^0Qlvm3X{E*CWZ)~&KCxh&+xD|W|<4MKcJhM|9Ct&KE1rt6`tils&A_fr?E)^>2g2Hr|Sck{e z$gMLsJ5k2_>EaY87P=;saX)dTG;hEC!vHZ>GS!Zhv&r|Z7pAj=Ax@xQW$ z|2wAXudR7W8WFd8FZ6YD?El+IIM!_)ad_KQn$*Ea8tyVH#vN<=q(pQnln8e%Ll6_! z$K^tXQ#aYr$5n{Jh?&`a1@Ew8oPsN?ak9I7Bt|w?L9XMeL_cFg$Ut%I`stPrf)MJ#es^s6%L?4vlRSTXa|qeqBse za@X*W_DB9t-yDlIPi|*e!&I=^aHqOf^qlh{Ubl#YE8&%-EV&(om9%O%iSfYAuJ*mfF{>L zNzImbVMt7k(HEI2T4*s=?4E+F#LVIQFNs}H^4>ibE{Q`q>V#Vbp)0;FI#GCFoY-gY zb(fvDG9ni4M3{$c);ity#D&HQ2t-2HG`7>rOn zk_G0l@lk0^Dd}Ex?pJHAIGS$oAv4Yj+FZAAv!Jtwu39lk&Kg>SnzV-CHF@(<7A47> zwMm1uhZ`?eoxZ@5d=2hACU^a1onaOld+7=dP~lx#cd!SW!KHKZj?|v5kUNgYfZ;fv zR>&Q`TVq8Hrk${3E_17!W|Cr(oLeCm?#7fIWZH#AGLbK##3dkx^tjw0|lw7;v z=9J#;NZy>1X$_t^n!)6~Y)O|pQ%-COd~!H)x6NWrki4F*rb6!lCauI`KemF6m-@Og z#;ZAM)$_MIMdtYisg+JPxbf>aws)vCV8qR!R*7T=wM`I>z#OWp@8rAKFxbfjz972u zI}D{RRfoq@Nqo6Qh8_!hyR644ozMp(qvNIWn50#Qe<0>qwT;X8y!x>b2_M1NPtx&a-UOzDl1#f=S#1*`0s#jy&EQhwb zyUJEUcVF`+c_XBMDE6j{p0%cFx3>uX`H$Q3T_}D!Fjo#~vj9;`IPVVEr@{lUAZ129^Qfpke26Wpk zjufQ8O~n?hN{E|u1P+TMguL_H#QI)?v{D{FWSsle{20}G#6dfd9oYB1JSd-7c-cg-*w?liU0 z5~{H;F%}MrTtOr%?0;0k5`M#^W#&Cbx7ZBD$t~=hOxRy8zmoS8MeA@rN^O*LQOmKU7wmf|M1P09&A(`w!V8!Z4vx#GQVsi& z*6?sa;Z?$ny&i~e;-ed47rp@8=;W2tDWqG$>}8{~M}>?l6tqknpW`AIOX3d zW^zifiy=~N?2ZtoYvc7=k~RYAB(<0qjFXCK)x@gpUfdGQMYS%ll?7dN5P(q8Si|Eh zM7ESTVXy88)cpm!m9K#}urn;m zmz@ifTGi4`YX5C42M!6mfE>lP@r%)a^IVwNha`M|@#%nw$?oqyi`MY2QDUk|zWWTh z>y(fqfG%DG#R}kFJ%JP}wynSe$b_W2L9)b;9D4|RsyO0}Nu3!I%9hlt#@;`xS61)c z{Aa<&_xNL3w&!Qb8RZN2C7Ay9eAHj454gRj>XE;}=W2*O3*b#vgS!)J6TU8K*_cl@M!kG=9t99nbMho~GF2a8)UtLlr zoVOf9gvl$v9xPZjI-Rj1=63iN#2!C+Gbf&)+$*3KFLBQ`#&MIF)i5`SS+Mb2$hwHc ziFBh$Qj{;bpO^KLjmao`dQ~v2B)PI`eEu9ymBN_Jafu7?I?MM@)|yn$#4 zIFqJHP>(vs-%egkOS~W&uP50DKx;gO#e>IOx4&l}15Dh*`+~VB-;%^4CKX#1MCPzY z5>&BZjBCV_F`mcbD8jmW4Dt(K>A;LEe8E%bR=| z`YVV!R%kp5?+7@fRi;L(Jf3r#cShU3tbIyO_HEo`AK$sRQWW30w~Ht2a^vxA6w4$r zg(pt)^p3rqo@2KKG(i{EcIv<$H(r6NcH+G~DiR_e6r2_$^_08X#Cp`71zR;so&{s3 zb|=AcJ+aM7Ugd*^y9hYeX2q|eC)XKrD&S^*a+YXjgYk-7CJ^pbe$%U3L@Ke2DGC9HG#ie>dDEbixkxhwF5FzY~Buy7Hc z5iotlDN7eFcL*qn$0B^8q<)@zTv31gLQP!0LI{BFarMhrun_*uJ$c#Exywix|L`el z5VkqX7cQ%xdz{T!e0&~VA5L1jVD1VM#=pf2SDd(%BvAAG6Hi!FzgW`|WZj=uSw|Ev zb+0j#i~Q8B>rTgN;uU;)%cPp7%b0YjB<+%<$?39Dhn_O%SR{|`1;ihF>CM-rg3YrQ zrStd1-RrJS4cL_GGb1&1#_Oq0 zlh?0bp9)S-O&y-9a*I$3#%|)rycTSsu@-LXp z@;n^RzcuOm0bF~U0;l_Z%K<)e8d-SQq$>_zKNIj}r=__@`jdIrAHe+kPg6#W-_g97 z`sTWAsn5OP_??kzFq@WdJowVoU%hRq)7PDz3j79R(iegGU2COTMgC}$x_K=*ctok} zH0jq}9-U6(q3#!0CqGtNw{!vB>sK1i(wyb}LM&qy_YB0VB~`2HaFtF^C# zpG#8d;i)UvZ96HoUp`qkwdmYzB}lXp|Och_%x5qUp46|FBy z{mxIb(w$zqBHcUH;)$85AdNqn^b4tQ+Z)41q{2n%{U4w5*qQ0GQsE{|SpQ)vOjoQQ zHsa`1@WKbzf!Ovnn?U5bB2@S7bR`mQm4sgvrC(1UxgPMh1%6EsUOYS1^nRAwuqMp` z`fE{o#Z#%^iA!(4{r0;a=s6JX_0)F(oaOtYnDxj)_Um8vYs=TCN8FssY)hT8 z0^+=3!?Au1jYOXy$#T!vQ?5z>h8F zj|B6_#Dqr90K#pG@GFaOlOX&G2v1prixlB~i*TMGye|k@q44oacFo!8^a~*O;Ytqa zyG8zRbD@mH`D;Wyt}6C78uI$)YGS`y>~}G#ch=6}DBpQ1*}u+5V^WW%Hh&9A=qdK! zFN^UxJb+tQrz-${tAv)M%ZzlVbnhqAEc);%?9i)A(4iNnN335sV&)O)t*M=BWOz?M zjhP=6`&S#|k8knR5h(EaDXjjc5|xHuog&O#QsNIWsa>b0Hv{9-Qz>1al=|IR^BJr7 zYf|4#`|}BZzEW(E@fXqd5dZD9#DAmM?`0+eyms{q0N*U8YJX}lOnz#!rSYWg!XH@p zty1(7@~>D$n$MKNfB?EnpbJY$`_HTVuB`p`QfQr@dTy29li+KfztXHs554aUBwoFa zJ#`bbA$4XE3;p{_Cj6HNQE@nDt=o*Gw*Jc)f>dfI`?3qI&P=l2CH+MFI6M_ht^s&3 zyRH`9`ee@$J$ql<7j$QkYarPU$sZX8MSsQ~FF=f7q7vhnFr%U;Fl?lTv>< zEq%Y=u?*?z=H3H7sw(XtpL?GKPN+fv%kF9r8wv>^Dw0WnwUL=nTm)tkinIwe zgk&ZOB?-)gszO3XaFS3gFiAjBBnd@CNho4tLJ>p_MO+0Y^tJrI&vOHU>#qC0|K_TsbX)^vRHMP6a`>T-^!2>jyX}Y3c~4P& zo|Bamup%{D$;*DR>NmJE)v;`8`S7D?(#z9ntB}jB!HWB}bpq3n_k(SesNBmv^z#H& zuFT-%?t%O+k=BTwn4zn)c=Gy9J~`&Ox!+_{R=P#GRcI+StD=!ArybSt+fyjjLUk?V zvbmH;h|Hu7SMFJK?2q+=ZXMEC_`by*oYBt#>!Ovi4MMam7E8hjdkt{-8z+GaR7b4{0>c zO$~Dr7Z!rt)2mt)9n55Y5Mr)QpgPXCsE$`fti?+mhhU)5%evd65|mxF0mpa(V?YfY zd31}nRP|5^(>L|W2UGP?3#a|fLR49sWkYSc(@pK271W0b{PGMRn)zB6^6bsvZdaj@ zn+KkW%S$qtlbqL8fR1JQ>2~E*_B`5a3KW8|_w#xxs4rxSj=neW^_q(Va`=XtB7?jywK-TzZknj5`7{gzOT5f?Ap8QGN$qxwQIen5@ ze*hwZdvs#qIu*M7uT;-p5k$`gX#?@f<1u|_8gHM>9ch4fKfcBuS5!c~4c>DnODBE# z9U8fHR!?{*ZQb+@B{oM99aj>{vv_KEEn+bX(M_XL*uX}kq%l|b>f4y0zL1arau_! z=}+U8MLch!ailK9&{Z9BAe~W)$^Ub?AyG96<}0n6<`_>x9>w6E!#K z%6z>sR^|JUF|gU-|3K)eF)|n?g8xtgx~h2p;Q~c_5I+9cihvqdWgw&Spk!9-L2k^0 zT_Z+2TaQSLW@7LkE#u#{C0uVR_@{NAG@xdk?>1>os8kCyqeg*(-j+@v?d|iRyBAP$ z6+Xb`Oco-x$;aF*D`Y!=%dV<%Xk-{OvugR;xtfR(r!&FcA#-R#+`q`=Z;t{K=`Td5 zU#scqS~vRuocK^!(>t27QE8@fu%)6Qix(-F$#km<=k_Bu<=Ur3-#K?$|JKi`&M)^X zt*DsnQufI`sFydaZ60PxI?DkKm7h8P1WY5eZlnxNWH$U$0|+tR=~Jo&5d#Od)fsR+8*PITQ=dLVm%nscqP8P zZYsnVzp0GIm`=Yli~o0=MNCjbYo^k=U`^|`h5zrgM-H}XZRu|R9kCN)sUCQF2so~# zJuT1j(#IxC$5=HlvSZIUYFxrs>RTc(OkTRoS12i*LVb}PpQR0&|8{EVfTbaiKAhmp zrp>_?2(q+cg4q*AyHWUCSQNxls;|se{!LTi)Gk1EH0!3vK|Wxg2xwH#Y@OQ?tP7I# zC2)OyUK0zAF6nu#6OBslh#ioM%9AJ^4=_U~u*6YaKc#`wSt!L%^}{z~<{VCCTD2mC z75&4hJYQFYuwCPDDwE;&L-a+O#?-$om8HH9c~`JlpM7qzDpb6g&V>&tad^{3<#YT&12$QL#$hN4CFoa8ja{1qPit(|T$ z6XMC)OI`WdlAQCUAui*bSml?lG~|V;>d=u0O$Y z+g20In&Vi?=)uMI%D)T8F)R+fZ-O0EhZw_!2j#dA*J+JAU?9Y$$GK=hv1$1|hS+io zuc`E^+?Xy8SzURm?KjlgL2+i#;H&?=g@ZS*7K+ix^SsUzlpl@_O~YRE_~>8W?2n!@ z$T4ipSXeK9=ga@MKlZ=;zHL%!zVFAnb{pn@Zf@=hN^;-_b_F_>;nN>zOm(p=%+$n8 zqs_28TOqdFtgZ?8=)+aN=em#7+csNEAytpk+nUWzdqvRJsV5Vz?@We3yg)n)cj$JO zjvdU!@37GwShaP0u2sE)_8D~Hz{ zU_up24Q$CGMi=At0Ttjjdk+I$n4416BM#suF)mN)kY=}!Khuh%#- zz^4wus9}AN4R-HN;+gh7YfIEcw5%W5y8?>?vASXyqNkB+Tt+W~*KO%nd1|Y=4Oc#% z$co9*AhB{Eo5*vokd1!0^w~rv6|V=eDNv{VV#6y4!YrpIZZz=i7XHHPmTRuuSY9U-C=gNd;0mNZf(O zzAf`BL46y0re6980=RjA;tQxo%boT6Z^h;8Ni3VZSkt|8@v3T>);`*QUxy!rM!Kn)MgFzn-$#0>TYJGwN_E1a8$Us#O6U$&Q#OjvayL|P6xPeY z?bf;5>&3wMmJZVxSbaARhycADBPOu`D1+ zL4+a~JehUfon>P~&NwlkLzk z>K>@1IhUam*!w2XSs0Y(WLfZQrra#wns^1fk$C_un5eud>td{xF{)qG$bD7o{J^^QG)sJdb}I&+oM{SZ3x9vB+-> zwq5#6jZn>T*|5=<2E%-&+_@|wl~89he#7n4=p}Rxv)CQi*BaN+GsRvO**6Zh^Bmah zVI5vW4|*XWS*Rt?p6|!YOq^8<)^p0sQek~kf9;q@)1QFCq}&T))pv!k^U^IjvB%~@ z6;f;)7&WA=-ZE5Gw&uCMPIUiIYiz|u^1Z)?iEBs|HIX^{8deTo=`id8*$19!i?P}u zR=A%{<%K9r5%qcs?trOR<*Jp6SLkquv$gvXi3|6`aMR_kxBe%uvmCsnD5wAhFSRnJ`1{##wu7 z5VfWssa&XdZmc2I7{^Sa9D8#4ri~F$)@I>jyen{qIU0!lX%x?83RD0xkP!6uGud&$ zKo5Na&-YhE<|@$ik2R_OwNV}9sV83!WH4uXU(=uC(k7u~0WsOKZv2vzC+qr?n&R>= zLd*`BRE~=8oih(__%Lie_Lv@zGp}Eq1ugz@;$02d{tx+lhiaYUF&6>RG^(MkQ3_;=%p+5&#@gt?eF)$$<+< zISZhR`u>=e2(=;&V3DGkH?Wh$!`OG4O0UoXH@?_es=tqpQ!EO=5Kn4H`&z}VN( zShq^6HvXdq0sg44aAw(5v})27U-bJ-b822p8t%^3%4wg8=g6{5RsiN|p!@_Ehq+MQ zjq(ecIeyVj3MuaxO)VXBRiPT4i|Z>z5&0Tk4kB-+IUy!3d-vmosJ{Y$DRk|XRNx}G zxjJC-s8c8;ccm7fW-9EGy{{{Z5@GQHzerVK)umjDZWY@xw=^n_qCl^~uUxFN91hXP z@hV)A%AJGx3P6Y;T0aTy%86=GWo|azVvD0;sw9>D3en?S`5jXucFuFfCa2L@l>@F! zcjB2PKr7_st(u#Xwh+#p@p(KZp%7e{EY-oZ{u4;QixQl4n+0wV__YCXVUt@01Ppp1 z6-@MQ@Kb-GM-`(R;Qwmm+O6nFm2!8=iv2u4B{F?hp1R9rg?&gBKz`~rW48y;tKF&m zwdw})U{>@~xhb%M1}U3*LUqoKT~?SJt>Vz!oQW7K6&e$z60*?dfd+7p{N#eS^B*C~ zHksZ}wE+%vc%)}dlwE%ylwO1I@?t7W)(h+8&{}kko&LPkztKvRCl0`Z-~O9=^-N_P z!^9%&kNpLvsc#R?g=J0+FV3eFn=0j2;b%Qg zPuNtUJmNq6cNd)V!;9niQNR7k2Bb&YM2C+ZhY;{TY#O)y`%a+IKdgfm@P4VA&hbyB z++h6ciNm+Z)ma+amjOxB45t~OccH_N#o)plIupIOe{+F)Krn^%sa=~J>eEwv+H&LR z>KJ;yN>$)bX?67pU?%a6`pExuB?u#KbR~}VkGCD5fi`9DqwH`ZZlZ_rH|?MPp15|d zS54hm8ymdgr^Q)t6M5DRd zDXF$ls-2Q*i@6%ET#zfjm{fU+Yc9&aNcpl+rpbhNi3jci|6=W)7Uk_jjn{54Br9IY zRiyld$5C7&3eRDJfLM5(zRA4yVkZD)x;7-etCi^uJh1_%iohTSHJbEU1areFDF# zt{IXbK*4O}5E$#J3|1k^#1!yDkfD#xmD22BqZAN1yQrr0sgw@a#cfKmhJ@RyIm1BH}w?Ydi6;V=nMQ95(%gF!sxI=woPhx`ob72<1@MJpA9} zd}sn4F?mC2!=ylyj$zSlRWZFsPI`ZmnKn@venFG@VACYuB?x8#t17lvMta)PQRpJM z*2qd1N23oO~>@Jz-b7RD88-9@W2EEggum z@jzv}>5LXQ@JuIa79Ig(jKf7!bSGuQdsM(4wp6Fp1E-M71!piN=g|FFxF4DO3zVUAOhsj2vr^yop%>)!5}GbR9wg5{K3cP zL`_guSDHF*RU04~xd~RSF!D{ZS#V?qCdft~%dfdD?ZLrYII)K;v~iLx()#ew)ObKa zAZsy{Auw0HNiE$fPd;p)92)PlyEYcaKiHjiWB}1rdFa!W@sIU5m6#I3iyLp`5hE!&UYIAe$>O3fkt+|f0v^Iud`8XUHD zUL_P63ylxZpKRbqw2OUdPD*u9oiO^OHfG32>9%LpiaCXC5;l8WYOtCS5i?bPsA=== z$*EKI2buxZ>I9_K3)?4f&c0Q1Cl7C1K>O+_9~^ca6Rl0p@mm|^Jf{#{FncYp+BR>9Q>Jm z^(-cd03(8Er3OlX;drT`7o+j_B&Vx4#N8alFn>SzI7WzBH4H1vHP(ixl3a+XkcA*i z-7r$9KMEW*h(cBQ%eg9LqpIwqtk?hF+ts&{hN`OJ$L-_PBl+-M_eb?%dOL`_z4Mr5 zzpJdh)kZvLSz}dFK-C!~Q|VlKIbEvdrnB;G=iu9()tmtG)qLAR3iY4gH$v^C;jsG2 zSKggj4n!qpQj(8`Q3hW)a(`lZaLRluSkazUiYRWQ1} zoe7HZ8PKq=z~a_Tg+2?Gb2m$*@mSp#;RM-4mnS;tr652%n*dx9Rg4N=F`rk8d*Pjo z619Snf%G0e_6J%C{9>>NS*RSGh<|r!-gDLjR~qf$C-m-?Hy$lCWS%&dBM%N}-g`Q9 zCO2wc(Mr0@!7rM#4|#CLtvf1cxF0Y*KYge1FCGITUZ|B<_MZ(7Hr(50BAHqKrS8qh53hisLQc+ep zL{bPDar9C~4!jCrbt+;i4K7#1tu!kG-P7Gq%fSWRCcl?-?;a54$r%B1lXC3x=&kMm zH>pym$f}$kI*ER~j0+Xiz`ML-9DO#)2_PT1&8IkciyTkkz=AV2`CUuiifQPuvz&gK zkJz}fXaP> z#(7m}8fbkO6=Bqivckc~(C;iKer-M+@YK7Hr%0TQzCt7ticj&>i_Bpi5&=+P%r7(l z`<9Ou@zD=B!dHJ%d=%aF1dT4@jA~9Z;H)RmQAD+lp zIY`VvpC5#c4DO1sYAajdip1gt6Q7eUBl+U}J!*2wF}Hj5n5W!P#Ex(se!x)^TTcuZ z#5X4A71n;p%UHCpG4%>CeZK^e>WFvvyQ!SgVXhd)foSs~pW^OwYDnYN z+g(8h>3U0isUHOyq^kyj!4wQIc}9SO6kx=E5=^g0-1phKt>QR+pMj6HRIj2>GQ2$u z+Es@qW(sK6`$2HsBC^dvhi{-=?~3NPNqnmxOf0!dkX$@FLYN@EtqVa~jun4UFX?WL z>PrPUKCGK#<=`9LTLGyyoT*kZF6==iIrvlu)mn=)`;%bL zfM^m-VxG=`Ph&O{=CXMgy#;1@CPSkf9jDKr{wbUW)CzKOW*8mS-48!DzE_W4Jt)?l zdN>!DUq2O+@}A3^85Dy(Mj`y z%y#-ay0a)qg`E`9zM(Cf29!TuJOZ9+#Y)&zaTojp1nS$&99je(%IA1e{)3&Ae+i&` zQYPsOnvV`Z)j>pugA%G}4Pw!%UFtUb8f$!?IE3^#*ofeu?NG633tvoN)hLX1aL^jj zJ{+UjVh#Qc6d@*|G!zy|fKD7Bm}@|i4)JYoRx>erHRs?eBHZjjK9 z3hAnGJd}Nwe-=ySK1Q&h^t$vJO?#{DbFY<$;yc2UfnoUxTw$7SrYjlc^o0D+yyB*#XA%`mv#IBnz-E4AL;|TjN`r#0CVL-RxNc>lr>+7&A*L&h_ zkmHybP7dmV0f3ETADDuA5+X|py_<#QR&HWkzK@2xacHBSupt4!nnRsz)QLT_uVyhR z`coh`O79R_?Ex$G>Kz!8u~PV)hp_`F{geUUJ}SB;e)DjqC0k9IqT)R2H`EriK>LP(yrRWO-pTk-$ccyl61=;#NjquJ>^;XT)&SNoAky!dvg!m3Rk!d>{ z(buE$fG-D{wvlT53xi1;!|hmcKKo3*O5wMTY-GovSY%M@`_(2HkJfypRa3SJ^>tE9 zGxZJUe?yp@EE4QYK@g8f*Bts2bF3dHvTF$NnO^+n27KmQ!b?ADQ|*9bv(Pb<8!@D2 zItka%oTw?gpQERFZm@K|SEAR})Y22RZmrt1@npC5P-8cZU~J2$f)Dth76T-bOXLzT z8y}j)!cA#rSjsK?g?*$t?V7-}r8GE@>%mM^<8$@ajo86;7hYp%fKq%6j6GxWf2^7V3WFQw zrL)GmP;vPBsw{k>YHC;|ND>I2zzOFIGe=k<13&S5CdX zuq))y!+!dE27jawkj8pdY6q0n0m_5fhd33p>b5yDmp<0QQ8v>aQ8e84!XdO18cmY2 z?F849c%Cl|VArb<{;95+UV)9NRBv%B#0oSENR*0#H@k`R+TjNfXMrN;kMMp~XIS zZf}M7gEqG6B7<)gjL$aR)=@6;3i7h#|B!`x+b*c-kF6LqY9z3muq~+enddpKa2PvL z!j#+7nmy42rTrGlJy|tFF`nP^ULJ~gc1*PlbYnj`vKMI7)*FMvo=m6OGF-O@A3ee= z*UFb4;hU^{ds93gp?iY^G^Lhw^6T-4de&%HFoOMP24rp2ER>P{tQK2U3uUA~laVeo zMmkvUt|`W*9G@WE947o(nsy8)a*d1x`)eU}0L_+oq?glK{yScq+j?0hJEFZ|U<>C5 zoe~!TY-9$T`T^-mbkl7&+uAVFIVfSwsF**N*~O%e-dsyP@}C&p{}r41W6MKLzXm2H zk4U|iX5^pPSkhfJY-}TGO@V5K@nKIeYkQT}mOI}1@4BobqG2qfBS2wWj0>mXYPtbFOBKmc+> zR26HQKctE*wMb$*R%W@Idiq-P%hbcroo#4*9;&QPKl)hAKTe!P= zJ%#w@7hv7_x$#%i_#MAdM&rxUWNn{S%q=J6@bl)U3E^B1uk`d@JpjkMi?L?7FgX<# zJ=_at{b-F^l*0<(45c5_11%m(Tb{ygFBKH!w}4dQvHa9cIGHUt%kPm=gj=6$5EFRk z@W7nR95o{KwaZBm#T{UjfC>ckhi{{Xwj?|LL-joZ``Q4JPrXOa;yZ4Z2YoN67vVJO zd<$g<-CBwgJ&G^s;?K>QTbMTO;#)b9%X+az0qF&d5W$GV7x#iPRHC$2M21qS3fLF3 z@y2+mg1Pdr%Yt%!0if0E-s)??c|t3Zw?c9Wrbr7!=(uFc35zaEDU9z}d>1|J5f^A6a`0aF#dmIdB+)KrCAmdlGG_l@7)cZ%I!jZ;fS*heTT zs~0cJtG^v&o5N6|U4jUHUjVp>@#c}L6e(f71P1MdrKyZcXglmlW$>1aVJZE9bYUxA z50BaunF|F-g35>k{#UJ-mH-LdA1B`wZ|7&VA!AyVH4eDdiwKWflrkIcnvWvPw{AYlO?OxeX{v%GV@I%|jXDN6-%U98@JO1h zy&_&o*WV|{{1u86Ug6gU8B_CV8ejOjHVkx4Rp@6bU4`aB&pnkH&>w270MT_$LamkK zd5Iq7lqYILoO)JS?eQNDPo}?^znDfB(qZ|lZKI3nmO^@=wojE&0AaR^Yn$l=q93fk zSZP^pe??#WIds&rd;Z#8RFH+}V|%>1b2y_wPsvbyTbrlLA`!s8tL;WoC7wyFyYI#% zu{&59x95ze@6v5?k#?F|n==SqlHoX33tAXpYCJ`@B0>Oty;RG1Bh_1VR-wnB!*4TH z70?J957Z|{8kzOA@jh5w$Q$Ho%9ql(l5vJA}tV({iT3czo^AJ_DbR8+feh$c;IK2)(XcE zBNpuI@xI8|7;8*!Un?-a3u;-uol8|nsj#e;4cDBdrNpuo(MQ7l->HSEX)Ws--7#B5 z@H>1k9)YEhb0iIoEr-Qa8?V%pl2m4yKv+kX&;xknQM8(0%S=bORa8lqiTr*o_OI2) zdw`@!Mj}aS11HO4EUdp+^EyB=hrvAaIIN(kxK29raV?x#%H#IrxUp&!v#pn8EnW=b zQ9G_aQUj-WREwe6!wM%BrxeodZoq+@7)92&N)YB)VRB~0KaNAdnP5oWfZxBf@v|9i zhAR?w0tB--=^`@k(DGo!eS7J4Cmo((iHx0cEE2tYibvnf!}}z&w8O!;>F|US4BG3# zJZL*5heuhk@>f?IF*Et-}T*0H9BfXy*41$69D}&SL%++ z%1X6!gt`+XF2@C9hOgSQ>zJB``woeg`36w>*?o3aOH-d_$P0ce#{Ve5jiO6S)e0~> z2p$_Xd{q!e=6+j*pKiyk=U7Bwd1xa%ozQ9|7-6O)xVlJHbJhLyB)oOPIlWhQ*+?yQUpvV8TiUQixx1gVC>51mQh`ZuM`*xh>M*2keAVF4rpw zIJHqU(GA27MxqKCumCtAf-xn&_)m>l;=SkpNaDR&BJY1AS5S@c8}kR!Z|B$=>DN~q z((gf*eqR;Ea^qN@YkO|tFaOq97Ti9%<*M*o$kK0~i!7`z`laI9TOhh2fJkbtyRjzZ zWD6@y)lzewQS*DLIse9*+$M*FW9Ghz5BkmY*M??j74@32Gau1A(SC>N`;L=g#31*B$l))Fdj`H9mcOS<;WEq^e9Uy`vUpq;N#x#lSKDQI9B^_LGPY~b zhnZHCiojE$af}L^FIlFq0QQZKxuFU;DepjzA)HtyRi|tEiyE?G5xhoXG+o3^arvZC z{#et$K?NXonD7r`IvU0c?s;CRVSMg+Za9p2iG+HFg>&wTfn4!ZjfJ!#qai4&d>!jBy-q0E z`I>IMAPpU0n#HhqRQ69233&@T0F53R)Fc^D1b#XAJ*F5jOiPUZosj-5LI1X~FJwN% zG}a|Ys){=fP=)#`Kzqfrr8U;bCnZ0ZZS!HZC|nY-MmNG?rPo zV7xGkv$YI)e2xf0XKV4W%@NbZ`C3@@L@$US@l`D^Q*y5ncXNUbcqlHBYp()3t<0AJ zGwxr8lb<=63$LpS#<45@tLQ%6veg(!V?!PE7Z}yleTd|Ea{(Q3nvrD26BT0}7E@SA ztB}&{5Q5=X)#Zs@Bu(tA3kFqzOj?CV!$h>o$xoUck)_0Syv|c zVkfJtClTCxE6@2UPByh-&DVtpHE%dZ^y?I^{dzq}4G5ZGqzjpS7!{uk$~>oh(vrFu z6g%Ry%h>}3>0X6($v|^+oV@1G)|EkW^6EQ}u%Eor=b`HP)tKb5E3#2*r;7)mqz(q) zz&UkW6e46JtVL5?WBL}74fKH?aN~C*e$4<$Q%gt+Z_-Dua`eu^oqN<40rj}~22VgLLb{2(K;5F=8->BQd2vawpY*2QDCESL><{l|9Zh4ZBd?khpQd3JIZ z%z14*_QV6g+#?GqDtyG@cc4eX#@s9yNb9@7JnZEn4nkhE(P%eMNhFEm*8}_&HsysO zPPIH~Bu>9Oj$7E%;HvH7t#SNOK4}0(qV7g|LgS$r-P1|@21^?{(f}Iq+7WOnT__kQ zU8rhcbYa;zu2t25yRd8=fBBOQRO4ebTD672hWrJnd?AIOJG&m9 zyDJ{rSf?|Q)@*oH_?y3z0$VlKz+|j~7$}QJ>Ooph<^|wM;cq;-KE5%QZ_PKpf=dXg zsE==qD(92g0-MVvNbT|Y9QOoSDs(63&2T_`SKX51;Qx0}d5W^Y?9tn9wbIFYDreX5 zD~F0)G&!qWsBtEOp5sC<|RZRd3gdGSpAVsjtcx{+t@)5T&E2~PbeubO~$kLQ7V_u&}^O&?z zRu5nhbT~0P4+b?G7z)p>jVWBuUyp}1-KK!hqc2i``U$~_-MId;81B9e;kRuY$x~~- zn7>ZL9;RY3wGwv?_t=?u{49&cU5B6evx|HE0zAQT%XwL@vw1xUsSMvW+$HxMk$aZQ zJxAo8*X!`uKpplhP*Y2$9`KwW`KWB;wiGY zA;x__h$PQvyeq6@)Pr?5BUWO%tr_f?+00=LPga?ccDn5XY3fG)gR&*E?aD z3G!-V;P6UciJ2m=Hox9iVy0NZ$kNfGjGRfA*IQ7VCzZFBS2-_=v9mmxpR=hx7OGt} zEkxSfFA$c>o}l{?suxH1`e;jXNhFnHwr@;EfNXsWy_;;aTakrjCyw7vcG~T)Sg8W3 zD~V=FYnQJsV8k2ZwSx@hqBHD#F`kQofOZYa(Hx znPP1xjin~`%hL$O;C0ikLSAtOVyn=nlN{ua&_9VyKM5hIgYOc`2PKx{RhSt0nCIQX zV5xYDog+H^&9Ghig3R%c^L+nI>KDVygh4`1Ms~VB>!Xe^0&QB01k->e&^_fc7Bj`H zaRuf=V--7i;j%0rZ_-2 zYQyZd8WE>8cb=C!mtDQ{JGs*v< zL(gJnAb(~W&yTa1hA)rDt>4$W@u7R=&TUuk{6Oy9E_as6o!fEe4!(11Ej;u>Ej$$N zgkBf%szpK(+cV>c<+0n}kPSDt<{&b_r#fw7{3~V*AtGlxv%Vwbp6Pt(Nb8E=5 zY3ERSGBCAwH}+1T_6I^HKWwNU*I+OHB@Lg|p&mI0JS41ftP#&hm((aHgF#&p3?s&% zZ-aA?qRHv1tc0D*=|c8eUu2t(NFSM;PG2)hp&(QFqK47F2@XnhM!FEaxGC62+W=x{ zY=9iyR2U4n;soTqDnfQJ9N-t(*Br=I%@$TgY@k$n+K1 z(AkotUY)GscH!o8lDzw8_|{RFVhCWvUzBkknodmb}GBxvKh|IlQU;)8y2WJ>4xqFb2!~@ zn1>W#FS_X`fL3lQj1ifq{@59MExF7eh8_LyJ)lWRBmPBxtDf^~>^^gd=lG!v9{AUF zXI_4dgY(88vT|xTN^ti0@s;q2HxBN?L=9(o}Zn4v)bmi-@lmKv3lGk}}m-S8!XHFXQ@8Jp87t`J?%>pr}qJFoh^NY026@JT>F zXB1{mzl_8E0VlRr+hZWHgj2mR!2ghE&%UDYE_yO&CcuC9_UbYA@+(YRkBfn1nFc(a zPR}tLZ^;61U*=&gZBVRH=!W@=;=`a&laU*B_h8izcU}@x?o6Fsofk!&p9$5TkNMsl z0Hbm1%g8A}lm@{K=U&qFe2tSSJ;`71WkD<*=L`a*S075AA}*?5Ml5yCwCif&Ey7A4vSLFBkt;p zQMw{_!f7zL@iC9AAi_RHZU$_l0CtfQj(k7s0PKpiFGhaEM=OOqhpx@oNv$}gn-{`pc z`h5+M(W~==-Am(eX|S6w> zXsX?08i_ACc<{iau_+_cug;I_;#7V!LH>6!E1d6Z#MqjBZT|N}^q&wTrWG~Q>piTZ z^EH2fRA5v2?o3{u8?^Ep>8DXbE+XfOmObL9(O=vH0P?^bf_$IQ9qBR_TkVgXmMIqtKp;arpHcb$+UKJ<`#}@*1OzM zI8#MMMm(b5a|iMpmQ>K6!(rh*B6DszFt&WmGYD|s92EA+q(k;F?=h6BrRkTsgb+!b zsFeHOkozj-zGBTQWM@SXv*2+zd3s%+&nDv9rb<`$T}mA09D%=}NyCz_pMtJLY-uzU z9232}K0W!3v+=rVdL!$;#?j?oBOBax>EeF%>ZA-CJ#0wLR!1pghm0CDbZBzTQ&Aem zS895Y$j1;`u&>i_Q?SW|UJo5?oVsClUr!frn6KA?c^R{7dZT9QzC`R}bUajArf!WI zb__w9kHVUn1`^QRN`GPh;`fG{HUr4Q>6ksQi>-UN4l_AQ>xHlEMjkXi=6T^qeDN}r ze3IMdbGhP^D@Or!QOKR>1Pi28FaFhAHyN6(j^|?I0hSJ@i6`U-;590uhpN<$MXlmo z9(qLJbSpBT^+D&>!8oT!&;ScH_o@4E)AwTO$0j)?)Rh%kh|J8gUzP_S7o^}KU5~(9 zI)HU$ug3{N1Hmlr(B4DQNUMWjU^(W8yioHB7v&XZ$`ykJ7il@1Sk^qCEh<+CuH_TW zwiRcTYdQi4fD^@ql?JG!kXH!oTQcnng+wFS6R*9^f>qxpkn~dRTIX0#e_t{NeWvNr zJiLW6BAB zDcYT4d>YJpY6=TQk9m=d(guSZ0VFr{3}hi=yH{+V=$)TO ztIO(%Y-BN505=dCL1qUB!m87I7b9;irR98tP2TY|w;;d~R?If)?c!MbR%pvnK7|VT z!ndvlJn?%X4W^S*OG4w74>MN=`3D)b1)xX>_rkTS%%2}(F#l-w+O+0t3#3grS>)I( z2><>eOr}oVZ0RV)IqH!%|0jW(*Hegpo%VL^`WpJMg_BP<4TPqIMdjtul3>wgF9{-Y zc8C$vbVe+zxxhyn{|O|L5A(>E8bGAw7>wr~Jnx6V>P*=oC7=WCc#H)z2>c;zT;-{} z3|H}E2Kfs&pJv*CbIH3N{`!sERRi&O(sW|I{~|wJTD(SQ`VuL>0z{^O;rHM#mlYO!vLL#;at`yp(1E zvj16ZeL@=rDYd;8<3x$d#`0LzMAIbGChCUjgM6M&-!%#R*J#ZQo90X0`k zo$T{dElZ`{1i-F_%)MV}}2MAqNsiV4m zV9m{)r=mxrr7c%=cQ4yY`mXMrZQB4vjS zS-iO0>74ecKkofSdfp(MY;x;PClNmQw-DL?U~sJXK=MA!C1F(A4TNPHDD zwduN-R%4?OKhmVEM)a|tgN{~D!b6WBCc=t@u^qF^JZ1!5kD;T}r&hS~3%LH2s z2o|sc(I;@CSWfIAm;!m39l>{DeuW8yr1?nY0RbLzRE}D4vHR17@4>EB0%LgqzZRNV zOIeP?KNfNqQ1vQr+LyY8y15Zr;s(HuUuC)B5Y1&98;YmJ?~VkV;mBu#54|MQ zM-9Vh95$Z83K(Z|g3aS0Ah~sN+C2vq1ZK49MMJ5XgU%xRX(gvTJ!!U5q=lXe$G;J(FCO^$1h(293o1d350gb}6+L1mYYu`X$ZAnrV?jDU zl{#yJ&0P3Q%;Y{;-F4i|eoL4#r4k>n3ohpxuygwHYooQnn1Gy;x3Ogf-3H;Ih=*rn zQs<|Uz6gVWhd!Wzc%mm&vQyr+AkH?@f*oCt^7tH+aFka$IE7YBELZ(9J14Hh*j7qd1wEP=n3G05*wTCG&{!3vXw7UsZF@uf*w9 zvTR?kSz-Gp+4NMH8TK?}0qdq`!Y~A^)ONpPW4KuBpjS4*v z)JR?nYeDi_%0N6I#+!}{>je4h3IG%M{uf3IIS-Y>nbFMPb3<>$#fkX!6uTN0jN!^> z8?#kn&KRy6X#6{MpW)^ArqunsF&m0}Qg@$hs=G#x{f)1Rcmn)`eAks1%g1ulJ95)b zsklLIs*vM%8$(Jo{xbx_JbIed%F}Y!BDw2ZskvD0Iw{9Xu086iG?_2X~1a#p%Uq7j|a zEWJ572^JeVeNtl|=3)WX{h-;bz`l>cmb7OjfLaOTYUz z+)*fPAs-cveWDP3s+n0B1tJX1`ZZf6{Z;%u(63mcS)?Iy0ls#;)eOlO@sVb5lQ-q= z4>n}_gfJVwBw)GTS)$>|Mj1oP5 zRwjF<5JIFOkEaSn#${HdnGAofa~vUC6!?YC)uh@z0vbpF6*8$gs_WgjM!wi4_Db9^ zFnZF}D+n?yzkE`yU(>sD{P~AEMbMuaSNQQ&j5SDjB1Dxstg4rZ2YVN9trufc-4Ml* zlajYCA6NWRy_9$EL+JylhxlIPHm~nP-0&~mcf_9Qdj^+IN<}YU%H5HD2H|)G7}OSs zt8uvLPssV{-G3l*Ir|wyZOV-^SNn-Fh?~iUX!X!vMtwewEu{`OJB?8qKX2 zyhBBOLvG(78y3D-pJ&+s%e}p(v)HbxjV7ozk_W?L4K!ZmniuGuTY*hfw zL0}Bn=mUcUpB%`@-?m$OU5bl)7IhKJ!YiWy0Swr8$=UP6r!U!A53gpAfJQTKk=I(6 zW!t<5XE!Es<(&=j#UaAn%`(p1B>BD#Ir_I7ub53qtTB8eP`+0Lj(VXX0#>PSNsU^x zE=dG|PaE=XmWQdF-b6^6wJ)?H&jC?_mdFgO;w?(i-m)YXZ>}(aDHH*bfz3E~JV}Vw ziiR*K8M@XXqtVq3IV)iOoMKAAS`Db?{Rg4?=bVfKjrba>sx(|1BW`Q;|aA}9+9|74tQR9c(qnuq)d^?TT>K*~8yj62-gMr_Hw4uYj z>JXC^eR7Eq;^3=wFGT9d=4UrM23s zw`^j(N-{VQp1d?o!i^UrG7nl9Ho^k+LeP2&Hl(R<8Hoz(WOuE-A=0;X65RF~xLSSL zoB1QzpX(jQZ?u_}I*k@)AtkvA#ba7EiT*lHxm4_khw@$2$!YIsw=bM5*1HV5xUx#2u<^!#0H|sepWBLNICs%ZiAmcO!m~Am=~{`HZGPe%)Dbb5 zW4!Zcz#|x7lrIw5jQe&YbZI}j|7jx6mJN+qN~uU>4PygbZ}Tua=v>1p5QoN-^GhFA z@1x=3L|G;~aceHk11QmGFmEh37`UaT!3ATv!E?88gD;Nd2G2oz^mBvje$!yUXmI*( z8vI%sEWX;{era%>G`Lq9T!#jij6;JzG`O*cZv(uF2X4o$xxgKJmAi9Me`{X8vPMSP z%Zf3CCDuIXei#&{8BYiN7rrRME)9LomDW_jYi^wgcQ6bo5-E8Ll2(-Dod3cq#Hlb3 z>9^U`eZ|lPFY(U7h-(1W->Fs%>N0Bb(gkx1vF(LwQp7Owxdx!_LMaoZ&SFox%^+B7s7B7~Z`Z+-EH-veXC8E=U7|jK#ZRmU&&rQh3^(QifvP$ zg_c&x8Fp5|jc<#zkBn=D*)B5Z>w+WCg^0Jq6kfBf1#abDFB7nGZrvRE45CmWls|M- zs#l@<5I=PSW9t$Qs_#N>0OSLpN1zM8LYb|L*TU%Sl?K%MXkEq&L*#Sgq%ePbIF zOF~+oRE*FMPNvRGAcIM~oL0aP1Jd?+~U62WNiu0de0m(l??Q=sN+(qbSO zUz}*R6fOeCcdS1(fGLb;_gBH_7K_oKMv#F+`ZyTB(S9Iq8DR>+yhI|7>F!NN-52o~O+*ZWsCEW&=%6g$7T z;SriK(Us1r=dn*rA~rL$c=9h+#PvzN=m9@ytRk|RJg3`!5O=NFHHn(JkzXMv{-S`4XVzg<)I}Ey!!zsf@7;}4d1SjV6JX3njD)gw=MW(P z16=}&IZ%g1bbM2R=`XBfz^hJ4=?JIa7sqZFxGZ(k+5a1lNy4ziVaX$g{5Q4wf2GU+ zXO0uo?SEyEiwRCEM&t;}r$4W=7CdtYKpcPGQOb_K$9R;Vhjcd1KbNUmD=rU&_^a!% ziX;d?tsaK*rUKMlDT4>4B>i8E-XCY_U^C2BH#hoaIJa-`VYB1<=+*K4Ow*d3Z+0GG zin24>R{~snRX1Ex`^72zhJMvvfed>zC!@p?tv`8t&lfP-_cAqzXVv#!rWO70T~4rP zm2J8z{8YDDh~0Oz85;dYRBYU{ZIF-%x;c$;%tgYd_mIXS3^%@+DY7cI*oOP60Sp1* zNERpmdQWv%f72tHQk{~HZMt?D)B}09W9{AI{?>+umH>i?)I$rQ)Q>@OH*RQ(u>;V{ zlHTfjWK@e)WfO7!2eA&!miD{*IStMNBPbD@Xz(!;05~h-N~t|^A~7M@C&;rk@FMUr zzv3FLDo)*x#`cR}85wyW&}Om86E(IAbYr_#4kPCQRM}>1PV*KU(%;H*^Q4_!g9tKr z;2UO1$m0b(GQSkgQ}7}Nu7n?il`+akPq?Xx17ifxpBja9byUxxhR;O1qnLHwE~BIx zPlvHuAqO59>0l1?ksAHE#{EVO3qoty0W0AP5T2Aut!$cdi|Q+%`orLs*G?; z)SL+9s7Lr~m=!6f656rNC_js}OCUC#kn~16^3*-8AEH}*lv={}o6zO7L<7g|9^g}2!#mnuJbBv)r$93|CT*QL)~e-kj9kEO@Q$`KvkLpcoZ{^!*T4b z`9-#dOtaphDSDp7QUY>?l=QW#`3R3k0x!U&0e5)#{Vi&F{({FIe!G7~YdgUY3P~`K zSz_I6N8nzdJGA#QmA%;>>EhhrBiOjqlh-SfCBWc>C?ewg5_k@vQ=%$Apa!Po9V(^X82o-1{8qj^HL5)&aKGlts{WZfrmU)Yo_;?T z#qEO5`u=7!aV&~~Kt?gSAHrNS#d#g5>Uz8Ujkfq}CVHu2aEj{yR=+#DuV>#kaFyR6 zqrzI(tbilBmKzy`gmkw#I}HWYQu27XgeS*}dg;r7NhMc6mn z!RlL6A8%`~N)h!TV&Wgw}iSy+Pc3QIZ5 zq39^h5d-vDWU8|w_Mth>ye}MRlYp1rmj=#)#a>qx8D_Hl*o>RTIgoEV5+ZA}5@eRM zxQ1aK&WfWZK2-J_q3FOAmeBJh6y{|NhXk@8mn1^3NC&@>r@yQLZDeQcLY3?SwYny2 zr(dqFM&E`C#|;QT2v@}rb@eKCobWY|{vsQ3PG2G#9$T_QAIU+^`I23!Z7_}_c>y_1EaBz|SRH$N7_zc_7 zwwW-FSHum!UYw4*0l$R_l$ou5AK)(UqS7(R0*$?RzIKu9heG3hXZ9M3iH<=c_|4j~uvWztxr*YFz5 zi|g?;SeymMi&LEC=CsLxZk7u&_%AnEIQ=K+rfKj9=iot!30FzQ(Cg5^H#ya%r>G}4M3 zpY)=v9qa{&?|^-t?(P$9z)$X8fs27YTBWv%ZWZnOdH`Ta2z&kO@m8_N zX`q{$TcEtrE|X&oOhl06c;=lqF|H7srV7&STh3$w|CDUHcZp5eC>dU&$wut(EQ`jlVQDr!QUElZcr(-ML~6^GQwy>qs@ z`yh>|DgUX;YQ+YfnpP&PwCeYsaKjYG>`pPs8L+a5gdsyB(mOml@X_|IM~_H!rMFH< zbValeZy)|hL=Ae`sC%^_5N4i#W0U@H+avJD|9pj9&o_UUvHsJff4)=AIGrSowU&3p zCH6UI8iL4oeqfE))bO1e|4#4?;M^DCFd;goKGdRj8gRleDW zw8L}KdgKAZ!9;zDc;HTwgy)IRZ99XFaivT+GBy(98MjQp4^^^(_A;qU7RZ@%+us9h(u|Qj7&B5r9i?M z>}BA@r?uqSWA*!+B1N5i*>_~Wke%|$;Oa-0;o@a(`L>ZXca!8yggfCYh~GL=>@)p9 z{wmDZQ7o=EtwOMVV?Zkrl9&~^cTkt_r>n=*!<(sL4vhoHT-mh^e0JiwbHaXkHfsBK zykmz2PI=t+Il6=c=X|KiNO{D2Rowx+Ruy&@YE1pAJSv`*^_bjSgHJ$ppci^7# zgOH!t7lpG8IF1t?n<9j5s?Mj&*j{`|dio_qBNPhcDv;x_%ra1bUji<6DJ_)JhnknC z!A6md=3!EJnC0+%KPn$1UqT|#(W8;B>i=W!J-{rhsYZ!r(|wzU z>O0ZWtM?&xqkMaSEdNO2p7;-`s3e**rBeGgQa%)=&Y?uI^Y}s0ZtP zvojO*p()`pp^s0%Lf?!R5)|qK8~aAa8*CQp1G7{0fr-)Cv2ih1Uz^oS9ZQzOjlrQ! zaHC$I?3>wG5T_*^!I8okjMRs=jLd*nYI0)p(A326a1@ZLjrC2PGBg!rLu6NVEU#zB zuWl@*zOu7`KbSK!H8Bcj5uuHnhQ<*IeCSt0_31_R(V=l|fa%Ghfsx^np+Re_)oaV0 z`Ztt=w+L5ZRcE=$mN1MDk8B7bThY0yqaZ&H zKi)SM8hLhPFovx@I5I6?>Y5pu7%xnV#Ej%hVRn3aWW)H-U>ia_C9OAw0HQ+ElLKRe z_;Y-*J_ExWFzXGWbp|$$U_wJ{O-_uC${KBAcBZg#sBaK4lZ9H$lfJPrgrhLrH!?aa zRSU_}Hzw{+&W=tGZ5|m!>mZNE2PWqVnEd)?N1@s`)i*jiG+M|?YmYB2S-rZW6lx`T z5~36`d!`^wSPuy%EZPj+VX!_qGi43dQ7SQxXuEpHnk7pSn1Pv5^c^%Pwa{FBK%_V?#3=CkA<6lD@ub zE!ucyYOWq)E6F`PvL&=s>{#d%=!ep{=%b!Zh~VVJIQkt2jlN+dv5p+8)?f_qY+Su$ zePMWFY7AWyttO3DA0F-7Fuh2uh7o0Qv-G2Sv=QrLO%pmX)+K1*nUJ1?L(>COBa<@| zQ-z7inZ7Ax@9a2|V{nltgN!#Jk5B|oqFG~WF4S6MEcwxxj{QOer`FUlIF<`2V4zT+ z7#*y`MT|=_wjq~AXH(zk?2rg-Vga!QVLkLSd?6M|7BX(rz<@PTX?Yj!kBm<=+yp#+ zO|@-VTUZu0MRh22-A(v%}^@m5|1fR7PKkr-ZVAE;)c6Emg>tlq$hr*hUvdO`}na?=*?;-Eq+H zu4I9a3(UgM5TZMVDx$qH9*hoQ$oBybUtk~Xo9PSw^v@2*aeZ*2J}@^hiryo`?_hhp z93C%=;^l~VIWk^eTBv5rmsC0m^=VisV3-L5*I3^ct!2#I=7FU?fN`Q3b*jv?!m0z^mpr|>i5C^6<(0b3dbzS>*{kbW25+T1&G>*TWfm}q$B^ix z7m`&*ui!}to?BU2D`(h;V3`nGB_x;R!Sc?s42a7M=#yhZV<=0EODYcyVCowhlRko6 zotDW$Qchw$I~iNKKD}{bYDSt%$Fkr9C$rEXSSHk^Ys}2qgcou;R_drDQBr*WS7JMW z`MKauuUWgMqp%STgft56uHyz$mW|%fNvtM^$zXce7*;AH(}(F6$g)%VN|-M~?8c_& zb@j$+p)ic!q#vIdhNFq!r@|Yq~JAsg414 z0Mjz22uqqmeG^s&qeC2hWRWsG!|>HQN}U++m#tpDw6lUSNsQ}oE%O~*!6c4|t;p)t zNp!lQtZ^k4raq1ZIJ<@2=!`AcLNiRyja!<<@dHgfJBcnMO93ppCdbh7mgQlvlHqY= z9E*V14x9T%Pri>Zn6!D+;LW?}|DOktHbrAsLZkhn2% zO1w-46EfcE8h&76Y;tr60WECO_QV_&OP9iAvDr6+HDS;bO9lMV=NPLE9H}&ZQ=#H< zGVEL%6fn-B1Jq^AQYceky??F_G7e>^@+(aHlhw;y(&h8hQaU|i?IP2C1om5uVj_jQO1G?q9fxYGg3Ad zSkak@=vNHr@{Z-5%P{lC{f4S{u8}&0jt&bFE{wYU!5S>h3yL@*0^z1qGkln*TG%kj z5l?*Kk^?)pDXdkZ0v6o;vgVX=YXX@#JUX$tj@20cAL=WF#Tl}3ZVv0e8snyUi#jtCrwollqE=s1U0oQS8p13l6uy`rru0*W<~C1E$<|j+r0dB2uuqtqi}@dV z6!%Z{afy`MkZlVFcQpuwvFQy3^B$cF`<^Ya0&q(`s^f32U>0G;DJ~5$HMAjaoI~AW zsYpk6tsrrXAMt|%o3-G%xy@63=w~w%!;}r-LX~BzoSegefF1;2v2~rpSUo;nA05ZK zL3X>?3v4QkhI%M7F2bWS0ZmQJN$ZY=g`Ak2hBu>F8{s}yrW>QFaV${=2jS0_88JHw zn~2hAh}9_4I7|*OHamdj!|2eMY%HR>H)HudaBZiWZG^jO# zGEtDwg?J$7Ll}r8K)5lBX&h$*a?mmw;w_%qSRvDQ+}MQf5>`xc!z|5#?|^gsMlCQ- zOW%^~;Kb(fd3P7h`*g&-Pe;!C^wN2sj*30Jq1<`5abS&d%t`C@;ux}0WRWKgZpv8* z4j|A34J7u=vgX22KOVPOwziNyCzCU>1pmf^3O%)gU99mYHp>kWvngH1JlEMUfeo

    A$Gq34bF~XHkF^6!TD5OS~?EmSXfNWgn@W?c6^|KwNrywSaG3Si?WOs z!P4*qwpd|UkrtIwPMyWJN&x0FY|XZWrmlCE;XBSjBw?h(BZy;@*lf-YZ^BZvyk!tU zifUo7oR+moFe2+WNYM)RoLFSi3pscx3{KBr1JzlMCIF@<`v$beVgD>?1{Vv%sOU7d zKy?@n#!(a&ywI3LZD$JO7+m8=8QVg95Opa_wsRY?M;2Km=;TIGmk{7{-{|B<+3W#e zz>7{}_a)B96%KCokM?1^g?h{BfZW+QG>QQLAfE2Y0aFMoD};? zUf~n!fKIq#b)~)>+hDntO8}_PG*;4+;T%DZD_3BD63+faWJ$HMwt$IsVptkrcw9m( z{Mu!eAQ<;5!Yu7v6UJLDR;bR^tAlXo%8vB`@LCe#;}#xp&|KfZ036u$@IznTws7eX zP6|hd<_>CWUv$`_!w-A;5g4DbQ3}mCHy2i(amzF}7j6`~y2ADjJKiOj)6f92xDGeN z_Eg$%5}&cU!}%n(sS_Az=H@sr0*-E+mVdF7jrmxQ;{CH5KyR3u*c{6V)7xlYKge*b zH%d3KUWjK}GgC;G`c(b!0nC(`cjd$sXLE(oaH>_n3?kD2Y(^i^@3mHg8zyEZaHFpu zE5kzn)F~o0Juonh?vI&BwmCwuYKYemxN4)3WyPA*LzcP0RoS-#$ze=k^Tv^Z zja>T3#6N{aDLlklY+6>2b*$5{rjay+olRl~!J0*9n2x^B&4m=vM3Eep2YGXIcrg`6 zl5=zQk?HztaC|O$GTLARFgPR;)U^nXqh-z!$#G1Tb8|BD$R{+%po|H_Sg;_@Xfm`7 zR)x3)Hg1Q2`>^W7x#KKS+fV{2MUspjkQ*Z`t(3w zumdNhllS2v296ofY*?T+g*OHuELEgbbPTzKxNaDo=$ z00D~@oM+1h2KBW@5F`TKD$EX_{1qy@Mn$zbfiY3Yr zR%(dmvXx6p!64{mK*2$d^36EGP9LrX8*N|mFSyrqQ;!B*tgwsw1)YRky zB8gtXsE2Dz7*qmAMLVot@Fd2Hh+f!7Rwo>kV{Q{2bQw5Jow_!2I2XrVIeK1GU4?7k zc!*lRoG*rFGq@{$%ZxZOF*|KZDI|j^SOX-NdhCa?c))CqjwI{N=mR1p~-O#x;-{alb1{l|6utM*g#VMki zW&b>4Q4DwJ6~SxSIL6~e=-}W2dt)kL#A9Aa8e&|NF)5af?%?JvZ1n-rt=slO+S)2c z#|AdaV+)O1yz2&NeQ10dyKq&O+n5*NpxT#RoouHudKL!a`b`;eG%TLrr6 zO|lQJAC8$yWd^6@IiV>GY$9$rq0qZBh@fm4l$8lb+zsW1L$1cUhUY$d1QpJZsVTOw z_T|U0Bnkl`6E`j9m&_wlk$FZo4I})rq?CjFP<9L{&O+EclCCg~f5P%dKBxjF4a~Dz z^^jXwEETXD2|aZXV?5R#qPVCZ&jR9V46jEP2GIUEpg8KtQwk#(+OVyZZI>9vTqCwI z>kY)yV=ZZ9Yz!}8Ow7m)jCRtCLcGO0_iAiL)@8z>z>#q&CF{Wf6tHMlv5$$D&dAX~P&Z~By#BO5ll+`Pu^ zl>JF?ii5|IF6kA;Y4qj-&i`dVlYqhVio8QKI}n} z2tH9qnO<5PenS2`$s{my9Bz!5pMDLRF&r_^H{%4QFukl?U43}GG?qJWjMV();1X&! z9ZsyQMCr45yCl4GRG6-;eRap0dBq~}O*UlVFwT8&J`+ZS;lk`_h*=o2OfFVFtV*YG z{!ps83GdtGY-Pw^ob81p8q-b(jZS%hkEavU<<1j3P-nT|WhJ@bm`*OSogszC{L@|< z!^yzotY_#{oDR&6lx0&`9>ZP`do;=WRhUMHrplO@a{=R2?%7x=sc@eI$ z2zjg&M#jVS-MNv$Em8vF6iSgEh37RmteeJ4!>TzMW+VI9FuP1I53fyxX4YOPduJ1k zgE8VZfrB&*5U7ydljaOVa7S3L%aD@Al)%yX2J1u^A266={cDPn*)}f9*11pSvmv}M zI*#3(xDlTDo4j^V$w>6l&|j8fC6VDJr?3HpF}@ath3)tTydu~iCUmSEAiYE$4Xl_Q z9Tg9k;!rQX28*j~)|*S=*dt$QLxoX-oX*POR7XeS99qs+g`Ao$cNFmqQBoy! z@Nj~LeeUSQ`4As?OGPNb#kG#jlG3tf>A^@@KI8=6q1+x@} zcTjKwDsLT5#YMCLE`bHJy9j0w1jk`d)v<_+=e}V-Gdyf2rCju1QILUApgh}>9qAP7 zhgXKffG|0Ru{GS-hygadd`Mm21VC8M6RYK5NCrJw`oS9FIX$jJf*5Cq6O8|-hveNf zdC4lgqr9wAS`~_dFUYgG0^Y_G&mhqE<6vAJCH_y4MM1nKzZNU zZV!yY6^L1EL;;5<6PS@Vgget=brg==umBa=FrX?e`v5T*A1g#sgitpTMUjPp`am!? zP@h0$5N;$R{=fptkyynKtolHGB*;cKIt9JJ%>*-Ow}Eh2=9=L>1)On)^-$E*mWvO0P|TqCDbyVfXpOKX4KKxuNu{d2XR`A zj47|hD{gpry>t}-c)TeHQ90%ee{%v@4uxb$!kPO7UOX#I4G&HSnHUosrpv+|MFvxN zFQ8+|YCOD}#t4OZnCIkTG%R=V>-^emIKh4`UidDIi@~5=T8{SyWZ1%M%<=sXQHyJ4 z?A+p!#l~=v)22`jyg)sU)BCZJ(K$I24=>4t>c>(bJvxGcZ91%WQD@`=22#9Fij`<6 zbosJncr#B`@hFqVHgepH1)`;~u0UMtH6%h3 zIb3A8Q|A#Slq(CDP;`d(3p*->jlm4;hQSYQAa6Ovzkd+Dj*-Xf403*F9!e|6kOX7P zR;}$=kB4%2Nh=;!#oEcs+c@Tq#xV$qepoVx+odJzLR*IIL|`!Ds#~$iq}!vXNJEAL zRY{#`eU~TvFgr&geTxu{C$HE|Nqmrjl{2Y;qLVx{T#dRghLr(b;fgTvBfb?cr*wTD>Z$ucpJSG8_&8yzC4izgRu7~H# zdfR7~Mg=`&GV|dLf~FwEl*OZJ91F@b8R~~Vi$_EQc>GGPac@Syro%R+K7>cs@~*f< zAUyJlvm?F5q3x_hni4URVcjN0PvT*M|n)-=OJ~mu)-O;2q9r7h*P>o1qYwjhjNKdE{Z@4Y8D0cUQ}+Ep}6eca$k}BbF7i z-4E9BN)mmju6gyEwd>Z{=MySRj+gHmtbTQ8r`rkXfXz`DOw4*?z~T6Oe?iEMA_=am zJQ>LF7-e1%=QlQVbh(Gx#wjz@9efy)W6AP8lZM5Vduh73pE!f;gA|N3{4Wi`70DyYv|}! zLv2HNKYs|5xHgYc{$p!VT|YNzk`0M9f}K5Hmcf#6$tYHhco%!9JT!wJLfTO1@4%aI z6IgOB(FF^tJ|s`t`3xS()~i z(P60 zDblZGtQ)@2hC=Cb!^j{m&vRqCFfzy-9~rEL$WTw_4!p2aorbFjEy~-76DurNfuzmQ z=8b7OV)ds19gWBFN>m>X4YlKlHhwax&|i}G_NH-YwLI9e-XgZ^4MkZ4HJ0Y#LzVDO zyq1aaJsj=JjvGbHt7|QMcTtlxG=F%PY?-_?F@tqH-R5ND)eJR8r;x8O^y#@D9KNzHIB@rVx#es9|4fj$l)vMc$;&@lIp6871+^% zuluf!XEJc2Ug=!jfp1q>X_&7uy4Xi{Yx=ul)spf`^*Z{xYVA6cmT}i7E-SN~;Tzm? z=w=hKI*M@9WuKD3$d@%tI~*THby)~Yx4-~Iy~gp1PG)P@b(E@EVcAM7((7{iY{sJh z!6YrPcSyO|Jd>96l>o|jagDQsNTcTWHp@Ht{vo_nw{bdQ9%O4*t*S5Ws&tf%>R7gN zZDAH`II}8&42crwO_m=f74Z`tKn^_SRTu|xYs^5y1Wh4r`FMC1YKGTrc@tlOHN0$9 z$?PzhXSSFp(!Ju$j76F}mKR|m!^&nBh(uUV#ucYHjYrnuUAw}VjAwmga(D#VegRF* zgf)O-OZ3q4v%~UyRBwe?bV~Y`>PYDo{C%YQ%$BJwm{ah5B6N7XekZS(;dy%;sAZ`k%;|tqV49`fg2gBC|@J>E{-T*m>p9#`qD||^e zd=V3jJVzPYg41MqpNT&tge%VGFh>Xz%f=~~9Os3(9PQc>-ht(|qJ-IBe?kFC71lWD zBbcf1t&ef}0z_2S0eo_JZTPMrMRjLFO|h8a123x%HeBT zOQr`#M)0=ocxQM)9%U|*Fa0bJvnr;m3HhJ_LdfN3J*0~K55Mme{@byzc>(c}&b28_ zF7l&O^4|`HY6R)OPf==zLWS@!qqbh54FNiO+svkLJ}W} zurfOoN`xEDa1tMCon#{G314Fr?I=+wY*TcaUL`)nZ+5PQ_)O z*E*&0cG;%rb9zOCr?xA!Ek8{t|3QkjyPS&m{NJ}K{El9CDAWkQXH+-g{YKRYA26z$ zVfuqnHA0VEx8AhNHg)bay@pIse3o-9#P4#hmH2Gu+KA6_ZXxk|oNFikuyc!vJDwZe z+qtlL+pXp)0X@D+HFNqXMc!^A6~eDQIojEwP``5b2>(&9pnk0I5vLaG=vUV(q^{X3 zD&C`4P;cEkQfKKE)bpMhsT1@H>VVcr_39PWU!NVRope+K6$$_MU)1G=3nI5eAv;9j ztDIV*aKx$86rSbOCl%i0)a!SPWn7>ouKRdObM&v+?Ojc4@b!wZ!Go4~6cd__o zyDL1@sZT1r&Z&>>5&gPUuR9dh-~ZG|?fx{2#2yNda%!={lbj-)bZT1RR;S*p@S{%M ztZ-$EmH45jM|g!^5wE412z{0i>p!CK0;95v6yE049~3TlhM7NA;Z~>Kt?-LZ-Ky|m zr=FpycaWuEcDKTZo%*A~XYOMqjw`&_soyGmmgR2tPR-f#EO)cZ6n@pIO`67Uw{*@P zR`_J?)reOt+W&o>rppg3ZMv(P2;S|wuS#;HyH}fsZL&k5`xb4MuX*#Ftx;y1K#Eaj zXhE@`zTfKHeZ9hmoMP8~oz=OUkzqJs>*sp6ro9_f+R?k8qY>eF2Puz9L^tjjuiw%u z)(uN`iqty2f*Mpf;?(CAe$A-tE4o7bzFwio!L8)o?swYV`n9jYsna!Qd~i!zaE03J zGuzpq!go9M&kA>YQmg_}p1A6vUg@-wHjKWtt^oIIsUOh zKoK5oZF6Ex;gC~=p6)fyRGg+@i;c-?3KV+)rzucOcTeQn1Dez9>X1qkxfUz!|8i!y z-|F1+8I9xTJ)Zxrowm(}$e!_}^Y*Yd96fg^^c)gXSci2eR!`{xW z4qNQn?AM^!wb`#hrTw|bYa08QkJ z%Nba6qW-WZ3WrYg@6&V?eXGxVISs*~lJg7{U!oyC%%YyXE{S?KXQ3Ba)Vsf|kn;>| zG1?4uL)5?HW9<`&dfErex9V!=>%QvwiNZ&mBK*FkXgTec<&~PP{g$<5_Ehh1Wu~Yn zUzy409j?rl^A1;Lhx6W5=3M$Yn^VhahbuQH-@eIE)XU-b0jppyVZ$1j3pUOTI}~~u zhTmA7dkHz%VBJc1j-^rWHx(Xj%cow#Wk%IGdwZ~Y87xK!PNY4xm-Dj6ulEGa6~+;Y z?9bkLdpVpjj*x1OAG;1C1QAw@RRERtlioCud(*7lZgC9_ah3+JAyR2^^|ZJrvZvTJ z$&PMj2Nz@LlW8h+GZnZPgB0hi?^WXu*vP&v>*grW^%-oj3$g!z;waA{9u$Wn zA9uPh)p6%oA7t3kJcqi|9O_PUs5{M}ZjMR~LlJX`jSIiHiSx8d7IeSU^_Z^^dKmbI zsWA z*nH8B{6MFpXmdhGwt00P**kTP=L8hl=Gi3_#wKTbM^Vo4tbSxUQgE1vEV~sOJhB`@ z7@Ej31K9kLW!Sj7k8JZW!!-i)0a72jaVt}zA+BJXvm(keF*tfgmIEk<#>ldj8+?M@ zW}hzBW0S?tig%#<`5U+LQ&m}wt|yK6m3*e%j3o zX;;X?q9BWGC%FegG-fkaYvq+yg){ z(b<-ucGillvI^y_fqPlEqiwgJ3>v@Iz3gtjHQl7}G^d)w@<5MkZ+KD~rj z8nvEtkslhZ=UBvJA=o1H6QcF$3DJ6vv>axk$Z4r+Co$_eJ^6XfdX8CsIJ2HT^deh4 zujdN6W|P=@j!GPlP$K7|Z`!hWJx3`v8>E`!_b(d1qbxFA+&jM8DOT{uwgKx(E7+B~ z-qlHYWmLb0PpXCjww|A^*Hwz zz~)2%Y)%Bg=0pH&P6WW_L;!3~1iG3TK^ajzOk|i+Yu3Y{YMH zu7&u6&b1Psw{NtX_hgm!->Z69nGyD?8lIV+tnghUsuLw!f;a&?}^x z4IQlnuh3ei)GE`;nzcv_>kUZ(;!a&ZV&`&Gvz|RG*`{~UwtrE$r|RWA0A+D!nN}s$ zs_0F-P;17tiiA(LvTB50e36hf!I7{TRq#u_%HNfi|FBz5wYuXy|Mz@_x9W9=LjU&^ zKH$_(6drH3`<1avrnlapSBXFI;kq70{2w$00xI&6GJ;L`m=)LaBsD=(7)@w^R~ni# ztqS4eM)jtKl9M7D;uGzh9DS!6{EZpzP7No)zEGwA(X`4xRrt?NrG}EDM;f}xw6bq1 z{DEF~Dx8zf6}RY33ZrYWv=?ZAbI!QWSFK;`70E{}Z;m7%k5hw7^(qNLe7til#H*ZZ zC0^}Z8*$OOg~TU0*G_z^?sSlJcQ8flO6N{iMI;^JyNs%RTj4!UZC0zap3@O&d!1=j z2;XW{nYO?0RBAhEc-p43NKL}~%}~#e6uv;6MQ2%<_?`5h)OLxsA28d!wEZHp-J9A@ zy3{AtK&xpb+b726i&j?os|p)p#Nyk`P?^Oud^v9~u}!Zw+cny5Xj|Ie&urIH+ex0k zU2XorYHI$4F18QK{3}sA`2HUKqCtzaewQAtp)X?{wqDN(OGW&$W8=SHp zqu>s`^(VbbuO@y(kG#?29&OeuQ1L)}R97Xu->9T2)RI40E&t)AuL%5KiX3brMZ!Cb zN;(p?o@*i{HyIH{USuNc3H?aWsdMekrBUxW_L#m(_&TF{w<)A0 zoEmM<&{IsSLb%DOp43n>9?+u~nO2eTXrpScRygWZYCDP2!76>KX?3SsNj5B1>8fdE z&9y&LZ@GurI;93&i)DS>sb)j_>#YqYQl^#%KS`_0Rr>3CmDVRdRaeO1lGdl*-Bwza z@YhC_A6H0AIU`+as6s=JnW65~P!j8(t29M-ES%H*G_AoQR+DqOiC?B14#o&qn%HK2 z$HRk6r+#csWj|5)GpATMEn&9h3o0IiBnP%>xu<#g3y9z1Tnq8roNFb1hjVSjXF0c! z_-yCeiQnhkV&Z-Ejg;K6kq&=C6|ov2e5JiGQ#)QEP30^-Xy|-1lnj>?`Lu~78?aQQ zMCqmGPEYDiGJ>Rrl3D*KHArh=*;-ffyLt`eFZIJJeV-tZe9Q9AM)Gm}z(D0Lg<0^i zY8HK|r0`o#vCw_&C5Cc`!sDH4E-4umPE;mEHG{kVBwrntuTrVNp5K;`LN3NHUjS8uL zjq9MrH@i+DTN_e@eDMSmA)&{!{2NtdT%kzlVMu0BrUomDMfM(Q=vnR*;R}r_9;VQ1 zlhMzH7h?$B&!h*^1HN2`7G>Hs*gjp0d#`zr5ng0enUKZiZ1CE2aN19=GHVgP#JLvY z#m==7f6TeI=Dec)7pn}$H^K>{YLg0SDQA46p(9PJLO5(KgxvfGpb5RACTr= zc0}~xr{+P0@P$@fFCmM?a+}a?C;cV0U8L<_S#dQ&Rvf*G@R{a8jgZAciqJhsmXoOm zC3>)*+3raVB{NoPXgv)**9>(N(x5z~)Vp`N6yg0w6?fP7!d`4`*L{LQuU#@M@1X`* zMwriN>dU&c3gpgejP?V`_vn~7f#gdrEH;qMNyCB)1}*5(pWr5lo`C0|x^U$rVFgD-2%aN}!YC#dvmO{;W? z!p}N&qe8b``o2=0110*-u!(tXHiivUb8P;k9jRc&W%O^rsZ$hAJH-ZjvAvR*btyc> zsShZ;+NnDf`d0?B-zc@Kh96T&i^AtPb%w%sIK{^Mj#CW7Ue*;dhGEPpw&{0_N>-4& zYh4?XlsWS%4YO6czsmkIe!Bj#8_`j9g%(1We!L50O_w&E(Z{XVCf>q0|aW~fLw zVpNUrokkT27nVN7m;(su3DyRLA2!7zp;tJWDN;X6^mCCJ>Y)d2D48iv)+)Tr zw0fyUXR#)wlWr)PJSYazbgxmMzvoogfhhI0#v`9d+u zXea)z%Pl6}s$V3?-O$qE+f)(BNO-vFVf(RIVa2I#g|wctNulkl&31+GWoD>O+b24e z+TL!Uzh}0Kgw>Xq@;FQH*fYYn>J`*MTFenvTn{~{IhA^lq!nw&VlfpEt}_qHsRw0x zaHthmrU$QfD)k^)DW)DIL+)nvr|wRg#N%N^gSG zo=j=K>H-VTWvb6r_W61R<#G|}GowPkiDZKcSy)bAXBh{YR`Iw*tEh}!sI^cTH2z|R zV@{d4f^B+zlU^kr#J_Vci3j!Gp)#oa#}uCHlp?#lSTQ&}+yd#Z%5DQA65<|DL8-$s&c%cgl-V zq__4kks=`r%XvF@Czb9ut!z-CYh}%4(X#_htGFc5N^*}{hnZISl?uCzDiIDCRVExW zDv9+rz2z^bR6nNFxu%u$JpTB^Z%rhrD&Hx&%S4j0S>|OXvi?4WzjLZNh6R1*yd$sZ zQ^dPC*FwCTbFIXDfdZM;wmth#Q@xylhc38Cg|KE?$^4OO6{%G*tz@!zy58E`M3PYR zwTeC!k^VwF=v)i&M(0|IuW>Gk2G)}J z6&Ck4E3Svd@fQWKzF={mG}}FdpEoL5&at?Mt#(C1|K>@J#eLa4sIj=sX1hrE4x?&> z7a5g|z4TxYvt6R?Dbp&^(8rweCW?rL7%t2ygl{t2Wmd(11){u6ZPv|pnI23SwVv<; zMkSr(GA-sCR$QHsW#EW`aHAQjH&=x}RdKf&DihvoRB>lD(BOBop>L`5{#Mrdmnozh zIaBXVDsr=4rH>Nd;#>>y*PUx6?$nn8pxf5$743gp^>T(gzOeHH6Ukns7FXKq2FXyK z>8+9%zJQo-mB`>i-0gC$#J$e75!antNX)lWV6UBc%Hwz_5injvBIA?1vgugYa+N%aWW`=s`+>e}Uw*6r>@DUT~rbjnAMMFPy zs@YJ7-trh_uT|=Urd6h)A2`))=uy4(TfIu(BmTW}EyRCvu9f)ToNFWgi*pN!hxMVe zr119q*wG$LCsP$&b&(3;fN3R*v?uAU1tyX#w|3K8Lne}Jl_&Mqsd|+#5&zh^mgZ`m zq4(aW*PNl}LA~{mn^{17h(6Upaf#`I-rLGj6)A*=y>paMOf* zFpdLu!jnxZneNx)q zU#mfPC+PskX#vYU91DnVb}mW5ll0!XddzhbelSJe)SUVSI{Y&=hB_Hky_{sE)~`*gLb%?vlCkM2daKt& zlBL;SY0yNH*4tHYooFJ-@WtdlLaQsSK>P*gD5gxIK*GOjRVAEIAp~PiAuZ*^=ank5 z#I&+hE9oXTsq|O%DsB^BsyioeNnTR#o2rL4Bz&f-!AG9H9PSk1BBQE=EH9^Wm#g#_ z^(t->|IE3>P3m3arK!Vqc~o!x-pwo^evxlC6Vue=_izva!hLP)m7L$S>#ZZ*@&e-5 zIG2=0y_cKmDq)9FWx`IQs)Ti;$~@B;af*;GG6)mlSB$Fh8zr=q^KRdnDt*0al~b)`f_zY= zf1+2Z9`P@oYaxEbxmMyex+#QiQa#%Lh3cVtgooH(vUaFKTFR;3zo~(jm{vK}N~)Ks zbWyKTJ>nCcYa#A+u9f)D&b2qU9_{-N=v4`M+Jm}$N-fY*PW5=4@mdwdLM7Eos`oCH zrYOE-u>Q8D!h~yfyP%uEJ@qPKAztBJ(wfxU$2O5w!efj|yxdQ3EisW~CgXm&q9WoD z@tw{kBf(p=W~b{Fuey9nA!jsD%GiZkZ!@jzqYA&_l!+_2r|F6&`jt{U+YFU8YaOe% z)^1;fhn(Lskt*TcPBj~**4Ip=l4>P8j*C>9qNoI+Ke$LL@t|t?+?b4$6!9xPoDsO2I_CEC>Bs2NV&p~wakNvs^Gx42F~qVT)4ZaB#uYQ4}5XGbe^t)x<% zGT&%gRl+wr)!f80dW)hsMIv;qq+VyM)_e3SeTn!3&b1JK(79IPd!1`*_KNl|Rv8TG zUr^}JIjY}Kik5Pwe;T^b3{?nuc#JulhVC?}GNIevZV+e?jZ1i&8S19(dz>P4+er$1 zRHeUWS~VKF%cVn8A^x*-t;AeYLAQKBAsd6j{NC8-GXZeAU`& zhLdUMY`ygfy-J%9U+dFO+67epGBaHzyu+v(;r&Kc328iMC2)aC^T-{QO|_B`y;!~F zQF_iR&^M{bcf4W?h+nP;uaa2JiA%lvR1ZCw@ELaCTYjEGTFO~%r-mvt^ei(}OARH> z_JDfA?^9wDOSO_Q_&61*3mlr46FR32lM7Y(c8X+y^jEgkX zV_Fr$HyTwYbVJD?$XomYXQ?sq-hKex-rVBU<8eHEB)r5O;BP?FQcjDfhAK4lSu<2i z4J9p3L;l6g;)QD9D`u$pfI{~u8M~>)GSN@ImS`m#|27R)k6v>cEfq-C1FqQwJzq=2UYJrR@jIc7^b7M%8Hhd1k0a+x%lJITKK7yGYwRnV}xq-rp(O z=2tE87DTh(_o{P$H7Cn7w67T|)6j8FH5+0i`P>atz#r8nAE$w$?Y+!UM%za@)oeS{ zTP3|p0uirsu7$YUxmMyn=h}$-om)uU_{@)Z%;gpn|46yqGkH3^MTL-Qgx@nN8B?jX z$wVrIcN>)~G*Yc1wSHh)NxP?7C2BojTFK!)pCI02BFQ6y6ZO{pCX&3P%TQckBH6_X zzwFdC3U7C+IWPDmlE2I^c|rVhRRh;T{0rw=i4WDMO){`-&;G;aLNaDQq__4~Gw8ni zC_KoiX2UedN217&om6@k(<&3b$f%@ve);wUo2RqkBe5i8^iQnT~GUd1F zy(9FBOjKl-HbpK{A$+Ia%GrIVT19HT!?cpLJ4$c8+(eSdou{`h(5pm__#)?8h(GCE zEAb`HwGm(H+(P2dI@eD81?LtM^TqDmx$ZnwxJs`%Ax=dqlzz1xmewi?Sz69Y=o&5K zdMhhSwUR067L`81$||Q?Wfiguwfvm8C)G;kRcaNjtlm^BnGsJ`=~ML@h9kw_b*{~v zQ$RicYmHSx|3$>4X4K*zXv7do_*E?|C-!&ft?#)%3yA;XTuZZ$)Z-6B=Hv%O{P!4> zT@FQf7@hMFfg(S&IwboSiaddr`*}t#O+kFfjMb6fOFW4`uJ`idNxjp| zbmAaI&N7jt^z-!AMPAYZ;%_^bv?ldFZKjjbDRRAuB&FY>w|G1*btit?&fCwWleG_> zp;yr(e#?SLVk~}CZ~NtBg&)u>l92Eu>lHn(QAkrc+d>-R?13SIkfR6Q)J_ehBb$11 zqj^*$95RpUsi9=+L610bp7p$ z;=|V?@6iu?Xm$jWAAI=8*n4-^WAh-#4+q<`f{d`MQH~#aO|D4D_nw12e)y%GC1-@s z+9lQ_$ngV@bus=DzCz`&y(b)LRON?plPeP5-6+Qoe0dr62%oDb3BeV9*w2nEiiFJQ zAZM+h;AoBe@s7KSdS@jgzyLLq~;L!pb%j3cB7@3D|}{aN7)Eq%LAQ0O7;`iN3rbt&faeNO#F;VMhruD2_^!l|1T^5^B? z7vEBUjx|r$!3zEN)4JG*uXU;BMm$dm<}{|3Ly4K+=sh=VDZ<{Ev;MzHwZE!YsRMDtFFDYXN)-y!yGt3= z;XZ|YpD?FdsaAzre=tKyqNiF#YCURNN##?m615&Ot>hS>MOS6}=~d!E%v~I~Hnm_E z>hb#qs61iK7J5m~qt-DdQX%xcXOhI!dYNe@m8Zx;6G>{y+VrW2)RdUpOmHp4qb}D< z+@tdfpoS3Wcu zdJN$g%utPn-07r^_)U_}n^tzOLLU6(Y!}W@5f&h?JyVT^<-H1hvbF<%KO(fHazoxm zEyR4u6LPJ@C+Gq-w=LDisd|;RRCtL|<;xV(QeIoC$ZJijLam#fN>WL0Z8ec1wfrP0 zZA+D=r_z>6U22AEG~`YvZF#;*f6BBn{u;!?PBlAyhTdWU(r#2^VR>ztX*(3XJr)o* zexHQ6+vQq`U!g1VytbtMKGj285`M_2GT&CDrJS~;q1TvJg<4;5syQswnlOXf87t;CnleYY*7JIQ3pYbagV;Z!aF?L3!`MW9jyLv2(zdZqpf6;^n zLGhOc{O^VIHRs8w+GZ3aC!VjaF#36Ua=FUzcN);v3y8ny?cYLtm2<7cS3B26e2sGp ziNE4pJMqoVEhgq4DahUD(BX$w5s630*G18W-_WBQ9^ru6MbByWu%nwQ;R}qa5w;su zC8S$9Puu9!pUkNWi+iRSs?hc;oN8`H8v277D$)>-0g=}<^ingFOhGjC7c*3%q34-a ziG~hxs@Wq3m)h8md}wR4P^S9p^(t9J{0ZlhwLJCKS^3F>8;Wc&kqY6*jY^ic)bh7J zlL%2{)T|^6)${b$`FfRV5nte33-P7SwG#7;0lIC?VWa)esUC9qKNhJ_`ccy=^Puq+ zwk0heqwqAR{$txokWvqmAkhuJKZr4&a2G2(BW&=n*`3R^KDS!EGFGDMhMKj$uF~Ih zt-4CT*|d@YoZEaJ=}5~G^T#y6wGe+mO@V7A{-|?p#60?e+(P0DU9O$@Q_d|WUZlTH zj$v<`uExExbofiEh*TjQw8MjLzDM*!ryf@LZ}$36cVYKvmj(Wv`LU%HGdxOO>5SY#hPcqvz7I&XhEY58w3Gzp+ zI7Kn+UB2~nb??u54dm|HY%~@~Z@7r~PGgHXQKnx%aOy*9_cjYdGO#g@4Z-7Ii1>{e z>LL82HF}MW&I;wsV!Ns|MNpIUZ+KGZFJ`(oHJu!*eMiH2r{z;dxBq5_>ZzeRL(b^t z99SNw4X{+N(wB*sJJ&+I!ns!BmCm&hcRII__yp(LiHpuHCjPnpsyh1e*}8)Fl%T^` zt0MaH;yvQ+i}ebMe;T8rra+yekk&!D)re^O2D4qcSK<9mrNvcP+=LZZNsCL)I#}E` zvt7JI4P0l2l39gX$60Ml{M!?APHjtSo6J4uYO!CpvTEN=w35Dkt!iEGP7`|AGQw}T z6yfbgRS54kDkEgvF;Eiz$fXD$H)=g$tHmHAe2!5?!si)PAw0;ajBt@rMZ#r9WrW8Y zRV*uIsJmpND)PwDl{v2HVzyy^6)-ujJRr85&hNq@^6Tnq7# za_DG$FzUY1*k7%@D&fBNyXZ-FPU@{GFKz+xjB_o-o1AMU-r`&v@tkuDiC^zrJMlK> z789>|Myyrt+JFwfUlntP1&VycM3Sz2|DLgk)lZMtoK?ZwRokr;uTkm|GhEDcng4dZ zV#7jM(K4_`Bs|HeA|Zd?9#T)*D?0Ojz2+PsyiQBz@8n}*`-N74+Mq5|179>lHU6l) z|2%v$Qqbm~ttvIbzZ#VhvPy^?;fw{dc8x+h2dC)Y)7&Y-IitD>JqES&^)71#TR&Bb zwF1S8F?m46X!^fpt;K293U$JF8dap9FEpp>glmmTmS@MRZRP`(XN1R@?OwuFMwJK$ zjOwKa3=dvsBRt4FD7{kQQm57_^x&1e_7Oczi+P?Emo?YWPqqE9p`D zs`P5p>SdK)V|mfbRytU_II7lM{GMu{*F5ZDK-dmgSFacka2|~ zLwlTsxJc-IBYCFGV6hqH6-xDw(FaARt~C#O>6ClWOAoxbq>(dquB2C)`H0s!*FxOo zTq|*}b8W=^&MhP!a;}|t#JR=9pU?-zn0D@HPRaruo>E0jJA@zJJ4z$==P7*BK1Mx7 zA+1BI*?4NZLfhw>?K*AmZno>G?fUj?ztQ|I67FNRYey;Ua_TIFA9RWy>|`F)=)u1` zMYyMi6Eh;=F-FyT6?#}|+jE_rG#H>*t#{rsr;*+W0S;1vCI4|?dqxH;AH{=}(d zP`OG=ra^2Quim;rYtO0&@%UxR;A({TIfGn=!?yU z_E6~$m{yrF+0nGhj0qjhS$15co_xwY%IMLqW~g_WLWThY27|!*=8X57)Fz!p@oduu zzgZmphZLJ&wRup!I`N>)TCr_&YR8Vykm@X^A=T3`)tckY&kGgEs7Ac69@1lUxruVg z^-yInx)7H1bPVIhutGlc2KBE>@s&tWExHANg&l@>uTn@Ka>gLK@?!I#Lb%#IsL=yA zwA~oI+zb^7SDK+R4e=+6&?>CLVfK8m%pd66MPrxKcJ!NNV2~jEta;G8OiS)I4|?fA z-HPjdyTU!~L09it3P&uMy_YED!ylwH{qwLS6JF|PvKu@@W%zaoPJieeJp;vOqx1|E zoug-<=o~!*MGtsAM!~D}if4F?iPs|;|1MK$4{r7-4Onoq9TdLEs9wS&jmiicT7|G7 z2A@;~&-#p6|Cre-AEd7Vyu{xWU~Vz78gh(%q@lZb+SLh}eo$mXY_n|12)%7Af&6bR z)R{}(T)n$$0(l3m6SAYiFTxWo^D;uVH~bABa{6-)4qtsi9=#N(~ih z=pAOLJ2jN7=Tbu@8hXDO>P-zLgT@^yeWzX}w#4^4*FyY{&b1Pk^idIX+nU>e_Wf%B zRlf@vp!j+`@OIQ#Qb3vIM6~o?sBcf6V9~}Pdc}dc(Zfu#H0EQK_*u{ zboaW_Ie+$9CA>;)VMF;_g?x7z)FotnhlL{-|(oJKFDgpTf(Wx?16L?P*8P zD;3gtl;}=JME~BXohyJ>yG12LJvbX z;rC6khmaA1B4I$}pj`9y6-wls^HQ|SL@K13 zult*b{T9Lsr<$cFm6*}1_)Pp(U3295Ouf_1boI*$sg_fXv(@5z-0}kA_c_->`~l}$ zi9hOG8}Y}STS)wI=h}(6z(S1{6F*&-1sG5t)f)JP4u4vWp-zM++0wb^WQ8=9lN;3X zzk*axwUUMF1zPOcw$v*Ve%PqutqL!;6Ps({yKHdrTF8S9`$6!_D79h!?*PL z!tOgQekI25*G~OTA%Argd#$Hv#rU0GP-iGb=Rnb^^UcqaXH!H>1-=A~!JLq9_F{uV zc%?bjO-O$sMaW;*hOIQMl2sdD?)DgDtR25c2-~b3a|zV#T45#*s5D`+G&{1rG#qSy zC?2B}8yggZcc%p}+g|X#XC1Oe=#5+?; z;Yy=2!Y-qVg#Kd=8R2G=suA)VyEs-P{FX}*{?e!-p(k=i$b?2D2%qFVm5^BgDMEf{ z4^9xi&J=5e%oQ{uA;%ji5;A>Yp0L%Lx=6^xfE1y3up;3)Q>-!LnLbb?e6L9r34dr* zjqnkpiiA7)W|7dxnPd^eF3t3T2Wi?^j<8K2m9~WiKBt0z$AdQYcd=e&9w%Pwd!_c~ z{`5+fFL`|l-)>a*`xNqxV>B}%jbr3#wmqX-uQNm0wF-aeRI}EmRO^pQEzpXf_-3t4 zZ*li2^(U@){ac7@&b1P+bFPhey>knRyPaz%KIwqyQSK9!Ppd-N*0`m*!U3ngsPHbQ zeyZ?yP7w~+;<$W@!VlWoyhM1pQDwqwjVk?v!Uvq{)0OWRZ9h^b{F+gv`xO4%smB!V zWIK)0u)9iiB)Tj6H;GOh^%WyJm!JOh^&F-5Rm>UWGRpRZiQr#wI?@n!1OOO%C&f z-ulUS%L65LEYybZ^VY5zA-^;LDMB_ma)jNEjR`4sJGLCCbF^75vgRqWJ=t=QBJ^K< zED|1TeXPb8d%az)5r1V;*@4=g6>HZTAsbV?*SqX~aDveO23Vm;=$*56q_$L-wOEai z?F;j4SMRU21GVv9WQ|xOWXnOZ(DWah=O*hHz3eKUM!hV~)2NqebgVu*!!w8vD?Hb! z#})3scPtlDZ&c{d5qo#oC*Ixse3SZ|LU+Blb-#Gm^DjBAWNkQ@V8h2WY8VTcM&8an zY5(nEV{Wr{?%^NC^XBSdn|QE#7%Xp_9tMkVLBKBt>jDc_4}8X5-|9Ojg_G5+Y2LE?t zT27b$h1I!-@L{8hg#S&Wz$Z1+*hUyWp1{EH-;sPdGsl0$G?7;DiOd`a>j1mR2HLD& zA;;kVYx6N@m>ab)^bk%ORV4hcn3cvfAK7gGqw+CleEd%@Thaqx!IDJe0Z!-8vZjfklCayLy_HL6U=H{0;Al#suIgyS9l z`qQi{@^_fdG^$E?j!|VoI-2u*`8<{8pYxRZ5qIcwlDzt5davR#3y62nryY5Ee7SCK zdm@sAJQb-lN4HJ2U$A{E?Rtyv=}ERGIK%qpE~7j`V5{F8{h7e}qJQA|BKEC$BEl z`=*yh*rjSH?L>vNl;gmpioDSaTR?o2o`%7J_GZVaccztAC484rWx@{`RVDnCQDwp_ zjj9sTg}>wZ)uvVXjzZT;vYAf4*R+zuz~i*qOTGFFh?hCnLVSXAt;7}Q+K72xn-@-& zxlZ+vV}yL&4k0*A?_Oq7W&SM3cbwuA8kUz6I~Lb%S{1^#8dXaTB}d&KQ)&M02v+0t z=xQ^R@mDfvFlWu4sq|+}tDA=IbczPNYRO~0M^*YadX*kUyt5vU=k+M+@%MMp0)+m@ z*^>U-q84B5mKP9T?_AP-sCR_Qpftksj4FRoAuZ*!WNN5FL;k8+Ej5(1B!Bee^HzLM zs+Fwq_>$)jRZF5xyh@)IfNN_WKB#xEl~yHuzCC299ioty@c3YRhJI#-Duj<1)qPB2 zD9M{lMT&Zrnh^8XQ6+DPyIii7cpp6^&#N)*52+qnobVQ-%3oDTOF1pxriJY9g)JcF zQyFoT_=PUlO1#jyHe&wiC+IFDKG@~jiTSstAh(#9-*3vCEu^m-(c(^ zywapH!t0IdA-uz=jIh@p74>{h;ayJc{FInE2kRA1@JAH(vfmu&xkBONP96NzsMx1h zQB=rUgKAF8qWU*xe%1*2_yn(G5iT%4YjpiOr|9}2=6a2;`;Tjs2p@AP!X2$PCBhb? zYOLTfR>7o`y+v#B4y#T10)_MhiAviZmSnh`Q?0YiP>pr>=Br()RdCz2i;{+^(O++v zTG}v4RanfR#W%ZL;cZUcuJB)+Vk_)p@y!U2GOC*~c&f!W8EE#_TPK-F)~j&TDc0(( z)+*Tt6kh05bK0?4-(ZGnG&EycH5&SiQ`akG1an4OMy??ZSz8vHqgCK9H0Twh#)2Ib zKjd7p=zguDiU69R7Us%ql$zt zv2W&=2v<6_Sih1!t5>-CR)wE%>bna6-KdQ4sJ&tw@ctd)3ZpW@no&i<5u-A~%5!L| zG^B9Cscj11?$k#WUhLFW3U6}iPK7^o>emV%bLz>@jfL;2S43ieg)edHScS(swO(Pr zQ_~9H;MBVme#ogy6<+DoR~7!%sRjE+zgqPwekok!)G-QIIJHh;pHou`U+>gA6@JjE zOB7z=)U68dbZVdHMZaFISNOF|VbQ4#3eRxr6ACYL>N+UZn74P939gl~YB9 zeNGK4C|e4Jx=i{`;=3g6`t-Ce~#f}PMxoizmov(uTtvkE_J)YA3F7* z!bhF@gF^q&lx(+sVs)RbSD1gk!o!?8QsHu^Iu&*~)vIvascD68cIpg;A9CvB3P0=A z6$)>0>NbVK_z7;M7kP z{>G`t6z*b+>}+?1&vB|vVY^c=RoLOw2?~9SkfmFMEZrhx=@ubNw+LCfMaa@ELY8h3 zvUH1(rCWq7-6CY^79mTw2wA#C$hbxLo^27b`xO4dsYew0Mj}f$5?Q*D$kL5OmTn}n zbR&_a8;LC4NMzhdJY*Y*j2nqo+Ye;>D)hZY#=S+qOL1@UZl}_{MaI3wEiUzAh5zc* z?-lNB+l_2jh0k*8c?u78>Ij9)oLZ@Hy;D63$DEo{=&xsFrz>@yOK}@?l~WHW{H0Tc zwm6DBS+AJgpRI5|r;b#3v{P#oR-M|YaKfq66rSl+y79`=jaQa#ys~uTl|4m|Qufp< zye~BU$JMtlqI_*42p$o7+m_h2fB#g9wemUP{~a5}wnS9Z z(fUQh7Yh#l!L>#R8^Hl-KpiO4;6GLm$=m3nKdiBt&RY*h6!g* z>2}jP0Pts%Awu|G8yl+4y`G(wC)F5**)v~dhbI~5Ax=VnXRQAFhHhz#4*1Dk_ARA; zs#n$}ZA(eLcP6I508xr{jIf{%SV)IRjB2EXR+L@HK}FHH26q-jdLyA(>u+5+@6U4 z`byLb#VvspQfe!DhipUp2zI=+7 zf2NwCshnh>q20_-(i9Xq$V7^SPqp_;YiU_YhoPY-o1tX2LJ|IU3VuFrK~jnJ&EYsy z4blhvTJw!t`CFZ=d?1@OU!$7uGncvvKW0>gu*IsI4m^5`zds`RO1w_*fomb|a;}y5 zB&`7C9&oOmc*42G#1HB_j>sU+_+I}^6;9DBGKkQBU!c6BnxLti45Fc`8A>vU zBHK))Nca#ktPNg0s=K-|MiX*cLA2&nYG~`YunZ`d{d7U}gL&(2hi6t)K?_G*;FDou1^xE~X zc7HLc9y-OK=Dg6Bqjjpb##U>U9>Oz?$_V*;3b0Mc*MhOpAoQ^GcqT{0TaT!1>43y9 z)mJ_9CJgF5*7O;jB>^|Ni6qouX4u zv*I#(u+pjKR%dZ;sK}o&aYMz_P%*7avYnvqwdQ1r@L!Fp(eJ&@$r^*%?i4-P=2Ysz zcJt+(R^0mUEA-l}=Z~~3Gu!K@6>f1VEiRcx`6EkTP%TMM;%_+DLcCsI)6DBuv~{C- zP$fLT-tH{(CFFHZHMcMg-EM{|gm)R0{gcASoTBZL+0M3S+qH_RR+1O=h%aN~Sd7p; z>f+x*blY92?XLgWqxIA}-s+Jw+{t>YU$4?|#HTpdLdY* zm+DKpYxRoN;wQH9!>RTLZ)ualt&MUY(+{7uIz#!}P2LBK9)gH=R81C-5tWdC$r01v zn^o#Uy+VrcDx)fdueXYqS#dfB#b&p1#_)pL+-iopQ$yXUp=7DjrG`e$$)pQz(_@J@ z=~Ysfm|vp=*Fwx(lGG*svFo-q2blKHu;Y&^;f+Qm1247SZz2`K9~qVGA|KRS59w9v zLd?H=Aa!Z>k$S&1)5+$aB7ZQEWRabUBqJApQTB1Ok`?rz;@Nu5IeBf@TZj9Z#sXsg zn5a~X_!yUKCBDkJq={&Msmfq5AoSmOt-VX{(o)V~Ktm^*p$fGwajH4`)T)|Dk?<^| z$~1JLQAI-cD9MO9m8N#i0ohF|a*_jO9 zwX4O&Zg~Om$<8H%6!nf*8C0Lpe-=EcKDAbvR#JTyy3q??K>SYU5{Ia_*-Tdn&oC-+ z=xn|99=E)J_`}Y%5MSV2EAi)?Ya_l(x65d#_U1mvGA~m-gpP2jZO_Xq6yD?1{R*FN zd-3uC3TK^~Q%Dzb8l4`z-#kc~fg-n>NRb|W#yl$igF2iTxK5B=+wPV(HZ_d7fcOwYLj_Tqf_odGVRlYt1JfV2|KK~ZbEv3lN`eP zT#As+L5gsHbE=zuZgDF0Gg((1tHrR`9Si5w`76gPM7TrL>LR@g_W{Lh8{xjK977{q za}qzOG7B2cSBUw=>D)63D(-BDK2^dCj4EHJkfw5yn1)(RD@kICEH{xN;irtM5wc7q zG2xG0itukn6$#zRB!%d~9;Q_y{ESiEEY1xjZA?S^nbvwj{CNaq$4FV~$ra_GV4+$+%Gm#88} zP{O|%Ra>AYXewukNJGyytt5vivdlz^gnu=v%(DFJ=w-r}*%?HU&^<~fBo_Bfvz!fZsN%@f|_0QCMud^!wLyV$&Q0FYH_aTyU-kUevh~ zc&u|h;F5E_;I;G{yt(s<p79-b-7SG(1xU<&{iHaGGoyp7R8M;FOS=l8{H$fEU_BmDZ(#B=9Nq+D?LL4+1>j zgql$(oTxFQe){o4(+*1qNxRGLJ=#=mW_#G(j}!c{Q^4zuDg%FK)b3wWxxE0pD;F~x z34PC!tOChDtyI8stR_`za-ua?1$qVpKr%_^70{Ck57O6HGCb@{I|!QdsD|}HU{BpH z9Amc);cB?O@~>Mz`tKIx(^%;>!n%6wa<*)uZV?<~H~IZ13i7ZbdGQyNZn6x*i1UqH zhiGWpCn)$Z=L%qMLy}(+%r}1}t^}Uqu|449o$Cej%~~n854^dStK1Wmgm05r&h;3V zm&~OGe8;xUgYOE`TG}>eM-ZXQO{fBV%Y^JtPd;x~x7JI#NNwZ`?`Yb;H0>}p{2|rX zt*r(yr}-DG`38_Q(n16Dj2b{MK)7&IHvj0ygd3I2-Imk@Uc*z-Il3k+t{tFns3)wb z{8qC(CmgR!*NJustNdPYA$vD4VMW1>oZ3?GL#LKfsp(ZpB3p8L^QM)&a=n_n%QI+7 z^P8q!xmNIQr|uW@Z=0#i6!oZkEf(cYaGho++`Pb!Gg0f}Zzy@~&BP zs$}`L+5(IW7ylJbs7Aoe#NrAF6)Zo zB_iSZZGRZ~Nz+~`y+d~+v_ zMOijg0ytz;892qLCf}5>)Dq;?1g>CI8CWx_3H+{6PQSe@;fX^6J-a!+zX%n@4upnn$&L5+T zbGM(lyRqGq4KjBp+2k5zR{DG!WO7|=b7YV?@*5lKL5BKN8?iw~>=rBhASFG~N;()z zI!OJzSc4R6e=Eb_5uprWn-#&-pN0)iL!UEMAaf_B3iMN_+Bu>9e+rhPiI(=TEz7EVsIS%DW@Udvbv1s^Q?;uXYhio>4lj1@R)4eua zWrpi5yPlLk6lAxPu8wDGtD>XxKMDfUTA-l|$ z*Ayh*R0B@+v9@lPNs5t9UZW+|XBi9vzid<)IO4*@j?^<41WxiifqnuGGLoOZX`t%= zmzxHLZNzHN#L0P(!#xEnb^6fdHOD=pNz0H7li5x1FnPL`i7Rcnr~sc6HSEU^{taLi zAR{{L$5#e%ZV!{$dzE1_JH&K^B{Quo*^Cp#vPPqc;*xlX?K%G^H%Bx#Vy@htsamQw zqtDu#C@yk-WgBFDWF<^q*)D&|$g!PDdnWE;eAO=lJ%eh@pc*r%#tg!u7`0nyzokuB zx{9KGoUQNSVp!2tYJy#@!Wqn=$?n1zq+VlGWwc2#;dUH`qpi6x zH9poDEom;5IdukkMq!1Tr6p^OUT(FYW`bXFt~a}~5x0oFAFBfoGOF=yL6p(}f3{E! zA-=03o#jy|ELbt43POA#N4i8sp>SE5t)%&Mf4d~$x%74~aRqRZtzd_9yvYio|&y}7lFr_b{)95zklY{IZ|n2WR;>&4WXZy zcHDgddB7|H1~`NHPCjU zFt*e6UhN06?aG0#a;^a8t!?rvf~V`PTW*DEfRBx)F$d0PFYX%02!6|{_&dC#CjV0< zn%)BerD{JqNuzND3k4o6#1=SSB@!4@mkBLo}Iim=+KSUc>1+i;oF=m zfPbVnnR$yfT6ZfhRU5d8y#TEO`S@t^0wSJMq#Go_hws``1$?vTQ~=-ZToHVyb0sh@ zgp*zmc!vA+g70;%4_wnL^7flVT?f6qB>a&AQ#XP0*{k0waABkB{Pmj`?QL*aZ=-e% z?QdGt&=f(^OdCH>uY(YJ*pjROk2RseC=^~^ET{aBu&4%6^e0_j>5^v@rtC!3bdqd) zoWc7!R{)Rqx4xxp@6b9-acKyE#~D=xUT9Pu_>NIk;B2GnKvKw=wG)-yB+s%Ne6e$d zkhzZSFPkz4o@Z2;7Sna;2eR$jfiHKi0OkdL@+*R0*Q3D1g?ggTUy4du0r#~hh*jYJ zM%96c=TvG%dGBXYjqeEZDbh57fOnf$*rfkm9p!a@`t0E$@;+wtsM$L7u57z>;18TD zfIoDu2>zFICGbbi^%&Mu%+y9NA3EJu4=B@8GAlb7x=3zWd;D1ko}#IfDteY6QaMGA zLN$b@nb2Sq3a5Kn=~iUhIOza(Wzv zY6v}MLX9XC)}^wtNIdwdq`bfOYl0U zZW27)p0!ku5&XVW{M~DE$eABxP+Y8&X4=H9BDk?r+386X$)+_1c!ota$@@mjyGh>9 zIu-x8M)MwZ!SfC`GckjHGC15aXz+oT)vUP&NnP(0NgZL8Yf#Kfor=XAbzYH4`iL`d zO>3@220wR-434(uT4Zp!Q!#^ZXHwQ|J4UuWv%yd6BN*-7n(ps>TnW5XfoUcJ|7s6j z8*A#Zq5naM#y3P=Z%-5(cMIO=&*0t?Jk3545{gF#H(Lg^-w59ClxN&QM3HQoiNHlI z@8%hTw>U*t##`P^x^k*hFADBpU1?q@=)G&k-i7%Z^Xwh#pc2;o{=6gmW&~PP$WGT1TsC-Q~^F@c{cfkgx8=MlWNAK!iXQJ8t^Aza^Cdv ze&EYCEzA6&82`*w`Aj9}XIPoMpRl~kd#RZF%jPsUO9S_!?C@nz_$B8G;8&b0g5Pwm z1pcdYJ>a*T>ji({Tp##*D|Du6T9l^g(TUGp5?+1T4wn`s;IGF)Rqq!>D(9p@XeSe@ zl?4Z!@`gKzsO8P2GMdY#=F%U9`w!Jo|8o+}m~@yxG*6e)nGXETa`e9S{PG>RtQDlS zli=}AohNv=Q)KW@r}&E#2WwE$$^KnIFK#&5NoHeZlA=h4OcIr?+?A@y>lQWiZ^8R4 zqal*?=EKRqtZLyxjcy6dFrfy$bfIto;xA}it}eGv5Ac=F6~I4qt_Z%yxf1wC&h>zQ z>Rd1QCg=LV@2=XZTRJ^%(1UO+?7g){8S)p@F9cD#~Y9e&IMKxa*9IHV~*PJg4-s4nOyKA)$Gbc7pJMdi26~Oa2 zR|I!ER|3!HTn~65=X$|QIoAiiZ|zP_Y1+-!@1u=KTLBx%rfCN}a?OrgT7thNh*Zw1 zOwYGBp&IZg6KWuIm~u+<7kH*oHJ~>a&hMMm%*?e^@UA1bd_}k{rF71338t0w37&_K zy;Kh9w;e~6WEf6X11DI2DvwJ43E8yg$VUBf^$zoA*_;b^Nn@~zY z?IWKwQb!3AmMHh{ppE3RuSJ!KI@&29-;$J*_H=n)DcepP{D5;IZQ_1rt%V$M;oHA+ zmZzDDe$-p)20!Io0sORcMev`UD}iS@*8@Inu1@Jv55t2E@_0pYsp7zW6qcqm@BpLg zz~hYyQyT5}O}hr1U{S3}f(Ygm>+cfS+oGy?f6J-tOqr%be8p0GfWhC_vBVX?eBn>x zir^3Zy+Ua;{a;uL^_K1jV-Scz;7m-bYUl;T*V5*-c z>TLJAM(}#4?h*9wTCTq+>UHRM;+Vt~PeFwyHbH`)?LiU3j5R^L?^u+i;m6Z)g(r2T>dA?Lwk}yBJmJ z7d*wN>b-7ZktJdj*xIpqv9R~92(8&u(^^+HHD;tQxZ3PcBstV*+4w4q|e(OyY zNJoaURT;^h(w3DXU*_q3VCO;cEeB8C3>u zVP$DiDQ`a9|DwH(MGeKMp={Dby{4qo-t_t@{P3~$qyl8-4*S^;mV0H4;1aUKe*AE% z`vI9K=?nleY{Q}au$YZb8AvUL{j&X0qz>-mwl?3Tt z&Uo^c6v6bFqVf_7BfQP6Esk{C94RB;=CZj{B8rjL96DdMM6197qw0KAo(5B3w!K7U znfO-3v{EFa@+^+=w*ts6?C(iYP=h8d1q}K z?$i}(hEHwh+(FUd)h((rT3g$gOFwNL5Q~nw|^;kF&D1fYd5^0lgsMx-^I0 zN{*FHWhCl0)2?PS$_`{&Y7Y@o5L z*i>l%#~W1{6l4~qB_*3_&MHHe~+@Hs-*7CleR%c#&6#y^p zTmihab4Bnt=Stueoa+IXoa+Uz?pz=Ed_8{8eL_vb{(`Fxnotv=sS3_q3**JcS>&vB^*e(w|{JemL63 zz78b)VLyJDVA|}|Gh#MVbxNKl5>&csAOn*ENuPm96tkFt zNfgO53W<6^{a_Rll}&v`O_&}#>zXn3CaHT;&6rd(Ce@5dHDglYQgfpQdtFOv=ypM` z=g{q{6eoN7ji;E@P)uqlCN&h38j6#0C|kUPHJ^^Rc@>t1iJIkm$hNOe;60ryfcJ8) z2;SSd68J#pdcZ?#bP`Ngof+9wyGZ0H1*WSGaEYFdce=zZD>%uieFRa@xe$FM&3~JA zdBW-)%`0TnZ7%TFMm1<|4{NSLb0<3moMu$zT*2p!Y60g}71Cq}_88Ry_8L{$SkODz z%2sbFrA)JFW4m>>y*9V1q>yZLn`h+PTvmSt>91?0X#qDhs$LiL0=9q;n^yz)m{Ilj z1(&pHS>^XOszv4LWE!$;A9Eg7OjP$ymTgaP@GsZubTm!KS=lak5qORQ(}V5j-r&^3f?tw)@;X6~26N_UY_3Lgx0!YmZ5IlQQxvKobhil&MxnuM1@2eJU$Fu- zj+MYSO{n}g!9}gN4dBv7RmOz^gq8k#5+bv7CA((I!ipn)m|RsPRUIa?*)v8X28IEt zT3%J)fKg%p9?LK^uTs6=$}mLMPdi0re5}F(Jwwg@Mz%dU!FM}X06*wl5&YeCI$h6Q zRcYW)3QUt2xZm0~iH{bX;?%bUFEOeP>{f$m5^pa^4mtBWW>6!8w=Jm#@MEKDz}+l^ z21)(GsqC=tqC*~4#`_VE0{+pc$}@uAl`up1kPB&~mGVmuizB?vy)2IEw7G1aRDVRt zsq121UtrOwFg>T~&{?wWDFi;pxdQlN=ZfG3*6XB~VtccRqW@#Xr5Ob5Test!Mjg1R zQFS2VIdkKEX?|eZQDSL60BapGn*Ny5NOQJuKLx5~RQ*1RrqfLqYFdxC+NS`^ocHmS=-J=de5*OA9XV zR7|}QQ*Xr78!`1pOg)?vG4%mb|Fxyw0$LZk27sGe>aCc1E2iFxskdV4t(bb)2me6% zjVSTXN`_3*DaaHclSDBE$RtrrfqiY<8^D8&sxT{jDujBH)QI`Xy!51+F{x%us!39m zC(X~8L70qP8*0wWwyzO$2!GkRLiS`MZUN%E>cGv7s%{~;y;E7CvJTZ`+X;Z5bgnd7 z+}S2wKVOirwEm11)wHNdKo{C03hj{<`nCjcO{@MvSBbk?w$1%Q_~sGZby1YnM zf0le+w7B%E@4_!RR{+1_ToL@5b0zR!o$CR=M^s68u8lfQ zsrbO{H->8NB>3v*jCxxT^_-UsXctYpRu(+Osc#5=%c;9I=`=G-Hs=BIg7V(hl5CLV z6HeWzBwx0?8;!n>_QtA8S{DuwJi@7tkN-~>$ZYrqH4YHG-YIXmgLcxPz09Qv zyveC-)C?VZMz-Bq@L8L8yb~8XOWdm_T?Zbgu$)3QSX~jV>t;7nNTB}_DAww!d#lb zJ)O!%P1d2)WZRtuZ?om-oh9ykldc2TQCLoAV^oc(drYYDSHTTTD0KEgxx8#qP2lG& zs+sL%k*Mp=~ocOgdB>W2nrs4qW+jWYXiUT~ts5{W$uB}xf&E6+fZm%h0Z599 zr3tXYscM>b+uWLJd*%+E2HPC?DRF7HciA!gF#8Mc2qwj0$F-Cv$+Z3>^xm^?Yt=rEaW zc9{GhbbWC(yRvLb7uaJ|oobL=@}ldcmZ%?R5=&Gztwd#$+Fz67>o!Ts17SQu)W0-( z+xw6)2t^zFGH@BEvdtIf=rCht(>(45FXLPRypnT8@S4t*z?(SN1K!-ZUhodi^?_HI zvy)R=LT6<2?IPh`1*Rnwc)U@~Qv{L9DLg_OTT~6Wqfzz!1rK-X$AUj~>Pf+;of@l7 zEG3(BE#5`m6D+D6n=2osqu+F|?+IS))XxQ%vF6Iz((w)CTg#bi5N6?goh4 z#iZ-NM~tchUpA@^L_B9xgZ6qBRReBrRHZEV4X4olt5ezjHgxDfbEzFHc)n8z&94gN zJe$~Bhqm(~b%URCu8>WDxQ0pBfxj}U3cTH@IuP-k!lAvBMb&^6qx#1Se%C3q?{;dm zyuWQOmG1~%?9^?7k2sYT8q%R7%%yg;;18T4BfeQ8X9Q-+`$gII)CIrcTmk%!b44)U z*^pvO;D5MZ4|tQgI^D=S70BZg#if%PxS_(*2ONMX<(&FasD{vbCe#4BP%T^HC{#gc zeG_T|T`1f;yrwP@oqjiBE(t7RLW4!Yjh)J7w6zZHXfE}vOIe3nqq|Jhp+jZcBMyGS zxl&e|xJy1;`XF$@V8F=PLPhIk11#SF;yZ1_B^44N?tN>m4%|XvskNO2QTmhwh)(Me@QWtYj6z{^R+RTT7FAwP z@bgX~w4YN)2%g|nr{DfR`QId$c9p=7Iv1`*#NBPu;jEmcLoc{YH+aE$Z2*Eaaes4Z z;JgaUsdhn!#=3GhcyZ?n;G%Oy@Hpp6;MJY$0rTB2`5Q_FY$uy0Fz{xhn!wwPssmBa z*<-F8w5vObs+mxAqTo$VMeT6!yN$eWwWwB%YWd9Rpjq<%w`_a|xsdQM+IMW{wlO&x9Iizv&dcTi&!AJ%al= z#l5EwCzH~en=0=UWZP2_e1&r*3lJdgd}}Stl;{!$9GA0AC2TJ*(xFStC2V&YHuw5L;D{T2;8u!DYsWxFDrY%~LN$c8 zH=#xp3X=gL9zmo}U;%eEp;ijo-!k`VYO)2;*Ow0p_w zvVutEOp+*6Lug(TYDA$hNf3I%G79JHJ36$a2@gi$F#Nsp?w4)X2z;}++!_%$;_Eko zXIci;YXp(XsZkWFA#{!jHKI_c5kh-dR0VjcQLQKxPNZYyeT790#;8!q8S>`qHQSX0 zKj^n^z1dkx+{@Nl9XOA|(q45lLBCIL?j{QHoE(iC=}){LS&XMut0zQ z-T?CFK9d))&zfrhX*PKQy({57SXTnLrYW%VN#ahEZF7$b|7QeOQ2ocsw*9ix=S2CR zBAZ4XS-)bI@6^$U-Rn)k51gWHf5Kj->E-<7&t|~d%Y*jz;s?IOGC#63T3p$r>%iTO zY5;jcoz?>&;yEwR$qB)9(*&%Wb`^+V@&dYcm{Zdwz=QF2f5ANJPFx||U*fK{1nR&i zjjBE)_<~beq1ih0u53F2Fwe`|34lLvzaseG&XvIb)N{FXy3fwG*+rv^*|nn%T;HDO zH8v7NDrab-Pz@oTcBb`iDGBwOb`|aIoH|_aF{l16=oy3od`DgI=E`Uu>O$EHY_6y; zSOLm>W$=qmkx3ma7jUS(8cIWQTDp zd#5^Rf`q?rZIxdV{D)ICJI>k)^QTXTwsw~vsH;De&3XMqzvi;2Dt9~-DlMlwNMKJB z3acVQ3_>a^MR%b_6bd_@tJLeet@mMy<8qC;gog!Jt3Q7CSmFIJ*Rb>g7dXH5tFnZk zPY+Hc8#!L|-6hxI(?|GT=L+EaohyR5^J*`*;NQDn5BMSHdck}{e2VP@Z=*Fmojx-y zQ2+@)C$Y370N1dqN)@=FQFS2dId>9hzh~Mt-Y_m~Lba6y%TDbnIJYv-*~dri3fl9U zPz6{rYKZ1`GO7aH*Qg<&XV3t8hleO4b_oeAZ$f3F=C`hdv-7Vi&zcsM(^fbaDC!0l zHAGvVk|F)#W2tG?xm5x)OsEBX%&5w9g03Crepy}oifnuCgJ(Kdpjues2CYPO;3T7} zdkgyCl&GFB3h|sN_-(o1nwB}>Ri+(A1i=w6Q@g>BDJ=D_0Dj!LBACBw-#&}MGxfSF zcNx84a4I&}q`5P#xlms^Jl2FNz?M-Bnxl3(o2k#sdl!o;)BIA_d^kH- zmiOm8>I?GbuVduQt{=;rzr>L)@yDphR3YbkX|_@nok=_IYo`2Jk~mAv{0-xXt67;*j%_=(cFkLq^`UvSE@$3YY`ciy^|YH!C!{qXN^c1g>qn{pLD?Nad_C)8ulkY&(1KeA;s+t`ug1{4bGB z2>?GZD%6VBZZ(%0(EmDG*lHJ)$5_v&8_d(hlw$$Bock5Qt2$Q#ujX72n45s4*9+!J zW#an4|IjWmcSl0PTdRRo9N?WsHSQHeDrdGMw3|hRsg29d<`T~JwRPwYbE(qyYPQ*} z@(D&yCk$VgHg@yLrh;^X?{qG#YQ!xppHvUv#zs{)6+|iL5`|F7q9zgbC8xe2c&by` z={rM*ek0rN3HUDO3Sjo#$*&0BK^Mowh0_E5r!0j!a6!AgRu>jr#;H{W5zi?C+7;VN zPnsa;_ZO39h+-=|N7tmNJtSb|LRDQ_&{bG~Zth+KqQb zEo3!mP^M*_%I5uw>g+Wcpa7ot0C{^&2H3=Uy$7<|pGbQ{>uvu-A@BaE-5<5X!}j*88EPcgh(U(F_moB%5v$KZJ!3PsvaVN(@m)QYr%J&;!mP^Kf;pCjnN2gGHSUH&Co_#RcMAb64j&1 ze@b(`a#>%tJz>CGI9C9_?Hs#hn}cZWET1%%z>|%to+5}+&Vq>07cFX1D@28*ZeO|F zEt_7!A7Hr&%+|4Py<%&37yO~PG*4$_-Ler+18a1i(TcP7-}?|HgVxUo4`-oLP@ffyAg%`ADpDBG?R_*Lf$VE(*gyH4N_-LC}x zmvcSfe>>L;o~zKANJ*~`yw{=~F888M!iy^~6&`q=QH{$4k;*xL5nA1%!bQ7Fht4&Z zY7`FV^JJxenryqI;NOq^l&%r@BL$|e0rys1>c`=NNab`5p+A^V4LHe!s!?dvuH0lo z72y6R)Qm#mVlY$QkIJ@d0)EE10{G9)6~VKdD}i5et_OVD;#T9C9W>&965)?RrWyke zTdd=k3i=H}q;hJE&>|KUrq7E??oe~7M&as+>y?u0>U2RH#EqxveDIt^;^==L+C8 zoGXIYa;^kk+qoWauXDZN&7JE5@2B4(@*N2XaBlt z+4Jlt&zY7~{~raPb1G&K#^!CcOtYygv`l#tMawjsC@MsG5=Dh5Pok(0$s)UXMRDH za?U7(4l|)}M&a_Jxm18xE<;<*mzPzx)_jxZ_jYQG`m~X3dQ^U>peNb%es&NUJYX60 z19!9xT4XSnHP?z6v|fFJ#5+Us2!eP)KP{8E~KG41jvf^%Em z6I|J-I&e#)s=%^Qbs#z9 ztQTZ(wPjEP-e^<<_)DW|z_Hd`gFJhUssTNNu+mPJ_aUQqB;f>T3+2RL<*;9*Yjw=7R| z>SVzuocg2SD^9&G_*bW>%;&9G{lKG*D*sz>7k&O9Ei$c@J411RY@)6a{FzhP;hL#o zTH3UmWZ=y;FVWHUt-0o31y3;TW^ArGPIJ!xqFXbY!3YuaVt6r;ipj->U1p(~xqP+99PVLw%pZ;xzy0RwO2T-fsww}E_8>%gsz z3g`M%y?W-0cG|6hj~?*~Rtjc_`>VaWuJaW)gynR9suYiRYYl>jnQwbx&8%*>hT71W1lAxJmf~_tJa4wCa9M5UHGn7@>bDgY;yQsQXQ* zwxV+31!3~~lBiF49fmfUr3cEuiKbnpIZrYS{5J9)vZ(TfYW@n@oEMx#?PF1c(*@sg zihfi~XmGsXSDoS$ZoE88-?Mh8j(P@z*NgJ53}y$857<6p8I;LjXKSuJDCo_VY3?ld z%4*Njp}F-MuYG=iXX$x=noU#Z7%gy|z5lBNH#cesxUEt3YXu)LYUpFV0QjZqEYEk;#@(rb>C0m9)zI{E1Oz z#$qLFt_q~uX)OURViTmwgnrX0lA2~oRWA~})T!)z?2UQmBt-^4_dKcR8&16=`2RZ3 zdq&TbdNK-WBlYVkRDpAPn4}Jz)x%`A+gqvIzp}At(rsEu8=kCwqO$6V%4##t2&M#X zn8Heg`Y_20YfkrJa#a<9mWIh}8%(QTTK+i`rp))r zrlADh;9kJ7dX<>G))$;t4JK+sL2}5M7As2g$EMvt+oQr=IZ<0%)Ih&r-Kg?eg0~tq z0Q|F2W#9`&4ZJMawB8NuC-^<1%D@YZ8n{YuX{*6NtU-AGHm9PNFro6zf^RwXuHc-e zT}FG7Q`u8uvJM?0+n#D*zVje)1@N)%R|HRWt^_{8xgIdDJCk1TXnCBXxU?GvuDEE& zIh{Le2-cn2LlE)g_1W4rv{y2r2HFj$qIQ^R)DOY5K?bg3LQS*>oQm3ENsQY4X!n@V zAlj3hirQfld$j7jnnkq`+TE!r6dnVS(FHbe<%>0HnQzu0e{iC0(ckair`nBD~+cA75Svm13qR{<5@wJawZ~){=lLp z-6u$N`lKSzg(hV)+D=guO{jlA!7H5t{?@2+)b7t}&zAS!WZTsQzw2B9{GoG2@QL~W zM2Zd7M1Nj=SRz#uxTAk=VrRjoQ~L-ao^yJjeY|N;I$iJ`r`{Lb#F4YKlvQgDD1X0SXkz6KQ)Fh%VaO!@+KRK1nsGw{Y_pH0Y)13?F330p2 zC-r1B>0QmGmUU_B&_r`7A1-*NQ`so;x>7Ff^BuggK6a6JZWFhReFi1ujLV|t5^~;J zhqm#Sy20B!R{-ziToL>qak(cF4fM+?l@|C1qpFVxB9${q5ZcG0YQULBHKI@>Tku=t zeV;{@iF(tiY*d#%MKQm9up*SZphHWI?o!gBRb<3oDLXA^|hg7&GBP!C+jn9Hac-DyczfIC@Kb!S2H$(bDs%VimhDigJ@ zQ-=$l=~Q-{Y2!+{44;m|XUU~qBJjD+6~LD`R|GGuPeJ6B68#@p3U%Os zeSW99yWss!Jt&BH&OC|QHMGZ@Py_7;oQm3EVYx&3zG(f8SLg-wk%b=FbQKQ|X1diX zStOs$9}9H<3*`6V4U>^$0E-cfb1iv~1eT9U*tp z&Dq}VrA+RZO)h^C{JT@xwocHYr_E(jj0zjj>q1oj-$Z#^;Rc|jxw)on&TgPrht~IL z+zsB?xdM1|=ZfGTmO7oxI|b0+NpUF!V7J239oBq;DCOL+N1+-*^O{gK3ROF~`Tvh8 z6I|0I87gJkZ*v~eK@Y{a!JN3kY}`*`Tq`H8m5uu##x*}z>UeD|XOO2?FoEj}^E_0~>-!ZBR{Me|<%KD_!w)Tmq>LG%s+6Szv zcL?sL{-#y_C_ze)v+z>@GD#nq1MXG3pbB+w?c=`*-z_Mk~1bR~AZ_&}0&1?J{f^%7(<4K(& zCVAlZ&8rE#+ob6}vK&?aCBou!m(Z z`0J3t;J-o!gE51_n89GoAgt!hsea2KXCBy~FKzX0juTwNDU$l0Q$Qb>3RB~5_ll|K z%!9By`j(34r$hPHP`onDJ!;K`dGL)O)F027{+ok#KiWPI`eVt%F6J;bx4V_R^^2h0 z8l!xD+O?>!H`j`lYsC!0rFe$Ui3jc62sa?nCEOxLmr8biN0(5I=n_`PnM(8N(bJ7C zA>HT_(v2=5-RKh1ouxDvShZ7*_ErG==QTQ9x-XnICR;56H&$TU3IN|+t>c_l$OTsK zK%{a$m=T3)2;FYlgSSibBhwy4dw;c&K8Ja#;2TbTDEOxyk{Vo9{W?K51^!gf^9+km z%(FtC8(C6S@_fcA@_f&ds*==8mQ?k1K~Jh0lM4Ikm{dPWJ#WQpkknr+sRl`%Xh}6F z-cOynNAOXnNc|d1y)l=HSF(CGDDnDEl?AR6w3aG)W`7$q+{=)D>EnL7Ae>+`5*8)KCAHo$LLZ(6{M zj2a(1I6ii8JmdU#>vM}fZ)|;T0l#e2c*^jWQ7z!YR)+CZW;3U#%(F(dfL^Teu~@A* z^kLz@TD`d5dQ+y_ms*L#^^r=Q;Zd{-!SEL&}b?wosMBF}#OH~4{ps-Yu4FyrksZtcGA#|>3H*ObP z#k3nyJ5=dnMg78rn!q(os2PPqmB{~MMYZP=c*Z)TSBbc*t+hIENMWfYhYF&UQ>7?W zL+A<9ZhS1br)f8$cBs-bihAFKn!tTcs2PPqmB{~(ifUI0e8{?^SBbb+t+hIEJB6i^ z^b4YtQze96FrgaoOD5EaLZKuGkw$t409?U@no%f}1n*rus#6F5f2t0hYA#_}jV@tX zou=sXM^}k1L1nt4FPCkPAovRB3gD}qD}t|ct^_`1y-t5~-viRGb1ILi$*c@bd~xQ^*f?5H8o6 zOI$g0=sk0(?50)^lg)Y8ityDI)qjWJjuzEIh;HQkf-ypk4LTX8`{*+SFLP>jX}VC@ zHAbQFQD}S=3XkWvRkJ%-KdR@1=BwFClm9`MbQ5@tQI+!rzhv6Ys2ye|+B;j+V2lbk zDMamM&6htCT-Joj*?vsdp&y$|oK(RjPO9Ki`Rs=JKiegY`VDI6meJFVE+O6M64H$> zA>HT_(w(6+?~-k=G2nZhD}e8Jt_Xh6xf1yI&h>yFa;_KrjB|b9-)z#Uds<^=hDW+2 z{D}f{))-vwF_%zZTo&7?(^OhemR74<$mXmuL_Kc8{lM)uMyR@rAl=Bh)*v*~njaXa zD5BG{Lqe}O@_V}nhK5B=R=%>ned+Tk3d=X3=f@vYiM)pLySwdeh zp=K0nMxn40A>_R+)7yYwdW z`)rr6Cd7uqnh;&W44S1hUmHE$=n~S6E+O6M64H$>A>G+Z^Ih5Y5(a+HxdQkf&K1G` zbgl&c(77J)C(iYP=lWb{q*A+m;JrWJ;nET|J3EeDB;2FGw1fdK>g#x?v*-#zq;huJ z2yJUZHQHAoK?l3L`pM-sf3V`9*nuY*FDvyHMVjc+>(a zpl29P{mF7US~llO5M9DFovP@QMpub0L1mhv&z5b^LGby`6~GrdR|H?|TnT)Mb3Nei zJJ$=o(z!nH?>DnKctg01lJFf0%qbo&KR1^eaQ#i0rsbAe`K4^my9R`2noyWGxLjv0 z{Z9)Hm~e}>=vmJ09U;$ffT(LtXdpY;o9a*1T&nj9dRyTXnXITOR*fd`G@~k43pPx< z8MWi&R@CkmH5jA94x5Y)x8}?9Nni~V3a8)msXBNgJjc=_Bg?*PI*^5h&qobZcMUqnFKt^MplTL z(Cf4fN9Ye$fGY4=qbe_lnp9(Rp(Zpp+X_%-2u2aS;1$R}r1a9PA$YQVLO3Tr!2AE<$xdtqGOG?#v$3y;@9 zGeniF?Qkt#M~Bukm-0q}TR3Hk0+V&<5ZQJK!6!La0H5w$5&T`}O5ih{>jCqpHd7nD z;B(xs4}8#^o#fijF|zq~k?^q!%o#gerkG3Ecj9ulxm4a4+)l0Mj2%%&T2#32!ezR- z3;xv zt!zTgDAdembVCqoAheZ2EvSwOPZ2h7j7)scb(c z>(C*x!{?6hcbqGLPj;>dKGnGr_%!Ewz^6Oc3!dg&ANWVwzUAIPlkl+$%sY2<=x}q1 z=Z+4&ZZ4Hg)ar4vdFPJ2zhzPVz}t)}^OtJBVnXFTf_9kV^y^0^Gyt4rLPJ0V)4mEw z)zVbR4)W3xSi^+M^ztI7vQZB!6Z(^`f@OIRSX31uZ$6xK_XVLQLK94=8HK`0_e2nC zAT-{D8c`^mbmvH@Wl^nI#&FU-rl?nC)9vnt67U*@fxTGXcUn}9qz|&@Yexx^e7gI{ zj>iphxk<9-8>2>T?Ob!I0e@#ySP5q8(4(^L9Knw}R{%fhToL@Vb0zSf zo$CQV?_4kV73ccEU+lJ#pQS~^Cjbf0J5Ps8X9BQyUZ}?B1(C`dd9_S*T5*6Im{2nc zg;jZJWwM-QR30ONO-yJIA^$VOVQ;ve1lF^tYK#iwPSi#g)rwJJEx$l*-R()=FZhO2 z3rcfE(=MZ3cB)_Sn@)XO@E1-kuTfn|Hs@h!S%+$}?a2s!#ksJ;6F1(Z!wMf=!V)%H zlk7d&cI)7kwa-jkNr%l4*JXRr&^j*jn@bHi&Zux7Lez(9DqV7b9u@8(dnL$?W4jyR z7j!If1`$+T5ZhWrZ))q2HNHIqNc8hsM|*q24XXT}4jY-1@8{ z*LF|ATRRteO58@?S~j8R5~fRZ30M2*62=&p9?K;R=+-*4i+8CTJi)mF_}9*rvi(Bq zB>Ci26_=yUC3GE^oz0~JSMwNl5m{6F{-;?)O%%!^5XE%SLqOS6`R#wy&CRE;5(6z&U zfKC3Ra&0fX;1`@LfVp!>ens#b?pFf8>0A$ZqPDv!HZ0`i@h8RQOlDl3FqbfyarwKs zRDdnpYllfe6n9E#b<7SoE}NUm0C0j)O&}djb22Nmki6>_6>c+@)FJM6()JzLu&6MP z{;e(#nM=6qA+0^-(jIH@iOz*x9C7=bbXYv6>CibY(+&Qj?o3j1L7KP=KU>;e!mwYf z=s%iDnLlc^q~8{0hvNDWRVV5{CKP(g-59rG?IMB)NAwiDmvcq%0nU}ci|URl_aO|8 zwyZa!=5?yM)PM`w&03gi2=f=b(v1&rVT%fL?MAgxFqg0eDCy9qvh6Z~`Eyf=3+n)J zUoz=BaI#U=DS{~FYV{#oFHmtbEyE=H>!NH;MqpS8xkEN`Lw10kG7~L z8F@cKAvtu5qS}Q7&o^Hu|GYvH_d9E?4*Zu6!w(-Kgpe!F!CV1MfAe3Vg+=I*=4{CLtMY zWKlKX9Y!_Cz=cAE_mcOe7FEtpU4GW>LsxsEfVE3#a|N)J+FTL5utXA90x#lR4|uF| zz2K#t>jU#PH7#m0bvT-FqSEl_7dpGPcPjdK7>}v}&$p-+@Is>oFA;pqs1|TpdtNdK zT*0Uo@Ia#mf%GruBZ6wBi{#SE3Ow5~sFKvfPVKB_Tsxel%gOs(i)teDh*MFhse@+7 zXbp=h1My8S`GAMH7w}@EhRDG44mG#v$j_BWlD9V7NVM zP|{{_dCfAcF#N0A@V9`Q8dcdLj9S=c-XND7W!onxcyXPn?Gx0J5g=}+wH7Y^xIAPo zVUKi^4lQPn7s9l{KQ0VQQAsW?OA#+_?~_)d-V>bXQywD7#Xkp8172-HP2hD# z)qs;ty9vC@srv<&RA+K7v~q`6g1sD<|3PN7{i?QmMHEA2h4cMahFM%9lJyvnEs8TfnV2F)#Q&4txy zdkO4jLPLC_<)=&bJy0)BkZPbjm zvZO1F{INz=f&SpIa-oiLj^!-JJk;TdT6^&W^O&f;B!GF!mbfB#eWl)B62Kcc*8}Fs zTk`7#Z|Z)1;90t5{?w;yU$%PH2Lz9BDm!9y)1zv@?^{&sa=}?nO;RqW+mnkHPtBfj z>a&}x(A)*4U8T8aoT9mtOuI^Rylc)GL_RlhnF$S|{aq6pMCe(kvU!u_F(y<#PLL;b z>8S@omzYqv(jerYmuMiwBeL{Dnj~Mh<{Ilub0gDkP!oUZ*C2x%+$-A^G`q~Dg68H% zHGsPrRoPvTS(x+UoI^Z(OB1>qJVuWw5*Kz1#Jy#$g&o67I>ck6oY5|*g|XgJH+XU9 z3SgdFrHqQ;qWhJ=D>~N$Udg#$@EXqbfsfYhUi*~QVETZN@Jir3x>5d;wKaHnXe(?=d91aKMO9-|xaJb&f0w!u zqr&BFS0!8Vq+>P0m1B2#ziLs{)74d;4WtQ%5NYJhxdS9{qzQ$xGNgJ2l|Bm)Mwvs4 z$v3$GR}huHvHURb>gHAk>ic-Q+HN$6?G@NawtZHD$u{q-ByLB=FHs%uc@e_4u zPuX_s;BPq>)&$~?mQPOWxEyRQq4jAxbdGGhb?}YOh1Q9?)}+Ir;qn7>39Zl2p}S?< zt%F~1F0@YEpX8I%cU&GZm(cob9pcv&+O2~(oTGCvaiMkM7M4#=>$og$E~B=-lDRO~ zlvY>Q);hF}Y&${loz8^>iL07)9e9pW)pG?=$~k*y$mK5Cb^>5N;nJ=Um^<3U6~Wwm zCawg2()D`4+?gi7Uhs47*9YENd(+%a9tppzz%(?#cWr}R<(2ccz6rLP3m~+hMTG&x zh5P4p%av83ZU1o2(4Yi&vbMwGOVoDe5?1xH4mHgsoPONv9U_-h*KY8k&K1B%I#&dL z)43A(2yHY{{by$T+eM=%DKOO^xS{P`s-F|w(WzYpQO}u-QM-mV8??0LLfhZ|HllW@ z=lgSYy7IAX+N`dsj5e?&%Lw@gSIgN7yd!~4EUHOHyEuiA_m)R;rgw`fxU2-*e~|;c zk8ww?4MwW%XCeYq)$+Gw+fxC2ymJLGZ?}_Q5zGfX)3DFT>UYt=_pFO`An%^jfbs#? zxos~H_BaS#YeF@m-g7G3K6|&-B;4*Fc!s##yb0XUGO7d5G^%>3AW}JVGYZuZ;;m_# zn^7oCM7qX~CoL+#C3Gy!%^pF0=UP{yfa{xASdG}H@Wdr)PnNeoR1Hx($mMtw4wL>D zI`ojaRAveO%PFB?G?~-Z?8t5~kA@Rh2mvB^$C1_;;7U4_>OmbgHL4EW#i(+>pqHe) zho}SH>kz@?oT3_MI3;9ey3pma?f!wUbglsYiE~BpbEG;@=9j9;K#D5u)yysF5Nf*&o!zJL_O#B>b9U=?Nb8mL(*yWb!qZzUy0&( zUtBvZ8YDSZf@$=DYa7)7Zemm!=)WLZ*-l5#Hm?SdjZZpl?$^<|tp?>q1($bAfDP3Y&R9lzgZnZ!h@}@GmA*r7Miah=JiDdV2my zuY6#_MrNjJ@rZ2u3;@6FTsXstd)#VS2fk%gmaExTWh_?gfg3m-PMAKLoq9R;IJmhFpNC1ud!u+}Nn0 znSyUPh4xlM?Iyw7oMN9g%PF2Vx^_6B(7xQFDri6K6xx4rDr$#~2fNV^EGlel z`Cui5Ns|-EM?TYP4Se5(8o*DC8UlK6Lq_arXINB~uD$0}b|k4g(P=rQMxPSZrA_CY z*fd|k?8Vzl6?i`PD}onxt^{7hxgPLh&h>(qajp;ip0=Xx-Gf%Q5uH`Qrm|@!0-sY{ z8Xn;DM%96+=d3Uk1HtqQXTU$1Pz#7)`)hb~)V0~^dEz1O3fg;FR~kPReA1e0MD4Jf z-$PMHm{57Dpx;b{?etA@dD^0?+yqRr=EJhc=S2DJWSV9O5S^$aCGdm`y(8!~3fj*q zld%>x-s{&vG*6e)CTwweuWC_cnm^Yme$VYTr?T2zdh|R-HfNFJlZ$-nvc0^6*HT#f zbOCSRToL>}&7@o0FuiGD(r4$ern!Xm5|vfWr2;(7s0NSPNhs%X_EmYGVo_xv(Wy$n zv)l`Kxl_O^jj98$F{%uF$*4N;Rii3EPd!ZUF5RuoiEXcJ!hGPcJyhU%+^-1kcCG{- z@!`oH@ci!A3trT@KJecc=*+pavax*}F$op0rEDtf*X4hxY|f0Nn;un*QDK6_s7j0q z7p9$**{+shd1t*_B0AlZ9j|2RY|d@kJ`(VEOjU%gmta~%=9Z9aS8om4VG%)lI}>W4 z?LrNbywNJ#h}vPHeNuUU6bmqD&6Ot#e#a@gGQTxf9unNssS$Hw7~U&^=Us@r&-JK_ z1TS+cE3~o%M%0@+lUb@a+Fq0D+tT#04E5&MWO)a%qVDiklg;KbU7P5i%Z@2_JjTjq z{rOz^1zNaoU998rd)ci2FBa-Be^|I` zzb<>xDD6M454&D4wxhG`avi&aEO|7R>G0%nt@0VnwsX|~zhdbQ zzC-pmvJc9-X9pc4{#@A$Wzos{U#R=&U&;Pf_CDFH|KG*`LpEEFtp7rbc9`{KKPS7n zY}Wrc@t4V7E&G#E{NED4h{k6r+2v%j`qckP)%#Dfj7Qd=d2_1f&$~-@JjRSNUdwCV zohW;={E5rzAHRHuIYpMg@IGBXXZ_iI^HGQmw8{FMY}WrCoo6#;Khjlqd98U_|G9O1 z2iY02kI4RS{r{zT!@O8b<5wJIe0f;XrDp;w%JQ;_uNqojd^W!i#V@1vi4Ui(q@T0? zXNo^p_FCCr%JO-lEA?|Wei7Z$Z79pb1wQ(d_2(w#JdGc}-}Kxl<9VV4z9)O0?Davo zLoBO2#>w``t|yxfTSx2s=ViB+-EEZh|3&S2UzYuk>|Cn*YxJ72g#7{Jl}? zdxH2&WPh%D@`2=ReRzTXq5S_XJ4SI?f0lWcGhME_db5uDc{kbpWe<`)Og3vq^E*B3 zsGr$ytf#y;kmV73Htar)*W{9K|Zjn7f_B`1c#OvqF^z%)!XUd)*Q^t}i=_XWuZ7t`p0Ta=qX~Yw3dw!~a&6-CA}b*^+EFzyEDK?QAFgsp{u6 z*$2cI^fNDR?;Rzd|7*QLwR^DaL|y0k{=;lNw$(hpQ1M<*Lk+#H&38_A!ztba=zvUg=~Q9Bb>?sy)r=MI<2X5%@2 zu8tG`lytKGP3^aaWDl2}D$D-$F#SAH_G_|Pz4yiQ35V6^?0BproAu{c()fPc+vUd# z@~l7ebd2W3RN3cb`Ebye^m8_Tf1R(qXZyZ>zG9T~yQK5@OS1j41EZYZk80ocl(*>84_vfsgwa(|+bq*=J>6l$|E~v}`th zaqTmfmYqlE)q1ORJRe)JWB)DNr|Z^XqwGhr{^*U-`JQbb|JSAe1GPU}_J8YNkWMM1 zOFpZMr`>FO|J(SrGy4C~&$LfJ-xZ&2|1Q=0QQ1Gr?mJ5T|6BhTr8gkGrtE0?MUCSM zvY(T^S9VR=UfGppSCh^5@BdnFYqj%B+1q5vFWWx9QG3Fiog-Js{#5o7S$;h=8_y@& zzcQwCgs-UPS5Ak-?=72+zf}BBWUrU~{eS8I>rwpY)OBNiS$+kX=ZM*S*q=<6|8=sz zmCgDurR&UUvTMomn~7QfejTsN9x8j3?B23m*Rt_E$C|0@Rkxlu>?-@e_2>G@r(L*S zuBrBxlI6>L`6LR@wX^N-qr8riJx_MJ>>09W%TAF!Q8ughw)ppDKayQ!l64F^qc0Jh*WV8OuYTa91_6xFQ zS$^wjOZ}XUKSn&iRCAerzDkzw2RLt(c)s@Y&pQ6R>^r0Q_i7#GJ2W@b&%2GXzOo+k z8!soz?+n@fWl#Da#^cX-QD*CLwsd%Z#8)%&9_bPt|G_Bj@t$c5t>e?RUj96@ZjRH> zv*gFGRcG`0zt($DdH+xI<9A#}%db!U_`2*NvWLlL`@?tg&82ml>sLWPXZ^=&y<0|h zb=kFLv;NzO|A#E!-L=9f>(z1cn-YS|lQv+dIk*9X2RY|~NN?-#$j zEbH*MNAW*N{CTpM%3e8&|2kSzJvd73CAbYUvRDc-X#0~RQDa=Z53JD z<0L==0ZeEPRY@ozfKhrvRT|(Bz(6Pq0ZEo*TSS%=Np=#d11u1hVpvM(rnd!5S^Bc3 zcQAFcRMD2YVAur$OZm>3^B(DH?E8QJe!%zdf1k&G@4WZSnKNhVow>UAa^Q79*S=kG zUwSYw4r~It^7uHUnSl%XTSJ3({}tNfcR=0O{0w3JSl1p155;;+9dIf1o(?<;*bda6 zXQU8z;XmEJrRYb_1nRnit|J_cdeIKt0_~s;VeL0YfY%p#UX1?cW$1kicq{M@;03_T zfv*4l)9t$j`FsMnGv*x!`piFWhTn8QaU-;Y9evuvA5ia~#rn#8tW&lDmt);?BRt16 z3+uG?z+SAoM1A&`er^39z`jp_KRNdHBK$qjE&m%}|9!yO$me4~&F2uTH~-S{HC^>% z4t90`AIEyeCh&JB{QMTyJzRVKU4760H~Kz6`hN#j!49?OOYlDeU3)^Xb1z+|0bb~1 zzvgQLO%L^O7BGWyIM=5{y8igJ^}F`` zclNvX{oMGsz%S~bBK)TQbJJ6QYCN@9+^v+t`!h=Fofyoq!`Z5sT`9HNXvlCqeEa;4#4Cfo^{0 zJ93XB{3-B0-~&L_qv^Q%!U18LfEnOopj<8gUxt0o3xI=0$+gkIuSQDv2jJ_Fdk^>w z@Fn0Qz$bwB10MjEQcOW=r50-~^y6AH=%F&cJEFdY~(>`(pD3%a!AS`a@Oy*2NO=i-AW0n}Mys|84zh zx7wk0UjTd5Zq1k4t@%>BHD9j%L$K~y4SW{!j@K{`=s@^U;O>~O6oK8ViN=i)-UH#i zfMcPz4&lua-Uj%eZqMgfR~mx+tpQvc=-NLJ@-@Ko9sjxV*TT;a0v`iD4RqyaVSZB& zYyutwbmb4ix^PIR|v0AUZR)&t6r7Uc&b<9mGqWk%88~MM0$VKc?;%ej<|_zE=(G@0C+0U zmA?)AlfdVI>IYYTJjVNJz?r~9fUf+D;NJ$m2mH!M{t1ltZv!viS~T7PboCzuz7d!N z9_u6jJ@{2Io(~0X19bJ*Y%9zbz^#D00A2a>YlXQ6cs;Ni=*oY)oiJ5F!5x9Kfy00! zfP;X8fv&#Q!E3zH2&-OK{)KVE6oKyozXiJTgC+UwevN*#sB_y7F=G zOMoW;m-@*6I8`(Z-d=EZ;6$LS|Ja>{IRUs7crVbEe|efP2Vn#B_+2G@1F$$t!u1G8 zW=eQAcnOvNe+qqH0RLTm-#PY_HOtut(*?f-ehvHq=*nLW{z>3Vz}JCK1ABmO{7b>B z9*y^|6HoQJ@khf?lYmo!e*Wu5IrIQ~;rI1+6U{w{ujS&V*Nb?YqntF}=7|4u<%d;? zh8p0#K-~v-^>2Xd0bmF4e4xH)do;pse0>|_4!B+q{0&gQ(>~27eiwMPvxxADUr2u5 z%3`rTPopoDIsv?!pY~OR(dQ~V5Y~4ux$+}%U!<=uN)Hi<-vcM&`aZzzfcp9*S6>*{ zPXOKmJOX_5U9^ry86a|(C%vogy*<|2tPScPW1WV4-ozcSOmTgTn>B#=&GGJ zNSMQcEx;u}S6-hRy8_p*2HxW%zxPU_;Q-)ofQJEH{mSce!yiHJAHcVO`c+>yzIH>i zaA6PN!LA^}&8Wv2U=FBnC3T}Ie+uNT2i^kg1YQGlyednZ> z_eMVcR{5I{Pk$Hqs89K~LeEh^eQxjsAN|UohwFC&?+4xp)Xz-2`S}3XKLvh>^3>O7 zyYl*`Nqu*A7vwdbpZr3|9SJ-G=*q8)er6O<->~iXzLG5D&H(E3k39&x`u_m_9-#g% z-%tKE$h`x+6#dLoz)jKLjRFn?ZVdbq@qPrl_WauVv*_>Iu%6SnnpmLE-P{g-4e>UHJUhn`Jl z=-(Io{=kEP>Q`5PHTn_#K%stYaGX#7G7kO1WMCH920R$3zi-(M;n~0)f%;ho*Pe~g z?)1Cw`f}4|@FAf7Zba96xAAGO5wrt+?~nd2A%uG8raupS4A=?O@wgq~vw(*KQ$SbW zm#Ygicn!gB;BufVuX6f4Wv3I*mG8wm-#5UWszo9MtO1Sz{;2DM2)p|9P4h?L`ZvH) zKF_0F4*ojea^O3_r-9D_ZwB5Dbklc#*R~;^8`=bDfWv^rVWL5Qx8~~8Pb3`-`A>jr zuj5KeSf59^(vjQDNB%7E`uptH5PlQb4SWIE3A`NWS}}4xVKxUwfla{uf%Aalfm494 zK3%VT8P}Jtk9-2>0kgorLhdskeG9M-SpdET)aP`bMYsp}2=GbZQsC)8*WRr+5M~^( z1M8X>0FMU#4mcZf`v4~aLqJ!*>Q%Xs(6a?_7;r$W6?&fs{sDLs@G{_)K((s@ zVYN%`{{PKB&G#F~Pbb!mm;0SK@o-t{2Zp8{&W zT>BrxJn(s-{*LfFgg*xU9jLFD)>l}&`gEUBpD(`|cegubVNnm;!`-(&vOIaIDZhxvf+f35((0Q|2NKY(;}|25*1UJK;Z-qDcL zc&>dvV1AOtyy8w^BjydRd=TUQT;RdLu+My;9^?CQKz;Ag_86aC{r|3f2gc#AfZ26L zqdv#w>hH$5_#W^>;I}^GWefJna=vk761wqy5A$mc`AOMo{3Z-o4< zKKUCyNpd^}xIHigbp3JVWMQrcz5)Cj(3Mv?-52^8a$ot#UyFs%JAiiqi@HGSByiJI zA#MY{1$+;97w}I&C%TF3Aj|^b65vTdSN?VIZvofZQ6x45y7D*fB+RWqcYi6nvs^zC zxF#?N90FVo_z&p$8h9`8A>e;n`cJ{G=YZYF#~Z+x!54k(KNK0t0WSmI>}C{U{p!^o zSbyCM81`9@%?*%(Dz6LSC%UuVV*S}^Or7!-vdqs>ifp$ zBi_eASO3FKeEprU>eu~_t&pA@Uq4K7AN2egsCr#_eILd5koyU^v5$Uz&VI}w(R3)V z8MrG@e+TNO_b{$M3DgglPse(YzBlV{xc&n0b>Oe9?*-VQ`w*WXyuxR_YB>zjeZTcl z4|N~TwO8fe!i9H%-?)MZp9FpS#j|Ay-{e!@yHIae0QGBC!{EQ3fueYbk3WBIeBHnJ z3hB7%ulR4$58`?gFa_)cx^7Z=UEkaua(nv7s~-JQ>3xv9-$%X|e$w?yeJ_r#Z@TuV z{5OdAFW?$J^+)xz;b;)BVUJhd?4^(V7pKIJ{9~j;DtbauYjB1 zm2f|`HgG-QmOxkjXz;%So({ahN4^XE{lEu+-}}fH;RpT1*iQ%#^677{gn`!s-OnHH zgLalhdzyu?jz51#Jbgd(%Lu;;bYVL5)B|;&FpjV*{}Iyr9QY-$#z+1ODEJDfa#J9$ z{m5UT=S1MCKsWtgT3-_F>|~(s4;<=Kf3?5*3*_~?fBIp**TCz(xNE=4>3g#dM0;!i zy7EI{hwf`^ituJW_MHq~_bv4!Y5E~KSN{yuLw(Lc-xGBg#$8u_bMWJVlYqPV$m@F{ z_e47S{DkUX4t@i~e+J=~fCmF3zf*Ddn|7xvn(bJG8=~$+vC6T6! z@kB#wQ#hK7rkfJ1#Rjvj4WytPPNo|(dM(<}kV~{_D3wen^qjn=r>P7jF1nz(kK6xk zVnd3-W0a12QwD@6WQ-1M?tX?lo{b_|?(;PM+yNm988a6|z=q2I-0^|e&%E&q1434~ zfcTr)Q2C!5egaUCl-#MwxP29nvUXp zy8fdles-;pjgehTBGwBS*M89E2s&XCRbMyacO!lW1YQ4W{!eh?*AENX7`gzhKOE!u zyr%B@?{wnWCm2V}wHt&eWC$(jdln=>{~dRlrNnvTcO!ncZp^9S(4g_*UURk+KN1L8 z$wF;H$-kMyUUSM+$KuMaZn zHCJ|ZB3zDT|8b$%|B}-HU0MDhqRVmo$F7(7t8ORA93QUiRzC5c|EtuP!aRwm|K0eL zed5o;9myly!FUcgKHnfS=lXwD>|_t0<%vwE8efqYdiC5ZISOAU8gxQs1$1Tkfq0i= zJzHKNGuv#lS8HEc9%d>%Eb`|KkeOwZqudGFv@0!?`0cAp{NNrE-kgAM_O;#U_{H<- z{?hNl6NAIIk@))GO<(!`a3EPXWk9IUrGeC2>paPOaUfZu^Pft7kXbe$uN`7sl<4+~Oj?r zee;3Gf6 zhacI8=XN!~tZq&XgetCa|1ijW@lyp!0nSmC>06FtEZ?^rc^Xq?`j#VikDP9olkOo_ zez-XqJ4@@tvEX)~0vc&X+4+J6J_ zY`?+0Q6oJ-C;j>Damd%h&IyR{4)_j-{}y}?`0pWKO%ACt!%Zjrpz})p;T7{&I{aqf zS2%v#hIqEWbElBitQ$Nb$ah0tpL>`C{#r->0pPnF{SCzT=Lh})3)^YVi`jHbb`4s4X4t%j$_%Rgb<8|;ohlgzV9Q)sxzY*VGx}QS6GcEE1A^$!2F7N}u zZ$Kw(Pc|#^+kzhrzSH3+f$ssYJ$&rxE(-e8u-st z9(+Cc72t!%i2M)WdD6q_29Fi~WALkj542bE>wvFbBD~Ja_yfJHr~NqLx!&`!h3YvW zWW#&e|Hkli+SUi~?}6uyM&={G75U34%*QnF)k{M*tUvhL6MW!I;rD|40fgMHLukM4 zHkL6l@B#4J-dikRW17#7SxIg0ZN&FiUdQ_IrxDNg7cUiic%0y48Tk6nkPWX3|6Bz= zdztXZQJ9b0z?-W=HoP6=d1ICH)eZY~zTa(mdfM>J=~n(xDsMiX0N;6jCI15W;_roD z4*6HXcb{9yzXQJgBH^dNo)1<2;!6HA@CLtN9wX+MZ@`Bf{wMH((?yTkKbQ)lKmS(~ z&wd!ZEM_%pIR?O2JNyLj0f*lO`~>h6w8w1lLGZ|~;SDZMcZwsQ2Vdjp=MN9C{Afp> zKSa&^HV(gxc-t->4Ou(35_8No;5)&e4TJs!zWynZ{{!To1|NAwczy8WE%2cigntk6 z?-S4CPWRp7e|@p_-@$j@ApEK5n0N!8*YSlvz{KhH zd@b@}8_Sr>z*j?F>mk2bp5=?+^}&oszz4qu2!0gKr_8>s!I8hx|e~ z=9`^*$S*ozKI_y&{-6l+5l4^K|3!{GKPc2+y}i=vp?>?->DIyk?7W$H>(4<_?z2$g z?f~Drs_;*P{}c2S9X*eOH?N8QYUqEF`2Os91NyU@ihgZJ-+^xjul0P$5V12mOXMGi zJsW}V9xMD(q`M9Ho^6ER0sM|C9~Axz@Oy)g)C$k{34F{0U%jpH`oRqT0jn+diNc?Z z_Hq>X`frvkh03SJ3_=#2GpC;9RtnhX48-Fl|^%wURehm0Czz0tf z{#o$XsGgMYhaug2z}FusJkR_2cvktC@F&qcgO7Jre^~hS(JsDGdDu^Gw8zk)u(Mg@ zkEs%#f564|o0Elq9`X~xcObtfg5Oj1Kwk5882GNGm3mU(Bk)fSdX6IA&NEk=VVNTM zlPyp8WhnP?;Ln7f>Uz{R{Q9;+QaZy{enhvy&saXEIjO1=(2hWQ1d zwtN=}|19!b1HNam@JE6lOFWOKqoE(w(@chZ$0;JOFPhoY%CBjPD93h`%fZC=H?BpX zCwP(Q`6tp%DSx5x+d$7k@SV$qr=UHK2X7!h68st9J0P$1|6=fg3q(&5@>hcooiF?g z;JZ}MdBR@;{$B81(7y!yqu{gWiu^`Za^WxFBj*UeCistuxAlJwssFtG%Ll*Mfy*lh z{ro%(A3v%d)`pe9+;K0v~etQ^EIME$I$M!smhS1V0^qxI*Qx6nUNZcBy{w zU6B71_#pJ8!1oZ(E{(A7C zCxriPm0Y+ReEVa<>x<)_10Q@r_z$7yZSa9dg`WpKpQ`@5gl@z28FFSMBpK6{_=n%_A1u6rxxj{qOK zL-e?0hJykS%Ki7p1; zvr47@+f>g$;X}~>6!_{NML$jd>`??CggjMOdwdN(P%U~?e)VBuXYbHTeiZmD@}>4q z0pIz#r27T*?+L#6nebX~Bj7`S7yg_oiI4-|@u~3FK+oymd*EkUzOcvT;3M#-^0$G{ zf@dt$rJfhSXTK8rvq<-2@ZCd1z82-U0(@t$$ZNS*uOt5Hc}w{3pl564i^3lad!`c4 z6jcGqe#x*2srdjzlO<9=86rpD{ z^b{c9{Sova;omC%vG7YFe=hj?PlVqE^4CDW87p=MaG!D~`0B~RYybIY=!u|z=z#v` z!FPNq`u_}G?;`^6o7O|UkC@`{??6ux<+~JqSOI&2ut)phf$K^?9|0dgx@!<`>;HFR zPZj*E_d%nbboG8J$dS4cVJxJE*{oU>ke$WjM?9Bf#3{$i!8beQbtCwc!`}toTo|*OwVggnJo~d6_8*IMpMiYV zN%t?{+rhU({%!D!9QjY7zZd1A^8X^fzj`&8I!v}Fu&wyvCirs$;@J-Y*dIc=BOu>X zEAnyh{NYR16WUJrAE0M@=!rOfm}dDJQ*&;me|A?rV?|Fl^vnfs#tT0R=|-VH?&!}z zJ_z~4Q2<90-=80T3q8$_o^zn5V~V6Z1LbGqag>dp5){F)oE{=Ux@*AP!r{XR!6@d0{n&3%4&s+FgGnx6qC70}7sB zB-x+*qtI{OlysLv|6i=08nYbhJX(%#s(iN6o-cg#uS5;DzjW6lp6xUjSJux>tUR@^ zJ)}OMI+$7?JyU%6SG`DeecSfIk-U-Sb3#3HVdN7iS26H+X*0Xn*zgb|3yx=n3s2 zdcK98SA67qiDx^_@1-6#S4o6_T7I}088awjKRZ?AQL`1Rwq9TfXn_O)iE0j>AO%nXu^w z@I|zXtH9r{{6iwI={`<8+Y^C31_R+=tvn)o;3MeiOo<*{7y4Xztna;sI74Zm?5{oq zEKmDuJB$C(tC%r9@;gJm9{JLBr`bO8^HhJQluIq_iTlVe_Tf+S;X8?Ezg_#XSg!Mg zYklM&^WopLJk7VAe0>5w0{@JK{a=C)V!k&5{D4iRK4+sL%j^$+DELTRcnptb81ZcX z3Oui?^`QoQohBh4oG0bgSS1k_ zLcYiG^D&U`j*0#y(0>Z#hdTPthkWQ%k=N%=udzHLd*B|(XVGu}2G^EDf3xGC_aNUf zSM=v0{}1rJ4+*dJ_D9P@$OAP2DVHqtYrWbOy#C%w%WDkrcH9hy3?I7AIVm7~cSDF+ zW3IRVX*wNX{pH`Oss6o*XFZ{LAuFlnbqM$X#uvR0ZB+S?$iHo48FK{q_CeDuL$~Ml zSP~HZMbyu<(B=foBjAB6eE7R9Px}H+ef~4}YP5Il&!6NzlESzT{g$MPOQoR0C~cP5_O<8stOf z_DjzxKWCcN{72~j*zyQ?VBkphf8S#x%hP-e^-c9}Mm+oZ4^DrwwU3@1p{M=HkWJ(U zBsCj+=tAL-1HV7`?&-pBQY9DS;3EeKf7Sruv&6GK%~)qpza0{oTJ4{jJbd^pXFZc($`0^-bpqKSEFa6;fUwL(kArBH!iI=V8QiKNE23 z$%f#wF|lV?=otyVb1%_4d;|E<<07y1 ztcd5TG5EW|2lK)o1pX=2gK_^D@E;Jr z)_@xGA%4#V+WgbXYp9Gr`0%T5)_*$+`0x{mUu(eHCg`jiOtbPdugi&_e;OcZ*MraE z{#5(P2c=Sa)Tx%Ynu^kkiObS3n3JQA|vw;ML(CD^pBN{e04)U5)t{y(DS(E5%9p<(9`SO zU;NESe!!MeE?x6Qe;B%kSl*);ryHw$T;$gRKhj5j2gvu{E`Im|^0UE59u+++f!`N= z2lOj{kdOYf4}Y8we=hV_W1sFSq|c$R#`(Ate7$4;1FC-q z86Q_h|Mn92P$p#K-);ZX@2QFBat~nrQ~R;cA>V=VXfs?GFuMQoVua;s{}JtLZRpc;oa}b;PrsolgDSAM!=4+uQ*?N#eO36(JAWq#>Wh`pL?; z&}w;=D&tZg{u1cvxFBSOuRxURR6pvo-bdWxqvtWm7twE=0X?s%p0h>&#xV4C@IkDv z?gjbx!S@~_@_T~+O64CGelYl-h-ZIxJN{gGD`}5`cByY`t|AfEC!WW<6#Cmi&=auo z2zX!zD?i*Ua>nJop}#i~veM%b=K#nX=XVNmAN@!8@aICmfgjqS|8nrzS(5I>XcspS z&wh9ue$af~2Kk-~MSdtQ+y_4RqVT)Wa~^y=Xn8sJ{(r@X|3vl2Lsq;Fk-h{Uyju9x zXnl>3@4!c1Gfl$s2zVe5`C=qwS)ErL3%UheB=jhE$z$TKIKN}uK^!9 zT>SY8%6BWvL&yWOeE0*Qr(<`qM?V0Y_K`o1c&`8LPCGr+^>Xg6|nA z{39C}dpu_OzVBap#z+5OR6qQ$?ePupow)DYR@9qMz*p}n>7D|=eFwgGXW@0eH)I>} zfA?DA=kuV)#GWn_ue-=r*6Nw;V^|}R)l8H z@LY;#!cD16Lo^k(Z)goiTNfF6`)W3oC?w*wlP8RuVE95f8DGSqNk(4s8gFfBS*+>I z+H0mwX4ieE?Kvx~Ax=im%cqRBMbno8yi;cPC`L@$qRp*PWnIbRLY*nGJX zZOdgTcOJSmOD~E|NR&iAA5Le&g~DQ!islQo6Q@iJns_FiptA{6jfm!fx zNp9SDjXQ3lX--7ri5#;N3?)tWS?7ie$(BUzr19e?iY%Sk>uegy%-HY1xifaJoiwR* zHeSyrkJGbBQ^~RE#$*#RohQsCS~6{ka4Kz@5`{3kBAtmROfsEMxG*kU}h(8eiAPpps~UlE#65t>vH8dnj@WD{wVk2aFE@dVkU zr{Pp%Yd%pse(aPfWv*Gdsbww!Zfda1DK*y6TZ}oEv6gsvK`Xsqm`|D$sjNLA7q{f? zSt1wBC+u0CY6lCoMRSQpIin`fWX~Eic~O;5q~n(1Lb50Mq=+ocB?}2E>P1wGv*CtT zQNaO|rI!b{BwA`GOd3DgMC0)solO{LQi(L5j7LpkV{K7}xzd&D`246U^epRHMj#!elz0SVSi|J&83Z>=k;?b1Fd>C|UmB zoTvXyDio&|CTEDC3X!9_kV-6~3L7JWbJCc|ad%*~RD{EYOqOM0%!ci0Ivi^z`XhvTms=Vy~moG`P8vNE<}5xeNi}(wxs|zp3HlLeJ*BG(Z#BPvzCmel1C(z zi8Uv)IY-@71(A(5CE{VJ(2x--m5G)Tu(7Cu z+L-yWu2`F%w>6SWs6kPiQHRhu`IlM%_mtFO7cx}Pv7At`C{@*X?Zk>&4f-T zn^;>k)k=NuMCu6}S_{;!8&iwPv!(NJE>0yxwYJPqHE|g3?J8y1yh;WGh89v;9lAqL z0_BdeEQR7L6I8sm1j~%45X+?2f->VN*)nNMv>c4tLM?~OrC8=XWnCt{rR%b`fXk#) z#@-85%;jK3NtbCZY-)YByenBtp}Z&13;^X#rxUci>3lpT!JSW@D}7@!l}Ki&+%YbW z9Xrk>skYIEc1YHYAtGFHZL@8*LMf)bln|mYNc_ zwwOeMw6u5#6i~P!+8WPsZ_OwCNrQ4sTiHAjZMb~d)-KOw8%7p9)u!(MSa0gI)6j@^ z07HLW;+(2eN28()040fX3G}Nw+QCc}Z(O^@p-!dwFjK z;w<*5L3K2&mByKpMvp;dEBmi&b$!kDwud@9O6Q~sP;H>;Xx_Bsn`$Rcm{4lHY8lO< zGP%X&6tgsqqv;oY*ddzcfo4H#A{|S3uiIMyEu46wEg4JDJT@Q8C9`}7LX)AULUTC+ zWx-q9>%wGFqENoBRay&F`J0x>5Y#`xN znoS8IqoqqWs~XMTYm}5w$Yxir+6>F7WLeIUX$c_P5~#>HQMp8uohQ>|ly5XR8Ly?> zw8&-5+HR0fZ0E=)NHN+*)1gc*NwZ~|g7S?;lIDMX2#L!6<+%uTL*Y~=GoS9FWaiD6 zB{zln6N5ZA%-a*K1PCEbx#SssaJl4G5h&F|32OCJcMI!jz?uk66mjY?30;Cr{BYUR zODN;%BS2AUgxmOLiJFR+vUgG*!rn%C!k&Q%tTW>%u{1#8oYJr(Mp+G2;TDt4*G>vf zoa~XZG^9NJT6xf-imOwG@#8fj_lI_XkeF@bO6Alx&fBN!<;v0{8E^SDx8<|_Myf1D zD^^*Omg=`O?Ugn-B@_=-5!BSiJ_2dnhl2uZ$6WTh`EjiKE1 zYYg#yjA5?S7%6~Kq%p!VFWHYSEf+h~~3&O-@SLLlFIc`J$b1 zAm~X6j8j{|da|Po)l{6?YNNEh6-B^XPb(-}OTiTA3t9OVT9u=4GfmxSVQOye#Hof> ziT2Mo)X`E0-R}o!Wh~!NNT&I2A7`c6lZMMVWkIhWY`8M7mMY)6tB%TyS|M(edRen7 z7c{S;t2~ERX(#_ytXzLo%2R!ma+)5|VOkF?78B@@Af@ z6WvqOdI~L0wcw1Vbb7{XMw%`)CaP05ja0IMSn)Q^m2Jb753uoNj!(cBWYUCyZQgV- zE7L|^U&IyLHQ-#vMGBBE;k|<5DqABVJ#3eae{pAT-1;xwtw0RL5JBbt$dgfr1-#Den({W0F7B48yV;RT`*l1)_Y>TJ1vWFlk}E5Tn)* zEfjL0&~9_;rq2kEs~uN6k!pr)SD?S5ogKR@l+IL&$uK}ewWdTqJl4zC+RrEY{; z2c=XQJ)Uf} zXQz<&>Sniv_f0g>ep4bhgVt@RuG7X|B0;y5^QlkZDk60@MJDh*6#Gw#2AvEi9p`N) zt^*vP<@#`JaV$mK9hp|@3i9NIm{VMQPg|J{X>~FOqs=@jH$VSyH&5M51Fw%$(Zr~J z$6B(L+R!n%Q@T>^)fpQqI^~V`^)ij|sN;BcfNh}Gqvgs-8&R!vn<`6poX0{NRhmj8 zq{7*8L^g?NqamBI`%KuKu^Sca*bHrPlo|?sz~Z+FpuwjFOHRnL!;gbq{WAwvRvGNozKgm3(W9DU~kv2e=E8`kLZR zA96GM6K$FIQYmd}9kHz4?kVf%)~32V^9ioRyo(nt&~_DVB1>(~msgDJ5NS8%nrMfX zI%E_ttz^+oysac$h3%pPuRho{j7IWfzMM9>f#mK_JVmSU>DHD8>W#y7dy|ehjnp*K*{vem zo;g`=*W$*K1>Tn3*g_8)>U`n zKy1CCc1ojz8-vyt@t{R4O4+sJ@FL!KtP~{A+nJ=APFuHBYBo9QL1~>?=4!U*v0I#+ zr*bVr`;IRx4TC{)L2QPu8;=3~(` z_5-=N>Y`%E0xL6Sl5_prA2@01IcCF^m4Ig$8R!nXk%%mg@2xY zG0Sd26)m+H=49(vDlm;_a`UC#q_~h50cjbt>|)w@q}+0!L9=Pp@KU$0V%q3+6O!x~ zH;DO=_h`+QGsp7+>bG0-DcXOibOU9(-})kXu{E7edA`M6dHDedsR#+jVpNg#PDr{o?P={++h zn*{e~JRvGQ>tV}*4d!Pb(tXBu8h~h3vC=c#SuUcUjcm!--EkDA%r9~@cVx%(nLFwS zsmVdqc~`2Gj<3Hiot`wv(S9?10$Zw_okyk`lQ|j)Y`^X}Lu-R>E>mj!FivH1R7LC* zu{52dtn{hkdAbpBXQrhdtmI~`h1A^pjJKuPZl1^TMB16vqTe9kxp68_CK%fz(w(Eu zM@WTzW`{;a8l89x*0yFIB=Imxd0$se3G+i5^!$_^k*HZ#<~?`%s1SmNM{_Dyw(+;gN3f;)S_r)-?8WFJueQ^$k}$ zj48I5Xy~@?YE5~HkVks<5VFl9I8D1~fkW@UN;Q}+*)>b);(3}!T?_a5C4;HJ?Op@% zGHIlm<991TLm6ehvfylU;(XGOX8SCjyVBhZt%bXyq&e-UT1!yxinz2$DJ>7ugI08} zL^tL3IV?)UG}<1u(hO9NO48nh@snUyL8;={g|EtoAq~yK`pjIy30twr)3SttZX}C2 zklTEz#gyuAX%M#gDBmISiZQolTR@&UGBHQHIl5)AzQ8>!Y>a zPL62ovdb7!dHZxDajJ=xMa47FzSCJ&${wuL$u~pBGoIV!$`n5YS1Mw=zGj^)Ht~w3 V4N`*$Hz#d-mX3wp&e_eI{{r{X1c3km literal 704076 zcmbS!3w%_?_5W^?)u_Z96*MYJghjyz66KL7sk>o=cQt4fsHjlDKm?5tVHcvJ1b0_+ zdtHoHTWYP+_SdTQQR*XxRml<{0j(PFg;Evpb+6%#LIffI?|0_j-J58B?f-ZAEO%z^ znKS2{Idf*_%p;3R%f@85-7fpdaQ(t1VrTe7lKkK0T3U9j4dU{-3SGzG|8rbk*HK9I z;Ag}6tdRZguu$9YYzs>!-dXq+X2^uX44(+t@4hnGWxs6-`DS_P$7coQJ6cN2E|>jw zx$;Gv`ABQ_Rq}iGRTU!Le*c>v@vIvy-*!Hd$4^78d_%2#_ItTSnEkf-BX9hTxA+^M z;X{mZA72(#={I5dlz$bP&am%jNvH2Yab;!;{NVwZ7-^^J15 z#tP@*eWexVD~Mk|D^8k7_f_}}$L|;Torj-_AD;kz=i}F(5yx}26&{E8AS-+t-qhV8 zR-CU3@f(GoAHO2}_!Q$eQiT5d|LnT!DTiH0#{=MK{HP;c+f3J-#~_-6-?diqnRuUN zh552n*pcFLo$ZL7f^cvAE^wqVcn*GE{7z)VdQQgMwj=`)F2wIr>sueZ^YOa~zvJ+; zpE3kSSdmh^hv7Haiu%h z!wXAFKiEF_j0gHwPMDUv>Gp|z3-{`MSH$-m|LuFbj(_RT2b?S*NBSuM;-CAtrHAxW zdr1EfD1Q#mTM+)wrH6XJ^J)+2SN4#;tA}#m=mDOx&Bw3%laB=cx<9Ls@N@Lf=plV# z59wMD^c?CT{l*^Tc4-gr`+G>gqX&BK?E!vX5AZMcK#$S`p6njrGkSo3ya)KRdq~%M zpmS;u_}}jV&u@B2&+Y-wnjYXIJ*5Ak2RsXUfM47LU*GhA=b0YxRQ3RG^nm~OJ*4;T z0e@8w_%G=J{+S-|>pj5t=^>qc>d&>u?|Y!uJhxBzll>2ZG>AQNsb7v3f6+O@y>H+^JJ*3~# zL;7~$&votTY%;O+-xNFLkn{S&l zd&<1fw7H=vQ(RN7m_Oy(88^?G7n(75bk($ZfOAc$oHcuvYYP4gF?$6wj&RMJQ*rBz zkZWFOZbkJSKvO+;*6dK_l!}?Rx@Ob>a!T;(Db?Xng{yK-^^Dnsn_Y2RHQ#e9X3j+h zgr=_jT-)thBH|yrv)2am6w2E7ac1q>6SyiriGpYzScgD1; zsyP)vH+|M@*YsKQi8wT8x_sR#UsbMI^QMJDcepAl=gkPsnpZtz#&lQZ%`-yt_`Ql$ zAvrYbwi$C>x6QZ>5tIjVX3v=d+|xp{=FE15Dv%5$b6vEIcJ z%n65Fm6B!rKaVKMNo7UVoOv@OMd2#fZ8qU^E2hnxL6HFQjM?*nuOf6u^^7Suv2YiP znl|@l0)Fz_RCqyehtEU*9| zr};O9E5Yw<&4cEejxfz%pa{w9qu*xM|)z35=xK(_NRB1xrRxxoF6+ROsS#$dP&x+5(=8 zF8?w`%ubiFt`Hd*dGnXmC11u*;>`8a{2nWpo%^Q{%;&~G`L@Fx=V!TmAdK@kKD}qn z!qmp&+9T`==8Phq-iFn&~k?EY@rk-+HF6VDBS*a)6kq#D8Pp%`~B3*pTbEMn8 z0)EJMq_b@M8RSSO&-OFakxrWIr_hmZ+jf5QInp7})T27m?Rg+SmOIip7qy>>j&#mv z?PsbZ-Jb9B+e}BgtpiN2cBFF-V?Q;Hbmw@Z){#y>gZ8r4x<&JdpnyIJJkxrk3{UjafoDGUPpPq`!A=|`F9 zNFQPY<2}`pPQQ}<%ygs=wG;8KcBB_L(rX;)7dg^v9qAW4((4@Q9Anu}y(3+87}kfS zj&$3H!%xc`=}!OG(~fldhU{m#BfZE@#Jkav&at5VBpvCte~I7LInwEiv!6CcI(>5X zv&oT8f1v$rair6SX+MS|-DfA_z1xxQccgbX(n}oau4~i!KiZL=?MM$e(sLc@rH=GG zNBS5?dcGt5a!2|gN4n}rAL>XCI?@Xr>6}~Ij~dyYZM=mZ$G8FAi}6ZEhD(jC$zI(# z{LYaBT%7|K;(w)|4B}G_=$|O3o~;?{8+}>b(t;^&tRNgmbv=~5(XX|fjGM=bBl=YWt?4< z*(T!OGS05aOp5q6#@QvA%SHSX#@Q8_%S3!5&MwES7V!k* z>}t%ZBK{)d>|)Gv5&t{m>{?8pi2s>!b}8mi5r2$vb|q%Mh(F9YyAU&1#DC2=yAIPO z;`cJnF2me?nEc<#cs}D>M0_se>>|uI5x<3Tb`54y#BXApU4pq>#BX4nU4gkw#II$X zU4U6H;#V+E({I*_cnRY)`DV3;H+>@BpK+RWbEt@) z#yCy6nJ?leGENh2=8E{SjMH?RE)maQoF?1c{iCS=;fT{zn_EPDFXJ@PW}AqA%Q#K5 znH2GDjMF5W%SHSX#%YSpWg@!eK5l=8qQ)^BY@fR7V zi8aeb{O^p@w3spe1-e~fXOQZrw~A7-2;)XWv}Uo%eAX}U!GUdCxM&E1DY z{WI=ke2a+BWt=9`Y!mTY7^i78lOlc-<1~rpauL6QahgJNnTTJ@I8C5gFXC4)PSa=B zig*d*GX&F`-fUIt z?G0*s5>YryRBhEtTHk`C(RQ%26Gi5sz*KqvYyQW(=CA*m{QmKN?Z*k);mB78OUr(#sE{R=Q(`g)9U$~74k=ZoF3|}UGQ7xiLA-J zak78XYNa2vLHFE;v{*8{4Ja=|aIcb!QWCG(SR2WtvEv6K9%rFz^^8S`AKL$E)yt(9 z&_3MrTRmq4-dcNXedx6Iy0kp>K4&65wo!?(H&ONB??JtqNN1!y!}ttz7oE|8q=g@v zR|BBlf1UrA{s~eFs`mX#8iH}^;_ZcJxm+z#Z$A{?iT9b-`wZ*dmv2?S+cjO)vj);y zsan)K=qyoB9h7q{3H7-uh+Z}NKj^*GNRs+gjD!}?{A)l^i|4^;Wx5O(R%=T%wp`pzRqjvQz^t9kLZT09{`Yg=XH z9%!zub-9#4n;Q3Ls7hd+Yoi+ZI8$2}7;Gr9?GQ(T^YxYQqCU&CT}A*E+4OHjfIS~y zT}HE%iW04(wBnD8WRXb)wc6)~p8(p&|2HxQZwj3iG2H6X+SjvK-i4tPB|&e424a5+ zVv#9Zt&~3@1u{+?4UeQUWl|N077z8YzE7j0OyCq5=a zT?#FCx70EnwR8uDE(OWb*#6dlm%YAAc{GV2J8&1?R1}vM@J><@$)9?Ah0EXMn^nP2 zV!DC1m==^45Wz4)6O#6yFk*;F8R_WM znw994nJ!nnWL2c&lKEj(&sjMJ?NTppg2nV}ZK5?Nj_q4Q<1%kQrIGVEAQByC0GD38 z6kv9XACJ#Xq8@*ZP)gF!n+uhw8v}{7v|0V7Ai?|={i~Mj^sY6A2z)*o>iElEu0<7W zG)@rme?&x4a({j;dQ*wUfVB1!mlC@J0f^4m>iHH8f`yxX&}Jcy^F<_EMkXfI@T0MK-vAK0!LaXE}P# zulb1@VXH#$J9N{X#=Up}>Q)CesMJwHjZy*}%df1|t1!YAm0E%Tq!}=;K=}{^M@p{B zdh=3}qZju@2IIQ0WeHa+(N8h7M*8DKq_r3ijE12?F4RLb8(AWEWxJKw5ex{}$d`l! z@Ei%;>f@@d%b18f=4OBhzWS`Vkf_Fc^UYSIfrLC!VF^Y&H5Xf&_AF8Ao(BXl8l?Fc z5_Hc@eAh$XNwK6B@{U)c@htXjS0I&|k%Jhk?QD$a7@r8@YhRZJP>HTbW7ID=6R@`V zi|tTiR6I34-aA#*K9eo14w8)}YkE~i7J6%3O5Fs^o&x$AU!j4N#x^Ul>A*8Kwm)=& zzxEfGg^%;s-s6TLx(?=dY|O6TP;Y~Xga0Le#;c^HDB_X%oim1qM{mBoD%n<`)%RzM*D(X#JvN^A{ERu?anhODu6afM4& zN@3Sj)M!3Pl2ice^EVLdej|>7vgp%LlX<|Zf~7)NsDDt8C0RbJaT)~xnHEBFooz^| z`;L&P&dZ=2s@57ry$@p$6`v24R-zwc{uR)3-a}I<#R#CJj9*af*B1+X{ZEeXHTWI?hCnGsYNzVO3xO7bjQt7ywm#k)@N19042sx}#HdAO zYq~QdG*BOY4MF!Sv9AFtzwK47_Z)!U?e!}s#2S%JFY}gz##8k^UIJre@-Xqjp!l^| zlAMC~eDLn?eAEY-PylaJQ=pjCp^LH+&M~0UvGq#qY2=L*Z$-?lEcp?VlNqy-v0%Rp zZvsv`yu}eV9O2yvqkd$D4n$b$1e7FXmc|n)o-d19j~TYiU<`)2B4KVcu9crcj%C^b zBZL`{sA#CqTfi47E|wWj##CG{4ZOxShFnXIrwZv4YW%M=#V<6zU~~KFr3GI`f%I=c z8Xt|3$ZB^{X+T->G2)TpJ!pmC_aQ`-hOE;@b%xtY3p(3Bgn4Se49!U|-hN(JD+P4M zvR$nAN5rsfx_WVMF-{9;Ta?&qkfOSH4F`D~qWUNMf90RDTGdOTZl2!*qj{aMW5tgG ztyGxg*=dEk9EbyYpYfoo6crksBW%U+nE-AQ-N^ji=>D!pYMJi2a}Wv484aujNl;n> zDF2E9kXvFM46YD3yZmhGt=gL9y%3*_FL$ztfqRCqh%c4s-!L~qW)Cut)eK3}PzOmb z1KwN=peD!&{vAXi?S5!0pCYcddRl)mz*T*T&t+akpj%LeqhUwS0j*89GZB(Og|f9r zPh{eqT@zy`Bhl;t4rxcg*(_iNX}6rqK}9lAb8bpdvW|^B5F=HJV^0~%?WV9YRYhu~ zI1@~}l?VNzyURINqCXDk6AwGOq2?VRu^t}oc1AoF1MlVUJ?rq5-Zfucd% zhvK{VTCm^9rmQX(qs`Bay9%h6u#e}-;{JfFL2Xka_Z%^1c?3CaJ5r7krG>r^A!wMn z06mb>(Cai5aVr25iP9ABMt{Ii(M3n+Z;d^NR>4OK#cW4$0qu*xF^3|>C&Ds8z28L} zqkZoS?^xvSK&BGi1Iu5kG+Z^?kN@W2zcJNH1C{77lq!*XUJ1L>Y^7lps$ttG%!jhP z0AF8_9INdXR2z?PXRr0Q^KCU&kq3$q{UfY8Z1E(w5;agP%-ke&iQ@zMLT~TVSZDY+ zeC&&l{{jUF`Z_U<0ahFM+gJ~$(!4gRrOYDcYRpNA6;tW}0lBE_T z6Fv<5yO!8Xl!(DP`dYihK~{;m-Afz@jbnKfI?JOpQQi%x{~rgE!<-#O4i0NjT6Yc~ zH=+Lvy%V9*7JrEwB!7QK%jm*ihE*DCgr%_#WobG0p%@f;KMJ+wDcAyw%Q?5P0+z;D?q%%__ajXX6Rw7UR?4rtj2A~?mU5OTVM2{C`hmh@(ea~| zr>(zv7%W2rzK4?wwC?XOG{vyHT;ut#Da%*U3MtE10c`#Q9ZlLlhD_I>d?Pdzw8WBV z=;5=?CqcID_q6TjycB@6pZCyy-or$FC7&0Rx#Y#{9kUXs`(x-i>1YE1p3ldR z=2yZMkCLMo$u`#)g(Q5i!zd&Vg;7%yaMP%p{+h3yox29#Hqbq!mo*GJA7v54iv03ye z*IxjpWuKt*SP63+VJ@&4Do94wx{W4+y-Gs_s@NHUswQZ+ztKU}m89ygqR$N92C5RA zf9u7s_3J`ah^XpOVMKMNL>20e>KdZDL81x*)f|bcx+|)|L}jV^SP5|zA-WNtL9jDX zA`qP|!Or;^u+7^5Mzw^pAC2$Qa`ch4xc^Mx<=UaB<)$kkLgQStK{zfp;}h6C|34DUz$M6Xx-^HTQ ze^^Bqvgm(6>rwPnS@bhkIEo&M(fH36ectKt?ni)7`2Y0c0mzKeYhtKvp`nx?ZSCxA z*8y_`GiWf?c?QbvzyRH99YzBH%$udde;YnZCUy(bbJojDZ!%Mxoe7QrAif9rfc78! zl$YY=3h-jSET;nx$jos<`0UKc89+{H{p*oxzJp%Ej{}DRRaVVQn*FopkWm+f4 zoqxh2BrVkj2rANX2;B<;y5}k&gmoT|2tO}bA)DPVQj1B4T~)_{6dT-(0~S-{ za{vVD^N}S{O($ezuc(((m>K$E>DYX7d?lOB3-H)*Sghx4#~eH{6@w1*YMEy<5+H(q zpN@1#i+clXp?~@unJ9o=A}gWq6C{F!KSio7i_rA^C^TmR?6j42{Q^XexPczdp=|DX8?LjB05M7%ZTys0^{(1;iGI7pOBb|AV%ojgkWR7 z^LCG;VS-euQG96=)y{6&??kgP6@cfyO+1s zb18y+%CxWdDw9^hE!*b-6bE_s@cXyDU$?4uLW#AWc z-$`DqQXD4po659>-j0>)*mp&?t7075+iM)ac9x*Frm+(b_zGQ722q?+u;aBX5bDSL zHUM)k+BQ9&gFjX)unhlbwV3^Is2%X0vg)hsW7bC6-C?ZhWP!;}rSwxRj}E&@-aN9E zPqx5Ym3L?vrg(*Am{t0~r7G9Ap&rxVDnK*5=@cqoGLc=7Ziy#v?(7uQwf_T~1)Tc@ z{J#upANnT*(f05jAH-t(IPEPkmv5W}u56d;F|^XcUuzsIla;8j-1==b`fY(SeQHh7 zr^*9QfZy2Wdq$vx33Jwv<%L&lqpz?f)VF=OXzxf2^>O3>KyNr%o89;pK0UI^UG&zX zFTqW|w#jygO1|JZ@N4V9mVUBmVGH4#pwG#tG_;^oc#R_WOOw>$V0hpsFyLL$rRJqY zbZ_XzmjIz(+hk>~NoPhq=g}dW%b5`(*=5==uhwpC_!x%kOq7Srhx^bHLqYZ=eCeEm z8sizlaW5F)J`iw57dQzOA=I&e>RKN{+k~pA+IwjwEY;Qs%@q1a)eC9|g4#Q3Jmft^ zjhA`*1hlnW#5uVvj)@Ai6$@l1VC|!cz4Qu9;b5db+xNGyCs2pUNfXDUE8uRhQ+;(+ z-cy=5yzHKOauY|@-BbIBVno5BR6&O|UMs+GL1|bEmR0Q&^k#CZKNHISU+6O0A54tU z#z|iEh*fNa)4hgha+E*^m>(1rShWvc6P@ZE=%JmhZ>VT-ehpIJhQ^gF({`evt~#78 z8lLgk5wW^81Rnm#Ax{{~?W*3_%O;l1CYH-4md7TR4+R6CU;J0y)M7{EF=S}8g_xV^$yHOJhx^EX2wBE3&UG~YFF zI{Gk;8AY!^FO>SgN$6Z_Q6vbdIVU|YizM9vw1-~C#UKG5%U%+j!Qms88YuEy@&=Bk zr#K3LA1F&Nx$JZpxth4vvqH|S0399%$QlcB5bB!iSZJ$Q!_!u?>e8kJ zYb$pDTCf?*gy9R#07_ziK;wIk3c=-Sp9i!fby*k(aPh~;`~icqqR*83==`I)p93eT zqm+~Z*Vcv-sG#-}SfL-&BWEkdYp8=xP&nWo{utYyLbrMF#wLafWZ%0SObBAAh@$f z0!r*zNHR4V$gNlGaexwg2wylB*j}*Nd>x2|y>4P(XRXwTDw>Zk=EM|TsnSofO1~GM z9HqbHEInM1D*315kUnx8vRP~^O^t`**NgGUYK%uVnP&qxms+8Gy}XHc*$eB%b8}Oz zL0Z>8#*8d zSEzcI@dM^9FcJ~$wVRAJx||;{El0Tr721JSLCr|yU7R)r&%S4yg11>d_jkcibBh-S zP}mK4m`!M+8fhwo>Z06`H#blh@G4l}$VB_U!N(PtF$hxUu40Af+Cv3wsEPH(7o}mm zS1CxMi{d28RXE;zl@eW!;8^`R?=qx}h07`WBHE#Ue+v}vX?{X~v0)cJh+%*dU5qp} zKFRA7jH+lgkIMB~5#+KhIt(->6ygI$m$47-D!=mTM>5%%j&>;Mu;O1LPMd;Njn4!M zvR4Yt^KpeT=0S0E^!F_-*ulY3J{Ys1#1x1?B8dTsC`qj0qll~7<_CqU z)mce_)ZG@W!1|LqcoR0R%zeg><_Dj>5^Dm9el%Zy#U0h^btsJ%WMRsVAcG#(XG+Y{v!r1%*P^)TxOMVCoC2@M)B3UI|^t_*Lc~f&Bj~ zrz*rnQ>GL`9HGZ-@}qu`<0ul^dnufH(B4rwsaBI%4)rzmwW0rbWg#k_+bE79qk7Kq z5?BFnVz)!dTL3Kh;DTyXeOso>_zfg1v{j_rOZtbw3h=aW(yte{_5z-#hou|KJ-}0t zG`|zP)&dqP1D)i$+}V`Ga-=|KL#GNwj*dk0WO5^t&2tf{tY@^2`LqM(nMlEqvJu!& zb5G(`$^B5+E&%W?ST}||9l}U!K5MTL-#g7)kjVjJ1;uxCm7V4l_+A#jd?I+-3uhR3 z+IJjyDtE}{@9$a$z*-#6SuX+K1fae2Ad05{2e3f(l!SO15ExV@@*EPHBq3rF;yxBO zG5tBHtq*GJjFBu7%wx7qp*Y9)=^-SB_F@QW&1cYY+w&QxK?-VbE74=2O=Wsw9puIx zJy9>>Y9zthz?AP}{2$z~L-2rphgKxl-}#8w-rw;JBNSOse<2B2PM=2#D5wU<)kvdt zF_vLm3cszi7{-Yhk86|=Od3_w?shmcYvmJ5@&T&yBQP0AZ*r}A~DfrK-?+ocx%sduVuqj;y zM&fPT;6Da+`0^NNg|l>i^6 z+8?a(O&-R}oiK>41v_A`#pWt@fH2#r{(F(fAHTd4{sa#1+OHLI^P^kFfHibJ`+il0 zZ>$0u_8taP2lmCs5V#22L0^Dm)uJj63jcy&DVIj5Y$_}))AN=4=>H1>Sxv!4jK{QH zN<-g4#{6BHjMc`w@GYTCdT*<;Q7t@WBP8Fn51qbW`rIB9Eok_a0Fcg` z>row+^4d}~i%~I>50pqfFkeMWhrOUlOP6Xq4=X_*Bu8n%3JgxcDR{*GpQE`52`7mu zP^$;_1P5awCW!Gl)FH72nqbtwMJ|S~0;r_q-GBXr5}Pne#HwN;7|D!s|5lI%>Ev^O z>*zXC{ozl>Yuuq?cG4_J{TBQnGv-G(PDXvBs&Op9-h+hG0XAID3Gn`bvlBsXsrCcl z6V>2C5Ll25z!8$o-9nrs8x3!wkQfT#=nRTm{OM6_1|N$tnw7(N2tZ==VO}PrU5nZR z5WFkGNQwC_oG)UE3u9&3CwgEU115~6#%it7BniYnYkA7_XW5FyIOtsJs~87uK~iwT z&h#MYe@KA-Y?7}yCrUk8s{Me#q{XsSJdO4f%Ofn+F3VE2q~I}xG75hd9)qQRss`uw zu9kqepUdcPwd|DDVt?MxUw5>00{sW;WzTQG`BD^a_7)=cVH7Dkt5UiS_2Mxew37T0 zsaiA+ud|KUA7s5Fe=YNW1ht_@aa_Shzi?T$pCI%H{i_;j?G-tg9|}Y+bBA}uOOB3h z4Cq&9Ya0TXk_4M0TaU(hmiN9muu1zklFa1($o}e5D_?fsqmVVcHl?ox$r~{@^SRWe z2R7vQI;#E2Zu4gh(fwkY-+q!P-_HgG0{oGV{LrvaD!&p;%Hj`f z3dGAZ1KOtdw&+)9VVI7c#_D^<)9%pO!HQ-ZNg%t|QA8Ae)j^N+I}z%@h5$)=z}cUs z=usLrw&zyX+o%LRS41w${4eRz+TQB`HTgeB%?gfFl0Tv25y}atlSo1WD&_h~`H)9e zcn1X$FF!f}SN2v422yEzZ_BEKnFl_JG-s+68~bOS47bR89gs|9i~GGT(PXHReE73d zq7A=d&Hq6MYb15vhtrtvFpc?OobgZDEnx{ibZJU{T&3p*P}o8>UgN`-X}xY4yO((V zGB(6cIFUa$ew)e~euq{p?f;&jea{I}wlOXZGO)eKiC^e}EVy9 z*;-{i1JJR_kS6t7%*TYgQ~EmLHnaNNi7FS|lW2sf$_(YfM-U3=^L$FfxJivaT!KmP zn{Za>^Tz9Ul?U|EWdVJhic=Q>{l-8*zj7+}A+J*!Mo)%XU>_T&b-qO1NbHZpeq%al zh6-b);&fGB003}dZ9-~!0Ol{hOxvoDu7)9Cf2xn3iC4Bhdb$ShY0|iHEe5rRvlb~F zq&O@-T62w?DypkF zLU#!HsTHf$cyC{1)I(WnJnJF7CR?x0YW(A+C9Va%^{j_*h{83h1pDM0e~TpOC-};x z8wkrBDhLG&@O-F+775@5zHH;kHC*Mu0P<{YZElF)Da8)pE(yg-;g5x;@)Gt%*L#&go~ z62=dU-d@0uV0=e9elFvmrsHQZ{&qT^$M_rRcrN45r{md-|1llUU_73VABJw3cccdPfH_5%*;soD>TI@^vz+EA2>R-+H*ra@+qP*fK{;=2Hr+l(z8 zF*5*PSuZ?#@TW8_MHLyJarPQ?|}Z>dc2z0P%%Edj~$`@!UOn!e{)7ae}ePGfc`>Myc%lp z3Z2lzroF#8t8qE11&z2Pvdx`t?rhZ@+19N5ENe6towGvyuqB+z+~HZd3rQg*(s9(v zuMtvW?;;)Z{eU-=cn8QZFg*e1loFc|Zd@g>2imakfsX1CF|iG3k9$dPKzqQO#9Ncj zo1pe_0KriCxr~-lrNI2n4C?MMV@EE z2tWy+PoiF7hzFv61MzB4u;Peu{VJgtIN5=j0lh=5W!erS0(F668i(*T7!P=`6pLlp z7GDPJZ)b+GLK=P+`@pKpZY9Mg0_tIxmq$^t)oNaxOH?*mUt~3fLBX|y@;zd!cLt}W$S2I-7$Kt*l9#JSudKA@U+nvvwh%{uo5bc& zpMvBHdT~_kBaq0U9Hc;`^NF+;E%?Q=B*y1c7%K!uWSN8|Yq&Z(;Q9sfJmaxW>|v1D zS|PoC>R(~*XvB==$RdPNS`*4wD<%Z!{%JL|H>gbpmr`ITF?mJlc__WO+yj43R?DZ$8 zb1joW&>(Q2>TgKtwirLq2;;Lql`Fh~0s_sntl~+H--LW?QM%Dgw%Deme24y=d`||4 zO`9m+e97Qgv~S5^reF}`DXc`wBg~RjY|Z5G%}ZHIcDPkDM(2)fz}J^md=IYzG*k93 zpBqo(qnKT_z^_B-Q-B*vf3!cc#vRn)fcV_tW!4fw_+S3Wc6azh*%H8_J$GFVtnH@U z29f%|k=nXC-TwAp5%Sxr55AJk7y}3Yjs^d(qBkyn$SLW^n}4QKIZuilYdI{KfPV zv3^6p0`u?gN9Pail!Z0zLv|w*PpydmZ)lv{tJ?T6E^q#xl?=G9tR8qyb3j3u_7@eyY86gi&o`wpc?~C{K z$1v8@j3oiM8zGWS*sYhC=rAYefC?#($q32$oseOk9BN~pqi!%T(p8UX6D46MReys`E$M{D1JOp9hrFaIe;BMD^ZD7H_arf@+>O8&Gz;&zFXFcxC~ z#~;tsW0vd=Px`_*C#+0P`_6VpwA#V4Bg4ICk$LC}rqbg>8s1!>yh* z2=EcsGSw5vfT~}fi!LBdpMH7Q`%Lts*+V$LXLp0|l3#*5;jiPaEo|S&QuUHttGh{m z$L51x^r_hP`O=XKc9SMlyqs5UiyU!dejVx~Ts-wW{REdA8tVF@z2Q9!Krei`wm(DS z+lQzepAsK15M{^|C}g`N65Rz9`vpm9{)!glhAvTy7UYG-tNIvpU~r^h#|Zw1J!u^y zZGwJXK0f9~E_5SFng4Tea?$YWJ3qjH=v!4E zE$_4e(Xzg8oD6TE!+++7!P|o=Mxril52UM$2B^^J^%5KM*2EQPAHsMxEeD_xZs?xu z`UR4Ih2)@KIxKI0Y%GbC7GS}1lV&DzFH5MJO5ap2Pr&8q=A~&|BFOnrv?4het9Qp5yBX@A2clK`G1@ zIzN1%XtTN4MjXG+=Z{x+uAr4gdyJQ~VY{ZZ&c7YUJ)gn9TMD)fQ}Pi6QdD$7i--G?cj;+n-xGEQGd~4n=VgXrZdzjo{>bvzHhu z+zq`#f(6!kxnQ>avy}d)$L~U3m}_a@8(h1?yawGlnco;XTaDb63tDf<4PA(O!|qNF zIgr316SQ+&UL@1~lM%etZ;lfHc9zx>Nx?hTc#-1^43PJ+pVLo9Szf6}qHS^F^6Vkf zf)2jLCI zD;&rcC3hO!`q6%ZlsAUW+#K;0)~QKMK-=w@AfsQA`pQY6z6h4KGjy_*Pw!`xzkp1! z&CuHL?#jsBS+4L2QXI&as$ZeGaG#m|0S*4Z(2tTK*?)!lNV(~Epjv#0&0}#bD~}TP}zEU7G_X8)K5HsUFRJ^gRyw#sCf}+yF zBF+c2`j2vDwED9-yJ&x_o~GA>aYH-A@-F0HocBE2VtxBtQf^I!fHUSlN6Ep;t_r59ky$CVy`sS{u5`(!X6s9TWA!fWEBlELyGA#t5ds z6EvIe_;1>{z^1jT@p;)el@!pn`a3^_Y8w}(u!Uc>)quT?qdm&|=bS#tPk0b4mJDhw zO7vkcC;7xS0eEcWj*1RK=!HQL@Vo>DO`&3o-p>JNe1LS}#B4DR{+-SoD3xD%WR3AS zKHzLDGWe87)}ow{2PezJ>ww>=mB>)COw@UZ*7Eao*z{vl9j{(7ZF@xjp0u0Nzb6;G zizj_eN6UA-IB=rf{i5&90Wp|D{Q_Uh;-le7-71<{q8c#xyz?25t^dIVd16O0XqHnN zyHCaL2-1$RQ71OM|tAiEu?6cmaMCBmp5SwZ?`ibG$Bikkt)T*7cvocAfa;%-1FJqeEN za)-`S8a#8h^mo-Ag}@93G7z|t0XG8IAmFbBGH`Cg8DYUO(JvYZ*jA4*Cqa=3Fu>P=PtvbVx z?LrU!6~ZrzS9wPktQV(JxI3_52aF}R4-u5^W}H}{Q4+b72mg#LT>ct)2~aCh`jBvx z3AXC|Mhxj-MYu>N_YqF#KEf(*Id>FJ2^;1c zTl>oy6pYRtf3=;z&sNrB-IAQ>Ilm!vzJz|z0evN)%>wqK!rlt_k$|rNJjaN|Hvu@I zBBQWu#_51hvk@yoPLl^`E&GYVVl`Y3PvU&TN}dX$9Uf$)#Y@_yct`v%;yoEq|DWQ0 z$syhmO0-v|C0<+&gn<;rwg(Vk?ALI(CF)DC4z~s$3f7D3XmGH|-ry-~uMxm5rPQ!0 z?@^!=TniV7s6nxqZe9u;?C0S!t;Up|d@D;bAQbKnhur&|j^b8!Kzzc1hUz)@e;~0f zB3l4UvH~l}+6OOO!UwV-@?D>&YxCK^0DM5#`WXTE)r8NJ@J$Z*y9l2IdRd>f3%%#K zLT8W~C%;Pb$L1*c8}`5OH~ByF*S($J;9zl>JcD4d*junDPg00_ zfjyK}GYq8f-9yp?Y0}*wow7o`jrZo!rowVDoGZh~1WPBw(6rv(x(w`ne;LLEcrDvw zMlJpPFZH|_6(Z`#*7Ffc>=lr0zKH**=l><1McwfXS7IlD6me(!e~F`_JC0#W>_y_> z4KQ~9o@zfY?S{5gOZ)bZe?yeW?J{m#2Kdo}cG;f@ct|lPLijvr3N&qz4G$%|lsN`t z2Gq?Sjw8HJ!e8xxKduM(0fe6^+h;!;-uU!MVE;pR`cacP`*x8YR6pDQW{ZB%^DJ}R z@l$$M6W%A`n;h_W5q=@yQ%B6ZHTTG6s!D14f<~81VxH*KIz~)QJaER;@*zTKX5JcOMAvp|1|s3G*QK+t|id z=Iw3#xHa8Gm!Sr^B~EFmqE&qe(fWe*rC2)WK~M~*ai8p3v9fE*mOL``!9L;?eiw&? zdgJ)|NI!OsTf2#K?%yf+sQaWpwyv3pyi)t#IrPqgC+YYb`h;wN=fK{N44vbT>~w=1 z<-r>8W9uI}=E8vXli5USfFvgP&h-mD=TsCs&T-YqcohHI)N8Tr`LaI|aV_|Sn2&>` zcYX{>SK_-Z=|BFF6#*BLew;`G%$tBgM;%$6XDkw5hc_ZcZU|oq4%Eem){U!hg`Y&7+A;=i(l?>N+%+rpUCuA=uf-6Clc{4m+lU);4{PIZ*$yGPu|%X^ZV_f2 zg@VO2rTsnDzGFTp{Atel6sX9SIF11hOsTqD@$kmuoab?-B+svM1kzr!IxV$~qgM$Cg=~EZ{|^Ltb`c`oI&N&A1hNW4H*ci8ZYB z`h}iz`zG*)tNkn?RYUy7U!SxYeA|+fp5xyxL{S3p)TK$gArdr*{eQ3;MEeuqH%|hd z3c#{>>BK~1N^b8E4sEN`oQMAax}#m--1!kjlT6gxkihw8!U77{cHuu;|GLZLva~#= zJ=sMbJWH?YG0p*4vo|~CP+-e}^TFFuc%n~=|ES;}iX#j3RHwM;{=ea$%1?Kt)CY@y zPKW*j|0vwS|6_vxl!80>m$a4uJjH)*Q1bu#F8oWtQvoRW-(+)TyhFGy{F{>h4ou7VZSY`2NY(q6!2KqqcdbLeJFvPRt&@{JlB8a3)x_(R8xx|02R=oiop zP6{C#C3=uZ((Ocvy}<;lt%!L7Thp=zx2t`f)CUpAj>Yyy8Lusz6T)_koW7EwGXz7{ z4ee8Ed)|lqxvf|lDIcJXfv^jb`S}n5#y7P!?#l3!wKW-)vb^wb zYHKnv?Z3`izf+1!1V#*yp`zF?31`~c3}h(Ip|ShHzObpsjBf=*&HorkB#4)Ri+&R zAN-pO1sidtfN?L{cU>kcDjO^FN=(!NZXZ*kyM^#qi+#LhMPG#9!dMpmUwL4Qhh(v$ zhiegd_^6OIsOP-!9z;k#ycFSbs_-_nA5H_%cN8z-_Z3JL-=SQkzmp$Nz{lIWe;j0e zJP{wqcmH^t^%0Ar#Us0a-1%EJ!QuUoE<2Qehjuhh~7TVVEJ26|A5t2 zC6+mab2c!FZU_BC@iq9)vsmjK03HCOa5?4IT9g}&sim0b;Zr^(wUajvb-25H;=`5 zb~(zi)w&7=h%nG}I|G>O7n9`qGlej4vq{$Z1j3{;U)~E5ukyexU>c1psJXaVbFTPE z-`Gf`OCVu(=re))uJ`$3?pTO>1ty_w^4{eL3l&E+7c3>CqbOf}cpnfg+tcYx=xzYg z{ed703jF@I{y3Y0GK)991NF@ECVb%1x^h)AV$?p29ay;8AvS@A0Rw;VQT!KxCu-6X zv0%poR-Edfx(GX*b32OQpljxpqMB|&Mq7$!1DZsND(Y%(MiW17lCxS?2hGg_yEe@W z&_~FN-A)4bL>o}I|HYn$xKcbFc^e<=@zE~um4_^SFx$~BS$9+ve*`cHK2c~x8u1j| zYQRBG&uvBH#NR6*!X}4-pPmAI41j6*%Jmwtfqe0Hp8B7zI{pAqArkoKV=y(tA5iMU z2Bm$Z#&H}Q441`U7UvDnkb7aheyFPT_SVDCldbsQfY;i!==cDfnAtp(yTpyGSV!cY z=Iwns-tg^jIXZki?i0h}e@irr`0{ZM5B}n#)rt*CBMU06G}L|q7V4tC`59kunOJ01 z22PwswkVM!$9Mg{s2esMdICO5Kg4dPt-e(5}8#wilP+^O( z(>1rd4suH)I<f-lP5tb&P-r7a~z{dMB&ThetwX{d}(ry0Xal{ z<7%S_HI=R(>+fY@kr7>WWV>S_LGasjCg;e%q(NafEjp#4S=7e7=;qK?<8_t^HP7ca zRn>E?bFDOcO2cFqfL=$PuHGLgap#9kM4zucGyvo7zhQh$y}1$ykhL(9?2SFE2n{o8 zz-rSnR4g2^IOSr@BdQxR&rYr^rZp8M81|e0^wePx0 zJO7|D5F!AwQSmZ2{wCH~^i1x?n=#ISVH)LcDaE-gESIoD!dc4c-bn{`XvV6Jero(G zH_oXJZjSeUc-6Lyq7Rhlmtb5RU&7(1fCf-XBnZO;DS?R?2lYTx=#Ssy4p!_^D?0u0 ztPyBD@lhSd=MSJFaIMQIobEO-WC`&UmDqdzxiK0PqQg^`OoJGB_oF?YD&x3?N38U$ z4d(@r1Guudx9meUP=C>fi#BucGZkC5ce1()HXEnJsZ<#77&#)8^K~oCIke8|WDcRN z8Yfzs=d1^}_4-UX!2<>3q6zBV zX*@*kbg)yV58?)te=@$53}&b8Wl-;n!x{ApzWocUHY$r2s+64ScGz31h(!v z^BKfw{vi0sxmOk%u?o$yRR*?pB#LdnU&zziZzMLA@UIGTvk|Tp!Cpdm-$H(Dh6#-V z2gNLGU`j!A$3j7GM`2>XB-9btuZFBEnpO8YwWvk8cMX!&ii1WLB~9eGac(ej={d&&uwXMT)a&16eKTioGZ3&{ zVJK0wNx6^nIUb|T%-OvL^%u|Ti#uqTC2p?3*{)@2094UVMC#UehWCwFrNa1WrqV=a_~BwBLZ<+vw1GG zMe3tI{03R#Uj&+M#&P?pC&M2>R1Ux&tCLfZKjW=dcupdFZjYC_P-ph0QhQ#WSR~l} zOwhJO)WE7z)TRe+_z-9Ucg=m-ZJ`DYC%-?7`9u+zY&jq&cuK)`E zEjo-mv9%XIswtRJ|VNEB>KuI9ND_{AiTE4={47H-Tl+_D9|VmS<_LP!wr3t++? zO5bvyJ(R8yieH*5|3uuqbn_JgzWp8Cd4x=NkaIof7Nm0)Rp*fR*(n^ufCE=1bNBZ1QHUJKUxfULL5^m$J7$+G{+=617RNeMYXc0V_cy>5Zf$80H^v^8TN`+(5?WiK zs$YcZ6Yey@^@+wu>nvr(ma0oUP}b4eG{kvm3OHY+M1Lm&8Ekh->{|32LKZOFxMWcN zrL1p^ms&AdDxQg!fg^FP%93^}{kS}C48$Ml)HD5<^ieUk8nYH~I|Eu>-Df}*DIUf= z%90Z?B`)X`*WpR!J?m-K)k%J_A9QT4~$aUk9x63tnd!Hf!-#|@(tQ5$Q z*UI&c@yatY*J4p(vjoZmiJTAHY*>o}VxKZMR^d)c48*u;Vh}e?4CRasnm}h>eIVK6pC`~wyVO!vbSdqo6nFAM*BLhbmF#}~L?!4AA8n~JD zslN#pBU%RP~(mB%1Sq27gdeXh!06e1_H9axZ$H zcLfGEG`EDz5C!f|9K6Q1f{lcmDB-Ay)QYXrdvz}`N%6iH!Ws3|<`57s`WHRtpLEIT z#V@X;e^<)C0{7}8Gr-w?|@9KbfBF^w7(Oi`T*dpzaoHH z^>?exU4`7K`t##6>Mx%Nf)F9bS189XAxi+sd+4?}c-&uWQ+7kDyqpsx{D}g76`B4O z@Y-g2HL{pz2n(I_4LoF!9zm8$wm*G6&Gzq8$PXV9qHF{>7+wJwM=l%jEdUo`X*jse zP719Fxp^6aew;An<`d93OX8Kln3xHMObv3sQp38j_+P2piT$|2KOd^)*FG>NqdW{#xIgwXOdyT7 z5f}YJrqPUGK%0lr6f{uV6wq#kF~=-k<%k{DvHL~Dkl6jNQE)jmaKyvDlW*P2LXLX7cW*eyPl+RmZTL!Jh2y9 z^d{K7_jUWZ(C6BNmnhL)kaAt`<8guje>oaI4D#>?d)PH;-z(7*V1Jc{leBf%rqtHN zQMd69=mGmd4Gxhg_ma1|Dyyc=a&E1wFfI70HNZ?oYD)wK9l7=LboW#U*S%SbBt-Da6@qb3UvPr#Od6W;}Tqils&FQiU54N zgg-5%HkjWUz$a=L9OJxH5Ca>WWWoHI_t1$yqPNp%CHt6>=C))Fa+%+Q8j%Bvi@~i3 zqVhow%5PsO;*_?GzaZi~H6`Ouh&Tq7R(y$wQ`^ON^DdAtlDNDG`fj!3h%$a7;^2?@PcB z02qLeDUs^{t^+VYRuIVqP$7^ssemwcAh+2^)M(B_62-#=b6UF~%i05>iSanB8V>)D z!8z&k*Twz#yW_bF&eGQPs*P<_D?Y;YJ@|V-%lBfE^+0_MdJ=1M3|P1nXv=U9JH92B zp+*C`r+S-Ep;J+=&DW=Q*xGXvN@YKa@^Cdk1}Zbsaol_#FztDT=dp7xLhi&CV8ZPR zV}KPLzPmn+={G4%>k&fdA7ur&#NQ|1a$N~(tCsOb{4q>~IhPaX2W&q{yLIkW4A${o z9D##=G)m2Y-pKJpBbA8XS6XqYNv`%6thm$^SNjuITxyD|eTfy9n&lFD13ZmX8@z?y zFpg8#B2K+w9LKmt{7M3Q;+SDE<~{Lfl3z zt_xH3a-2GE#i_lZ5_li`zDMPUk4HK5y@^=p`)Cr=s_;pY-k|nxo_8u;2@l8eBpKbVOllyh(|E z1w|3t13pFojqFhuD-7q0@V{7sgLiIAnf3+ND8jHfz??*ZSi8s%!QHhQ`=FzzvgskY z{U|{nu9?e?ZUjFE!H;V5vknK9l&G5&@4y6cjiOy7T5R#SmxOjc@;S6Kd%V!jr*{eM zEP!9p*7NV@+j@T90%;EqP6Im7Y{_%cuehATzvugjkVJu%E|3x?c?nMb*FcV!E{ZQ>DFukg!v=2j_&;+JiNrg4%<#P)_(c_}l1fgv??tDs?c9 zfc9-<+tIj!fYQ^-v&;ldg#(c3?H@Q(sQ>M&k)nM|bjU6+f-{K`$QUNF+qxlRdV8G{ z8S75aVUsX3%N)sEIG}=V6k<64GsyXADQDkKA?Nj&f13vhh5KLrDQe>=La7q!Gzs+= zK*?q>3q6qRv7SUo)%p_e`=8Rf$Q;HFf%kUe{WI})_0^&FP2)#PuKw$=!jKwtE#6)T z(Y1Qs1O`5>p5+Ju1uTBly9~BK#depe=nKS31eYPyb%3L*)hGO|@a6^a_bQ;@D*ZgZ z%2JJ^$SPbNhNc1umjvMpyZ1w8!XIi^m2;p%_s={TQRcy)HAcvtREtGG8Pf(w{lh#0 zqGGY_vZIaj$+8$Q;|4C|Dm$-=u6S|fS!-~^;j!@B|!NqAu>8@g~AmK7^ z{C&RSt3|LK0xceiU>KMAc&@?XVnkh-fnQco|ApaRfi6{e_NkCYsNqGq>%@tB)Mxn~vM_Z~$Z=prJIQC{=(zp>cMqU>* zy2ERgS08an5<)8?2e~s9t8evy_#QyC-_@p$pkZH#Qdh-VDSsjN3%^8$tE zun99O)=wTl9zi8ftm-*m;sfR6j$qjfe+MjdY^&#ANE2;b_q>gu#X}IZ-+|pyV#d-RoD*|4=KRY5b1-JS-r_?8fM=9Ag7dGvSo;H`bNU4(ek% zkgPKKS(&_{u6z!(8mT^}J}Oh&>dLEw`aQdiQeZ@&!x$w3zc7ZehznCh%n;FzdS|SoVDkv&Q&^Uq$5(NdNB@G?uXw)bu;EDzj6%{oB+#uMArl$?Yk#QL} z9QSS95TQX5*5Dpg6h#GB?lmsB0qRKJ@2~3KP6wTN-{<-NUp^nwbx)l-b?VfqQ`@Pk zEl;#{YR_Hfn{9^>yb}K{U2L+5%8e$bbaR@e1c|`=09O?arI8-%)G3= z<6cqZ+-=i5WYU~t(u`A@(I(B2#+2E8fggU7ez?b^M`*C-yiY{Edq6r|{in0kz;{W9 zYw+hhX5c^mCZ@a$=om7bTMT@CI$VQE=PCnVZs0Q=%(U5|NzVY^_JJ&0@a~v#? z>N7B$OJ6k2FX;}nxy%RHRHa8f^si-*?$Ze^1Ze38Q}pZ7=+6}WH$`6wov)rV^kbsG zt51LJFA|DWe3Vj_L0u}2%H}vO>JQ6D6S1mjj8WN#q2b<78WQX;LS4BL*xIjzg?1LS z=N*`JJAQYswD6xk2i|0`t5gQ}3=98I@b3yl#lnYM_zJ=Iy1k9gA;3*N4*P*UxaH1o z>~ENLnHACU_09=Y7`$GJ->{HM;}5PAIp^nRVR-X4!|>%IIr?IeL?72%;F$uRG^{P3 zDrY<)Z9D$ zLoPj2S*h;VUQICmZlWj>eER#rEOuSNw-VK)h*U0Ow{!k7V_rACqlQYqya9W_5sxf#XE2Zb7qLx#NN1 zd^rzKR{r@m|3V<~dYyT9?@hfcF(+K?vaN+(5wow!HkCLl~ zL=VAOQ2o8X|MTR z>2YMhP5sL=Y4y#ub8>V8N6RxLyGo?a{1CP`{cLDY5@0=}GUjLQ+ZHxju=mp1;UWtk zBKXZ|?e+l+FA)5Zf48-RgoSq&{OJSQ+QF3;{*zV=n&!0OpJCx23Vzw&+u*|uJaBDe zy11rx_QjR8gSfiL4B&SuGJ~*A64z<0WdOSqm^c1Gj%ngDT!%ct=Zo5im=9H$$9jf6|m8l%j2w;WeWS zb|6>6wgXBtQr$yyyljfo{3IoP^+&0+26}yL{7H&m6EB<6_%VuqOT)l= z#j8KH>9vml{kAdM6vbbhihtS0w~f)t6o0&lPxZDB8l*$l)|Q>6@l{`;^iH8nk^E*^ zly_^(&J;=~p#*F$+M(`xF7dO5n#t3g#`v(Yj)R?XKcoAwe1I;Fh24y5Ed9nE9#sljI&Z0i#!a( z1qNftUV!w^od>3KnD)8jfjod_urR%w^BT+PsQB+J z)9XsC>n<=-b!tStiZtLcb2Z1Bt3!<7LSZu3deE*gbsyV#O-1H9YSX2kq*TYHQrY?L z7Ns)tUCoawIhGl?fON6(*KSvJ@2fO>nlw_{QleB@Xcc07XJWj*ze@Wv{tWKs&4wRE zn~_|;aKTzzTi*{PQF~o@tK0C5f^B1)PI&Lu*7x=B9unT%Haydin?1buYU>a6@N^%H zJKf@?X7F9wN@UA(I|(Vz261q_QjFLk#aoPVjST8-`7Qo;ru@R+a|istwZ}io;x`Dt z1uqfz%kTV}u`)iTJ$^@vzg75)gg@WlOTJ&TN7!aG>ggyloI8YaYYOFMi{jah`h1~O z3S~+P#~4ge=Ns zvQdoK^Ms=I;eP(DQB+E_k3}(~@OR_&orSVWC`(f)TNj!_dlsTz3yjVKLb*4E@{Ul_ zj6{95kY)(!sua@G9+Gb->ecHzP09flo=_Mc^1W2p__y8|k7U1Zb!xYqFb+RCflu4_Qur!E~8gov`z5%~Ru@3n<@U(y_XAH5A#nxfS}9y*o5nQpS%|cQff~ zCNo$}=D@1+=9&HySAd*Id#p`6hP27mryAj{f0A8^PY^QEJ#F+LMc3Ns#fo00DoF&I z=NYwtwG8+3-wo&`K&(gSxX+sDeBdejUF2SFzwfc%d2XTouCw3y?)MZ6lGoYqOm{c? zeTlxuU;8`%e{=V84bC`=lVxBXfWc|y3L}Ks@4#u9<#cy(+Rbnp+Vu`2s*}J<<`Ip& z%0We5U;8V7&U0t@!f^;8-}i49&D^6!a{=N*%XWnTiC***;pK8oD%rDyVC5@j+0gHx zWXcQt8^L$$s8IY*xw5gC+nsZoM&?U!t2AR6nX{^hixLuD--S=E&LmO;ij1a_LxjUt zXEc6NUPUefS}crXhAgYtNq0sUWh6%CN8`Ek1&!x6G2@R1UNS^FGH|<$knY?HJWK%e z2=n;B!+$5tBD-vaG7ps4$kcZ@{W}r32%_!q&lSK=9}k_@o?blk3vx@vN8_RI)4y3y z)@LgJc<6(6-);garuiEoFODqzRxuLkEC3T(RLI86Q@AdBS@f7e6-Ok77qDo})9GC3 ze>~|KZ*p`s#~Di40FM+=Q~uPw8%?J8^({NxrzrmbvO2-b3a6GpiNc8?%T#rjI2W^w z4{(41c0JJGHFB0@ndnvO6|xF;)&gBjd}k89TrnsS&W|Qe#;1CJ1I0C?Yqmo1u3fdn z5D%=nO%;{Z6vzauny(G~2*@}QSia5X7Ih1hClT8Gu{?h75jGcW3}_i<@tx&nGoWj= zA`*cb{di0|-Aoa>UTD)8ah`9`Oc^Uz@Jx%7eLBT#3WR~{AHie2ATyJuz~DCckzTMV zFd!A`*A~4A_3$Ws?gy=JM3unqtL^LCZ5i9X{#76GUucfU&7ncv(|wqo{nGL>!8HAl za}*(O{SCxh$Yzu(tDK6Q!v}5!tAIYd(6rJFiLJA?)SG4I#(IF^uo_ps>29A^;O+r)b+F&=q=Tmt@)v8KQ- ze5qCu+%`&~f4&|zG}GHTdr~7U2^;QY_K8}OUcFoWXX}kb&VHumLQg}40tTyR0AwQf zh`#H(aNzjpj0(;+2IdQp$i0uBTJDYCJrQ_Rkoa+V@nQMVx)Eq#;0gm-l^Bk0>W<{@ zTjPQ2O*#%sb)8^hyH+yi2lp?08T~w$fYr}Ygw9xAPwssPwJh-Dug2<3GrBi0hX{nn z?dh7tW$_i&jwja|UnO86z;A8^$nhS-`9X00ZvhgyU-08`{;eP$=h#2<)!k=19(dCv z5X;Y+sIJSHzQgjBd>NMiMZmH=mk@Gq=g+8-#YXLaJKP%}e^Azt_1IAC>)uh_!yo^B z8ZK*}QmLVM{UojT+2^uM&%m~MXIg*(~Mr2E%y}_Cr9gs%R|2; zehJq!Uy>JYDlPyEzm?){B~8T&;&NJ?54^VtHx-*sfbT)xx9JU>JCUw&=V{2f7~T1R z4WNnj=E*(u+FtqeZ?5I7E6y5KTJFd|$eZuhQ#~bJUU5dvH#7DswD;kMIfmY)HhT~G z=L}vubF;i9xHdKZ?W#zJ%#2mp9oTMavBrNrz8?kCqJ$ zgT&Ja@nqT=?*g=wx6zL#@e+|Jiw6B8_igts|1??L57W zFV=}~F?tq1q-nU8I!qrSjjIQa#x0Y^?bN`7h@ z&06t{g7~Q3#qmQKVsR7FpI3MhyS**z)c;Coy$qhrJy&9Rh*&*qm?Zgr`75$+QvUW^`&pj6 z?`SV?dtZ_5)TY-X5BjHzkxhldu_4PcD!5MpyU$G!vrMZEoURz%|K1@mQo%${s{1N8 z-|D`K6^eH~?N$8*I`TzZs|_40uu%-StwI7B&c(16P4vh%Nkbpq%-A}khzeNx89DU$ zf^SFHyHJhq6W0489pEuoeUUMBf!6@K^hLgXPdh(v3f1=k5TO5{`N>`RioPNr(W+jH zCMIFy1OHMC57cosJ20DY@WyUg-PG6si&rMcr z`jD((^+NP868~!JTOG=}QPP_iBc^Dg?+3Fnqi17_yUD4A<>c8i`8aLsj1XJ+-$i4q zvYflqH0M0nflwcZ)OVA5D}E5s4)O%=@pnF{n0xgjahR-TUR1bVir-oFZ(`0|nUOdi zZ}kH!&F95A+~Qtyl_cL}pCxE$mby)&owfYUv}YamUg~s+W;Tm6_gqs;J^o^n_uY#h zzaK7NHtW~Sd<@c(4E~k|OE()v5@o$ryZ0aiPVX0fgX>%iZ=~Uj*(q3kk}4+AwYg4Q z2iE9E$D-AQ6Gb_-ooPJFGfM~m9E^1}n4#IAI2WGGD*v|D_|nQG-S#yCO$EehqOXEA z>&PhH^>IV7$4v9ncM3n$Z=&n1<{R=}JbuE9;n2#ysc4A8iLM1Edh$m+zh2rEXPc#5 zZqjYq32CbM?oG^7;%JPyjtEaE{~b$fN6IZDZ2dE@2G8kICJ6_tAExbwV?zqEaUeGZ4*i;-^iA2OZ*yLU z3WC|mWZQQuQAP`J`J|I?<`a)3GeM1Zn4aUjX^3(rl{bcntKhI-k5^5azKi)$o0KwB zSH|tTp;rCQ2W%X*jfdl3xw)`}F|39iqfT){A#k<%$!@MYHM6wC_#CcdIJA@diK$ik zO*AJALU=JX$$FqQS|^z#eT(>ULr}FDUPy-Szf8iSj8TkPq6RIuke}+t+RVxm5Ofy< z>l!dWU6x^o{-R(9hMj|#2Csieta0uk{^}t;c4H}lO8nukh_%OW(&bFv=H8Z7aYRjH zeZ@qGy+>5Et_;W09L*QwT~`4J&M#tS_Zp%2YK=wx@`kPwp=?UvG}Nn-Du=UA224lU zPBiT`bed2;VG8bsy!zJr!5soOFyV)l3yAj4Bd_8r{HwuP$p6-szwWX0*6}j=ybd#z_ zB|q_{Eq&^TN@_foquwjmg zl>2JmmsxlS$3N`j!~^pQLa8?J6iBN&AeA1s5}Ng^mPM!T(Gb0ahNJx~_e0cL?LFR% z>tPjO)XllU$Z;?!+)2a(ddPzI6KEtLXAX%GYF_{}ot^ke@78za+xf(pm9AL^CAWiq z;vL+7PbH3ioDz~KUZVu7oC;4lGSv%qJ4 zV3C04{hX%2-9B)rfX36fDR83?JXS!xV@*a^_`qWXoNj?<`M{$EEVsa@4;(Dui57T- z57ZqKwuYMm`}shP$=w2rxw8*EQox`E{?zD|eV~B9Vp(BngAW`a;1?G7iVxHs7;du# zzTg877jTgU&h>$X0zPbk2_L9^A@>#woazIE0_rATazEDxYSQ3dV1cFWfTvjCQ9kf6 zVTLSle;=rQMz^;G=K4TwD!O}E;5IhwjrQ&;pgFhR6!^>sY69rGOr~Jz4Iik3NbWlp zxX=go5wO7mAM}CRP;ei&z?*&GK?45W0-u!1H||o+9|~SzwtDoF?FD7I=&g z)DseJ*aH9J12t2054FJEd>|eo8SY*d`0piN*((LivcOF~aDM^6p+BVTZ~4G$1YBo< zFZsZp0?L08%tw9Teggi>0&n$!x*Wy5(*kGsK~IN1NRcJzXf*nfx2bi-PZy$eBj;!?qq>2?8_Tv(AK8=y#^uN^WX!I7w|(1 zT%LTm00-sJ-)2x$Bln*ulZz;Xd^vY40q!1Dy0W`Sq=Kso!n=UL!LA2?pX z6D;s>A9$sJgDkLz54=La11vDd2c9F~t`_(s`|qagXAAg?RyCReAN#06{`*jb>c0?+e+PF*z@3(QhXxs8eXF;^<4N--rirk@`( zPBAkTbC`|k;>QeC%nZeJC8nW_kaLLT>!$_qC4l=Y)2jyg|2TOz>U~8C;BHV_C`thLF`^iwcDj~9eP_jpvEkmjCnl}7v!2PIWVJ?wbUd6g8Tk0k1?7Ck`p*f~0*C zBl6>ccMUX<;HPQC5V0JM_wct%2y^rmx@N+nE{?){fPO)DA9@CVzT+RB>q0n`z%zZ)m#Rs zGCRWgy@n+iGs%06=Uzzdd z()g>TgWvEcP{HdfTbaKwXX*?0n#V-T2kKlh!n>L(NgAa_1eR;DWf#ZN^@v=5ghgUJ$gMF0BPuzzL zPS?|flL+)QF{iYl?h3%2W$9!QUX{U(rH05)^N6{?#yEsmW#o7DMVFMD&Gx2%d#OQ4`kl9z;v_!M`fD?cj=@tT9#`t#wM{m$)ewXnlZ`-g;-td(QXx zA_;LzY};Y=!RqVDT!(?}I(X%a2>H9`Y2%5&YSJb9+e)dJ)>bL~k6|z3{bU(2ZYfhE z>2oY_r*dH~INh>o{NXqg#wTutj3-SQL$5*FIf(*Mj7JGuh5naB(-gP{fJ)pH_&dqu zOJe-tuv>puveh=(<*8)TtVE+AV}45XQ{H2u`RZE^RwDOg^O4;g?J$-FEgpF5aRiXy z4YCgXr0=T_7C}kjq7y}V4|DLmYN1CM;>_hHeq$_1jNXZ-K9I54)qTu|d_V!_E zMXzAPO-Gt_mtVB*0+kuf!VAvlcwuZQL-f!gF8 z0#esM=br2AGG>HIPjtNou=M3QoKC)y%AXA&-n`W5nc2K%TV4H~BynGa0t@bEfFwIP zaD)=Ezkr=&>nv~xpC$37!Pw0p8&NEkDE`b=M$5M&ilM$J26iSXqS%=qL^0H?OzmhX zS5@Q(8|Do)lGtt~v364MRzW2*PAI5x<1o_`o}-!hAe% z8$b3QD?ej+Zfsu%t12+jJLcRhJPxjA+e%o9b`OJAu{xAl*7xSCN>r@!3vBNc0P$um zhiUOEBkcmP40@!X%yFX>e_c#CFzN2*`$2N@| zd+yXL21lE2+?JP-F{OoGqUpvAj(cQ$VuCpYH*YNB?a-p^!v3p@c2ayEz>NS2_9obD zgZ&9sIR>h$|L1;cf<**3e`SJE1wS*vNd${5RJRgtw8_c{Hh*Cd#u6O=xd~1umT2&8|f^KEvL#?QI%W2&!ZP22js*>5-ZE}8vy_D%hJ zmjzML&8oYnFwir@ekHg+>H$I@3UBE9dTC?fWCp5DC)I^&?sb7H~V%AG*ZGtW3a1p|oU-WoOjb;-GDVmN)EUoG{7ptl(p z3HH!({305GLtVwBELTjySl3n*WFe0*MO3}SH!N9v*D%fps!mLvsr7#LpAq2%IHN!@(0P`I8Oau-7Z{woS{TB6>*BU!D?WbZbM+rX!?&mGwFGIZ3CrY3vpMu8lJLS^{gOEX?k7uzVXJ|E~pOog77|XNn*_O+U!ndXTZN%EykT|!VHrO@ybumsgSRgW{;hG)vdT4*(gaCKOu1`` zG~4%W?Ik>hv_{maotLQI-pu1B=FUd+5!^j$#ArxbT~3z-kPxqwbCH;_H_#0j_ynMG(>Onr{ogiSXbtSBf64 z1*a4G&{D)EKNemZRjyuTscAX)j|l=`xERYA|4k2%Nz9(nTXOo{w#UJ;O&mcv=g`@Q$!3z%iJa-|+CM|qwx0`q>u&>5 zWA{qp-_yDE=9*;F9DMV{^R;RZ+VDyYd@Y z*Ggm}@cl(#)Gd+#4a-YTJjUboORI|*ms44`D%!F#v!bW%K(Y%g%ebaa*1f3oH?joF z>t!)A!?j$|Mn}UY-2k$$4IIhe!p4@@C)V$%O?yRk`{o;P?JK2 zCITn!$YRsGhDF1#^(S(kW0{G?hKaUbnAR?(ws{x0DX@Tm{t+7`Ru-q9-`+=+#D6f= z^>Ugt)%C^S{qf<-agq3HS|N)FdpDM>abp|vV~xWpoaHz|`^$9pu`E7uq3ZohUy$kr zP_-q}G8N=O1Zajd^q-pN>>!8Aj`V(!mPhJ&kEF3Q{&H!Y2hle;m!6JDUPM1#)iqjS87(y6J&yi$FFa2!TJe_1#AGb?}UJ7Fv zy#~I?Sz=KSOQB}a%+gWwQmD6D)a(@Mdo;sz)WbYw+T=_El}q3v1vp2AmWz94sq4~) zIMWWL?Jp_C_z0_4GQ%(!x&u^y5p1-Fl4nqMOJ*6yLX~MKg`gmwD=zTM**%SUTXKi1 z%8`xp?oYB#TdBBl)}M-WN2k*5?{!2uh2Ipe*ZW`n!b|q^>`fv!OC>%O!5Y>Y%HY*G z@-XyjwDeHzwI5T~3n*&uIZVWsY<7B|Z;RIt*a~vN8B$lJ_J*XPQ5r06Wa;qoLxe{1 z@ZgaX7MMOIvd$BtY_F3K*Lr~ZEFYa(@;+^=Aa{x;xWk>Izf5N$ zx8xe8^CPUhiordh?xdpdf>By#tb%#N0&W%_7cKN}oI>~s3&C1puzC@+G!ht%i1wOD z(bW>AHT_Lx7u8NKC{)8dkqpG-E><+TQ#)3zIjt zUB_3X<&Fzs#c*I|g_T&GaB%)*6O0S1<`0*Pt3Ig;$ybFu)R*4&AbM517JC|nvhB@j zK}Kmedh>L7JNxxN{r*3lU+y^nY(L*zhhf3(Y53W`mls_P0UJSQ{;_rm1C?uBNGF7OJ^v z{*Q*3nX&$Cf|{nz-D-lGrcT*nf|{l_Zf2U=)*l-$%)m?KsOo>1uii4jsPXmFspctu zo1wjgd;8TpjnIFaubw07|JUZLzi7cG5&9LP?dGe`9`q;k)jyg4?TiNhVg4r$ng5x^ zpB?6ZkhNM|PpEDF$86G0aan(7MB>f=;+r-1>tN=G@h|BFqjajwyaQ*PXUA#`n9jb3 z7E%ruv^ToXcKY8&|3A$ycZbk_nqTgkBI=I^{xF_<``kayFV{Dx&o3_$dwS~~t{)Qi z|73nin#)N;G3?y+KaCeBKwt0ufgkqpmuQ;n3svS}@$=M$*e&@W$+(9!9O;T8GFoQ)^9kWE=PnvQJR+d^NAH2NsX2xrUe4FuFZvy(q zh>^=9+l?oCWE0mE*onU?ac$t=)UMRRz9X^x597;o8jh#X{vcW9eVlZd=imrf*m8~v z!y<=YB2SE7FJ_*A?nGe<8^cvY3Wf%22BI*=gt~NocKpwqBHuZcc5?Wzp_e9{kF0Ra z5cNAl)k!j`NaHv)-W46m`Cv%7x0>3Ea7)g~?bxpjiuDuSB9pt~$Fz^{r1+DK>s7-n zGGC|#B{P@})(j_+qOWi>3EBQd`i?W5xgY#pb2YeKpNjK7#DoGCw%?$s4oVvv$KU?( z;o|tp(!@U-peOWip>*;e~gZc)c^DY6zp-ni$b1)O=2ChhqL@ zWk%?cvn$4!%Spd;UPC%b!*e1q_H1fL!&f6vb=r0`{hf0fSn7_?)Ge+41jH<8nN=^u z%c_={hk9h!`ea)kv2as~EidgLqe=(PC!2A-88^67C8+fAx3?Ztf*2Q?z$9@wj9oWqU`$VC~_8M2}%K9Ose)SoIAq*%NW#nV!h5$J%jQ>%E}q<%w43 zHRfda{jx|@QBhKNqncU#Biz`v=%MjiB<_UcU-wxz*ts%5j`0<|=c8sQEzVoI4WDO5 z)*9sO4rN|j4eW82v7_;iOFB%Q9?ATSn_tNXzez_&QvJoFl@UfMgFl)!7t!@@@AYrx zWx1OTckWrpM1|J^k69P^@!{}d^fk526RcL3(K1i`?y!F5J<@KM&Jun5(Oyau*EgyF z@gW69o)Viphr(4u&#yZxJ6JuKj}zp3J)8iCHwst}cu143_=fOeGU9!TUVM2k_pE@{ zs*GXC(8<`}2zxJt&AaLdTMM7u?F~jrU2%2^p3x&edi4`;R$-4yg=984gz5+Y3K73koA2>0Cc(cN%`~Gi08l`m)iGn7P zMT{&;B{YrNHJ{n9-K=s@VPi?%*_qDeaK?CgU@uS``a#s$VCo@|Pu6z+biWAOh2TVB zXTVZpR)1-tfnUe@SenLKEPwVQlfFAH8w`8)8(MJ}nJgx;owQs{ChC>;OWK8D*=^cd zf9Dfs5+(r+{wN%{&qX!K=WnTeG>`STQEN38Mmardryv)m5H*WUV@Ng-v{|B0FI2I+ z8hfVURdD&|lh47a&{g?CUq)h6gBx^UZ!3k-d$rsU}ADIrkRf?J&PxDLl<@oqY)*i^(8?dlpmv z+0!pdX7nkvR7*k1i-P&x2y7HCpQCTmzf*Jv=214fb9#c}wko^AtyEAD8C< zqIXm+&K5VFDsy-!R-ufl#fCrLfiQd8bvK#M|4km@_{)()O4$gE{gO5Fno@R1#!?6G zv#eCC^S+_?PNg=sAx*zFX7B9{clGp#WRg-5-Wiu9XRVN6eN73Uvs_OJi)b}OlR0y# zm_(=wvWB8_EcD_|%d^4x)dlxcwrJhFf?8=!9c6@2k{*DrwzOc-s_KG&0^o686QKnE zBmtlI19o0e!;CW34fddLRO^?WcuI_rC_M0=vLv?$cgXF* zw%nBTA^Rzw^!c#;d_cVPF}y?yru-QE^H_k$7 z9Q9!YcMKZp75q?Tbu^v)0R&LgDZH8#Ux!VY8Jxd9oZJ~{W;UbI)0cjgli3`}Y^+&N zzjc{?A))$hqT#PoaJH^CvFOEx>zTq;pZVCIa2k#+%QDsF)sR7a97K(ti%cCx$gF=U z6O7(zwP~tv%RW06L3Xmp6eI%c{Yx3z_YPK%gB4SxG6KVDtGml1B?G3z+=V@O-F5qg zc|oCsO`ZroFgKM^&F){oWZvsMkE%uD&CD;ugO^U^J+xdRO3Ih*sB)CgG_&-wh^lTs zw=t$bJCW+45C_lT{KW+lkd#Y< zTx?c&>870U&Q1K}xkG+a_y6B;vfXarFnGlYB2q9jlDR#?bI%bPS84FZI1~$Cu32BP zuU$8;?yS7(y6h^axxue8&EIpT8_{L@Z)=wDDt~+nGmXVnYhfx+#w_GhHs8V=+EvT9 zr3I5B7>)_av8LF$BS?VZ7zwtI*a1X4^PU2aoQo_Ni@dNj`+%FQRl0a~fx8;rZ$Dqt zrakjB+Zm`USWTlj7lf)B||E1iKRxC~gM7lk{t53fljp{!%@{ z3G}BI!>8#Fwu1G4r#}#bwm(ov{egZ*RmMu=zx?mIfz`!*wuRZ%nt7dHMemfGDoU2k zHG22@No|sk?~&FCNGxfcz*~|fo3H%*NhdH9qRE_p8j9EW6rI7)Q)Rb2jW*6Hc$Tt+ ztA0H^SUm+Qgs|ZgZRm(p=%__Nw7(my9<8|8whne)8k~K!XWXBIjvBY_FjIe`>h<~) znU-3t3XS+V(ZOUXR_)|@Qt|mLpU!w1m?qlBkQ*{+em5y*5uB1fSpB+e>>zBc*8A#) zXNLzj25-_Ns;=|Cg>TUqBYS1@p1i1=8z$DwY^mdUl|N&Pj3(o$M=vjIdOe>@XA&x; zu|~Bm%O9AC`b-QZ5S(98Fr}{ZN6hA0;~!|Db0)rgap!F(=H_53XV$zm^V|5kt<90T z?mNNYdcC`H0HsE8Cvyo#gjY`MwqP6eGV$c%!k2mBox7B(3#wp>)13aN>22tB40wm~ zaQf8mkW!+xodeG#PtGz$D^;&nuqK>l?(su$g30UOtvRk zmTbJ=nni5sZ^yT_=of>&E$w4^64p0tH_}KqGI(;qB>Kzh{V}t?b(qgOjWyu&Ad?&f zS>G;S3@z2wBuw$mpz)e!vR2t9LbYjGMp9YM_vspfxo40jnLz@#hKOV%_6O-LkI2K+ z>v>dprsvrQzUJE+uN!Tzn(#UvC&KF$6C%Ok{6*&`WlHBJnDbl7DoD0GVY-Nx2mKO# zezsqtPmhCv^e*X6b_I&#zo<~-DO3_n(=WMw(3`Z5=YAqgpCd1<)cyf$CN-_`V=Mbb zn?~d;zY9S$jmRrtOigFfG@{$VnyWO8$R9R>UzSDu^>F4n6-R{Q+sLp)bh-aYe1+2n zYH56>_5|n{*P%`H zg9D=RH#L6i->yGgO(cVm#SF6O-puYk7g(^W#In`^wTUWfDZB&`wK`i72vefkp%}JE z;wBTNjxx#)ZE51_>6LpWhDDZ0pLH=pc{6p@-+8Mu!%o&W`tssC>9qV@B&Ps#8IYW| zbeZApaKTz$ux6vVD*W1lq9sPg>c&N`?vhOBv0TF0OlvD1_-g z#e0JD8rfxAR^;#iBGFq`@Cr;P0(Mtk>zCNR!OLg<5;lv0EhAF;5c`c0{|2MLRZz-s zj&DzQOQb9lVp=ye#8PzBXT?kt=jq_ju$|%9?=03%2CfRKJ_ExFh}Ba=H+aK~Bq*g% ztLGOjFK4l*IPRk8=Z-|tr(k(Jlj14S?wk~tzwMj?sa|T#!9r}X<^?7*3_GN-X~T{% z%RyYoUb7Sv9w8@X%pQE@aX9tAGlE8iHWle9+OWwfM2C|M8 zS6g<`qvZ@?qUuo%zIGcG- z1fG432t^78h{|PzwTvBIqKyxynd}4}>w}$sI4eNU9nn7beIZ7^WL{A)s5H)%Ei_!` z_uT6BgafA=zs0P;`o;-8P;f1Br+IyaQ3qVoea_?21OHh7tDpS(~B00QS2YV(se@@ z^W7=rLzR|Aj8`=lDLIzGv#BkwQT*PKa_h0QXu?}LF`}HGk3gAdyusP0 z6VSUv{DfcP4_!O<)6HXaLbJ82krV4H3ha7h4`AMcPBiw>up64?E+Db z;XrqH=qA_G0!)5X=F0&H&m%Qfq@oL^1N1Mn(pOKm?n26i@cPSUZ=l&}^RaLXPjdC| z8JzuZq_ZHOKNz#=`d!$IKO^c6&1b!NX0PxpOdb~VhV4N4;BRJf9$7DF;7>F-tam-)0@Q@d9w#e4Vy_!=E|D&Gruby{KeEG zO3GK1)OGKudEk6*yn|F>WAYBatZI|a`fO1a0jLTKz_);wLbb4r%&MP^S%9+6%v%pL~ z27NP0eMvo|%XCKa4%Qr}8vUc?ok!itQqHpVV*S=^-IR=`ZpN!_dMIrocMt$7c5snB zY|;M@UFD_@)bLx(03(B`$>8kar1Sb+CxKd}8J#B)w%T-rg$-kL)XlJKj20!gk!LBx zHBEheL*Xm!yitaS7l!gY~4H=u{_#aX^JonKB3&iROnF=M#(s zOR#`4(&8rCMRhZxn-x3q%QaIi4MU586o#H-UC$I8rmE#A6jRxOoMbh-e?6F2N zoncf-;d)F@=bnGi1ZORn;jBY@N)5t;Kb*PFs=-HA1B^15k`-ru={zQ?9VQo4c$GT! zL}n0W>}kPFG65TMUo1mHWj0CSH^KQI;t>*e;_o|?Nn97{!9iqUOUVq<)^%+3(DqIc z?LHK`VwiL{v&sF&>!r|KN=o6{O6v3!wqHI@BB+SLoA*MM+#&q2#eBIKoxjJ=h&<%Y zu~36)8{gQ*h4Thc>c!)QD2MwA9?}M9A&O2dH6~w4BlAy@QG?&1DDa6HswURGj4e^; zXc`74$e2dwEb8AkLARXjo1mLRXz)2{v_~lQ8$Xk}JE{LO5BvE%xVS2V7b=DlE#OE< zC-3n4LdyJehQCd82uWO17Gcj^r%>RoC%1yD%Lgl7de~^L7O>y(l zCMY+>@fKHZic=0XP`N4Q_c1}aDUKg#f^t)A>~Dfq1j~Av;9P6wWG|b!(dGh%opW9mh#W+ ztf_@RKW7tmAw{&Cu+wO#u~0Qw9cG@01?o~zH>_bu&eX$U`&O`m^Ix%SkAL2BRt+26heak^@`^%8* zBa->xI~#C2?+JA7#bgeVas+G zG|Xkp)lftjUj)4uof88Wq>!e9WKO^^Kg+!zaB_EWEx#vFW@jxmZ3N@G*gM)AcvlIJ z7hOHPBZc=Ac;=!ne|-YHlZDqQh1XShHNw+bGU5vruY&_NzuzUF^A&%mjo(f2T~qP- zN-zC2uZNQU`$5F>Ae@&zp!fq7KhCCKqj*^dOaBT@bpnbXY~n-D5)YYwmV)M>Rt62l zJNMtd;@wWj>wnd{HmcD%x^9`9metHQ)6BREaQG0s7)#>6$JYjDKS_QNJprOr*okC8 zg-wSwL){5LF&5fg3FKSH3TmdDys~B-K%#Co(cUCt{cw4*_rq;Wy~h2z_>Y+K$hGL- z4EKz$SW?3jLB3*`uNB6;A>qn%3maphCuvMs6}%6|daK$gn{gAEwh=0#iAHG=jEBXA z7Mn8}0%f(_lUh%Jom-Cjqx@FV0&wSiqCOTn0rHtM@PHdQ2}G-Of1#xs9!P#1tA>c+ zcp@oHV{%GbX}0q$wOIml&&CL7-o{%ixjC!~CDj4`5IlSJ#9+VN3%X@yT-j5hpKr^| zcy9ZZJigv9_j|&7zZLs*`>bUesV=#JKkk{-Y5IOq`}#_|9-I17)8>>scZ9wZj{h8- z-3S2~zLSMI82-nRrp|6o)!E4~8w;JSL~;SVoJ!lx)Yl}N;6f6Z`f5xoVRsU>)sI%I z%mC7>L46-h4H^%eaOD*&cw~ixHCkGUg?>Wsvnr029L)(VS=#?lg>Cl3aHfjft)y_$MZs@gvRN}*aS(7`>MiGyVG>IW zB)^3Wg@&!Z^Gx-jN3l@I(8E=6;Mf$sJ)y@|D0?8e$3QPR7FsROi9h+LEs<$5PHG%| ziq?%T*vl!V8nxo6>6{>Yn%Y&LbBGsHPmDyf=aAon)$j6Q?QQVJ>j@9}Em-|2zi3k+ zIVUq?(YuuVhv0761xkVgVQBFHDwzrS2fj#t2p-(HfbJEvaoj(jDdjW2&bV#rc0zw8 z1@y8DI3TT*^_0N~!lZJJ5LRqOw=>Q%{xw6kS8ynn{-9<$q7fg5i;qU15BEwVF;NWk zSImM`%s66F?N9OD6u&AJKX}LZ48^~Zif5y|E&UR$M7g)ARhV`@N>kL|uvdAkp;15F z$vHU|iqkly)WHX4^rVh%X9bTE-*E(0wZJbXI&%^W!BXa+@o-XYT&E!@$}Hof?@Kfq zlRH_svN#qx9I{yz-J1dv$w{&$^O$k@Po38zw?bvJgMB`qW}^$j(Wh@{R#rcAK(zX~ zifB(iTSgdOzdqbk(`{+|-p4(ZMnBaSZ2y`9ue9!3R)Q8m z(a4`fCzHr?5!-Ik;|}E3S)$ew5VIphxj%H+fg@u6g<%`KFS7 zC^iNEQPdhAZR;T(|5@r9Xz33;n{2Jev>CMpYbq??Zzxg@B&G2`vamNecABMm`RrFf zi-j)md9j&S+RUda^Rl$e``XMe6@AFG%etx8M#{ZC?Cv)6cF?O~i5 z104X1x~awDV2uM&^;&7m#GYJpKZzKDe5$;+Jnsp_xeKc9$tnGhh3=spXBr7UV-q~9 z1e?q=P|H&Qcc7wL>_55qpJ1__Ar~< za3xAF_OCxG1zKgx8}Islzx^{@tyVY<=&!ta)6ciIwcp$>=see;RL0CXoYso*u}~vT z#5CjwO$py%9gv3nCX6HpJ=UfpHz?0`4SC*=?Hlrgtj&52c}OgDA!M^E_Gk*+L#64T z-;gz4yj;|GXul7^c}uftzkS7$>0hEC+V;B>Qu5mGA(q8Mi8I54>1k|k_`z?#xA^VX zG}uJw8>Gm2L`g363t<}WyZq>%v$MdQh~~~A4|ImR5(O}N;f5w)g{+Si0L=u6Ep&1!$+@`e#usYdD0>p7lr;2h`An_8& zi){;3eurSnEZLJqwmGd>Igs_s6Eo+uTPx(+KZtz1^TzEiv_Ri;Ot(V+$_@ETH)aRt zKf0Q1IgD9xdo1)Sm0}C>ao7}O1B8+pkGB=%w(qyMvQ)Nq$$1D!c6uPQWUS6Ca$R;P zY095B$A|5y@z$~&cLMt7_s@RU91ESRoG?Mbhm3k%X0w~3>;|P}cM#dNSFclbZCP4B zQT#(nz33-8L6&~Po)K@oRp$qdg4xcrO0|kOcUCq#=vj!m_WFsHRE*WLjg-jh*}X)2 zdbXU^HtKi=hk@mGx(x!}ZqLPdiSjZm&Oa{JAB%E)bcxgC>SW1t(H z+b#422;0%c*^((^gw<)8>`o>IfuV9J1cK2rVL4#YyMF(j^ww-ze96L$27BE!Ubhoz zZ~oyOOeu-~n~~G&)QoP%VT#{G`^lVfU`d>-_Cp&EQCV}Fnf9k<+&NE}Ol~3*#`wv*}BXUv%CiXQv&AZQ(mb>}Al^U(ci6K~5Lk(Smy09>bsi$G%_#<3=zk&TjZP za=^yuR?BU6oYZz227VmUE?5;fECWp zZF1U8a&q4`mdS9i8@%jpRorFQ%CtYmKh%VsU*#O^>-8!cm?D-Nk-2{qS2_r0T!rU+G7?6xmTJpKrOXp&yoT zp}JvHQ;Zwc1VCTsny zRTKM-n&LaSQcQ=3v=L!-!5{!qvXjsQ=KODzYT13p0k-{})7!MaGN$*b_E%4}IJy%#`Jn7k|@^@bt^TY?d5LyoU>p@Wh)aA{DrxT z$jm{Cd;P4@XLYR#%!r~}>EMHd)m0GDuI{J&hU+2)ty1;_(Y^gkO>pA;gN@;vE3D8nz zrw7Vp7!NJ8ZfjZC>=};()Y$Vq%hBrW-GVU$(%;-}!RE_H&Q4#O_TIg}jE9b`#6qiR z9JF=~a1lb~WnRXme5Mw0rIRi9p#H|`}y5!>A#f_4XM60{e|_gPc-s`NpArBmPZ+gT_XHLm?0PRqJko*jvAT;s$X z-7943*Vvu|ZS~6bcr`8CbAY>>NmHfTQq5%X=Rj>3@>?Lt0U3*AzF%UypGz-6o>-4t zcw|7EFwHwA8Yf^UE-jiNwoaLKjO1^Urp&wQMJc^N# zl(2W36^SIqPv((xJffaKey2#QLSXUzEZ@&8$bb(NYXG{>kalD6rf&eSy+rqRGTb=e z24~;G-;(kbD0Cz~ui!yYw{j+s6E%dQb=3up{Kn@L%;6`@Xok&yK@SW2pdL9D@{- z8~rL{h_~yP*n&FiK<3%E@0`J3vgJ665z{;)Oe!^6{+4sVCOIU_2IC^xy^##Cb=6P7 zUo7+iEiH4#-Yl{G+{~ck+fy3;nTFuhe!)WK@0^@%fNJ4e zOPb|D6aOx@GSm6U`0xbd@*soCY)j>4s3fPfmBaHwDT0n$2Mw(gAQj#}w9<~mKig4e zR_+qpl=fmXo=t7*mvS$Z29Wt~WL_)}%1}`GKiZbRv+8qlj>2QTRNnK7VcFo`o+>pS zW+-yXx*HF(^`eP~84+nq2jubu(|NLZGT-=*CI_YEGM-#)QFV<~H59~G1x+mNsI<;? znfCPT0xfZ=Jqgc!Ld)=4zv@f7&C*+*rkyV~>R6n)NrbvZJ6t_IT5fgTDMUGNe^N_Q z8PvcsIme{WOe@#o!P|p3zX)MdYRdbs{a7l%3yJq^q*?fZAb=gmbbDhdTB`i{!qg>g(JDbj%eMt@-iC2;7Al=}LFK5x z5>iPvQN-E|XK6DP8L%y+h$KS4Q(Yje0pS_z1Buc45TJ%s67`@}S(E69BYp|>-Z1gnC}0t}96wbo_~Xqbt^wywk2y+%|?IXAmnduPQjD~EXU zRVW!E3>5A@k!j)jNakj8TmaNJV$}t@aMv3Fjn{jf zm@|!%WUJVDrPa(8LV2}GW{jCt9Oc~K{hmnE4o4S^(Lo4N!(zRqpX!3OidExNI~@=F z9Shefu~_J@v@dJ8w7x@4-;2nSRsU-1IZx&B9vLXrh%sEM=&DaNFq>DfQ9!B`z2Usf zry8178=x%KZ@^=r-X;fGtl^Nhy>=$%!^f13LVfqM+4lqot!nfcaNcG15c>^VeGl2- zL&nm@L~V@5LT^&%z-70MLoxem5T)Kkc`kWJY9BPE_hcaxCHsO*j0olwNCYm6_q5gs z3eX_sY{=w~dmi1rXC76urLOe)svF^RDr*ghXw;&A2z40v8!~ZmA)Ky8kelz|EBF zJ`yFPY2`W%F5SDWz0IAaSel_7RyCOY)ED5@?P1y;2E!Kt7OL|;T(>PlGHZqGOncjV z7&($%e+XjelXI~m{!s1nk5LZBl|HGKq%jKVme<}wigVJSWn9^+QJ6Id&(h{yYDSen zEY@NZU+$MIWW+-EVcxPTh8AaTr!Lg2OFKMh>I4&O8Yv!Ek>Jfo7$b`dkh92C5QU;J zL-&SGKU2!wYbe-`Qh1tH(()jpJdLFX3N}VK>-azp1!t#y8*Y9)ZJa6?TGM8T92FW->I<9!zYHoUX7KnB;Hn5vvn)MQm|23g+V5B0; zei+F~TAPL#3l$qKd&1>d%jGz6S@v{Wo#w%(rbyh*gRhylKcJKD!BNhDk60ekj)b>7 zRPeFb{ho@$9sOy+6QLXkM(d8x#5A1f7oODJcn{@6ZAZsKONAfr+l1U_90(_GFgqvV zn=qQJP2=PSgKy_8bjzusLa=7OvWl(f?<=amUSy?}dmRLm-Jk^3tDx$sEBB;3heOkS z!qh5uB;I!zOt3|MufN_B7`K-vSMG_;vv*dE0AvK6S2FAOyccjy^PI19yI9Vb_?IZ>OG;7w)ovbt@MLz?ulk0GISEf zOy)n+rU^Sy3M&`(H*4fM&xU@WY#Q!^e?5*aI#?6ouXN=wzhi;qVI!Q}^|F9$tJyn+ zu!+9`QKyExL$LZ%%u+102+7+i;=2^Ub~bZlPlN_n3s@?k{uUC+br+4vb!nVU{y;i9 zry$SBXrbIncurmeq8y%1$HFLLZj;c%D#8;KZKjBi}Mnc9`awP~;+t&=ajZ_0PZh%Hh(i;!5|4)V5&VOznBbWQTQd|9> zZL6)Z?@K20OwrYJ^OM)YraGh<@6&2+N2F_0oJ&8jm65N?(7BmdsGlJ(_mC3k+REsN zAy8%HQyGWYOb;c}OjM?)WxQdX@Ve%~_Y$+HMHbh5s4TkvPUS6$du&`_VHv{vs|One zj7nYsp0ia2NQ9adZXuiqN#C6!fmIcOd-=pI3hhaRl8QPo6?K=1%A%05(0SAcGuuD{ zm>M6!NnQ~3>^t3Tik!}@p3KhZf)q2JYm?&c6h^As*VkyLXkB~h^+%dUERvobnQf*> zR+V>wo|WFqG#+1i-yCEz`*sgcdi~Qfy#GCKSHnz#sf;u;*N5`ZCCfDUmX6CF%zWiK zvdjwBRAb4go;gt7v71{>8*wMt{tN#fi{E5=%-jiof`5nbA0gh*?_uz(&m!w+9pju* z)*Jho=OyfBG(BYhNfRrtoJe56`5DgXU#ZiXepO*3OM3k>g7LnRd?NP;X4}a5$K7GD zDy`U$zpK8$#UI<|_Y^ghIpbhbG};tPm7?Bn^VWN#l0?2Kk|I}PRp{xeuVT<(P3((S z9@knL*NH~6ud2?GB!`zV)RFU7U+k_Cp|@XGrTx0HxKLe#*?vpT^R)UpR0O_iRzktQ^B4ceJVe2J^aI~O`r5sFSkZ~x_jZHe z4kNW)&}=tm3-dJV2(bMOXeC?-yV37woQY&?i`~c=*f~n7o5-!Q=(9w%t?WmqaOY^e zyu*E_fAqJFoDv>+(uwCpCN_;6KZfOO<8?c?25(pEYAes%`YFcwRbIEv!%a|Lx6Knx zP+qr<7AmjXvXKTVuiO0VO;BF9dDBf$UboGco1pQ!y}$(Jb(?X93Cim>V~h#P>$ZxF z+gxo|-S|!~CgFJ8w)v|hJ^qh_eLRQT7hsHhh5x4oD7x5WuL5MmOz{7(0PrwZ#LCml z;@zJYUFi8cm%#ryksT`Fr2h^7X%(>X{|f(qQUPu9U*hw>YUKF#!k_lPVLnaxjn)6Z z3cs2f!Q^qCIGMk87y~df-yD2&#gNp`OFJ)f<{7roF$2@}udlWd2P{UzJPKt%bZl&AM)ljHOLy=f9`oC$6)^ z|2B8}@fN`L%&Awg1s;uklUXsvxGU#l$LY_nf|&JFJ_^=!v@6OjhQ(at!w{%6TV98! zfx>9L%~3g*{8Em}iy$&UM6^Ta{P0eS$Q6sib;YfZ1}ixbCfA4Xne0URA`Hv3WQ=9$qsOZ7gJs=tS?iq$M zizo8yZd{Y**L@W@JNk7$#dfazx)VlFJ$2r7;5gCBH+8=})7eGE#Gjj`wUj^iVPGJp z&2M-W<9zvMnwaFzo$K?XRS&00xp2GVVy^JVoqJ!?Ar5qR@kRLv@h%HcGTLtg-LVho zsCZqXMEvuLZ}avphX-fVThP~paFg>jHr>osL9G?442#vvlZCpt4OPc<-Nm+NIjcR@ zV}*JPsAdrXQX$3fks>u!@t2DfC-SBaH6x@&8dtXDdgD|xMU`T8<`IO@_D(zK)eCPJ ziAV{jy@R|y0>P@)UCQ+@ma8V9J9?V`hDN$O+4x5k-zJMKufx@P#eYfB;QB_zcS&*m zs^S+ZewB^CK=Ey!>Khe*m*O9`@gv*EpP=|_h*znDHxyGL6p-!dA(`AZNbzZBL7pqX zY9w-ZQCd!vd%`bQ+M%M&Edt`LWoP2sD(7~^_e{~2*M1xAFCgj3H5k*~Go*h)=`{hj zmHU{|Z=hM29X{d{ir+OAzn^NXLGiEHf#1c7Z>!PXN`If?&HK%X+~XC`M9-stgW_i@ z{;xLuA?@RbDE=J9Uu5HVX&>KL`43h6aW=js2l{Pwv$NuRD*j*_|El8KBy{^M6wL&m@2MM6w>j&UHO_LplU&jimUGkFf4ierLTkf|N-&U{BE54)R-?j12D_)aN zo6lv6-$ZM2=iB&O+ozwZ_$I~QM*NVhib?RyI$cE(GP?j=U5L1e!WnXiWZzf8_3Ch> zXtnXWKr*;Sw7fbzNbzkt@~+~~P`p=%9Tl%Bx#e}0;*U{$CwQ^_LwHQ7y_Vct1JV7X zlhRf{Sjf{t(H*oVe0eM6%X=TyL}IT$WgV@*UKHZgtWG@^u6JI3g}FgAcrf!4T*z5( zVq$VAOc+;;d<8UB;UJ7TEnU-zhRZ_kp*lTz8{xwBC(t1b&|40s-wd1_Q2({uyYQ14 z;YqlJa9$~MW$ECSD?YBlccvv+GlQhKc+lyre#dk=mOm-iNm?Jyug%^^RLGP&Djs2! zA6~3})VG(m98TwS=FfzAEwt=)BMU7z%EFL(7kiO3=bva{ETw+{DU*SAIdWK3kHmQ& zF)uj#UgX622a7eD#K9K>8>o?qTxhgsuzD7di5$1xlX5>yI2Jkr)J(RsgD-+W6DVRS zfREK^N(dPw%U*0#lb=@86e$*im7|@JFwOY~T0mBC_C?kewm`LsR@8Z2C@0zs^tZEGHofcs96J0c&Q$bMQsZiqOE9WloI! z+8Qig7A=2!VmvSiEThg*j!xH2%W-}dqq_Wy^{<_bnkhAz-MyzN=sk!fnV+Vgqs6Cg z1o8M}y>>1OX~7$+RI!QN2{zsNqzf-p%n4lN?W9R+4-vT5WI}auPjx4!ah37Rs(uC% zu~0yKgcqxe3eFB$H+rVmXZ~A-M;bC3V+za;_i)ZyPngf_tj*QZUWf zyS^lz+{@CbE@sww?dZ4Q*_=7|y2l-OAX`L}-#2M;CGu?+E;q*3bHTQCx z;tHi0@24niTm-#Vr+_3@F|Sr8+DbFy^Z!rhRewd-bzU`i^GisBGpn666um_xy_M6S zfv?Kg7X>&AwTR+AQB->|E)>`B7U#FM!!yltDc%#Fha1;FdJb;GJXp8dVZ6Wi)vDng zcXbv>a&?uQJJ{enxl+{`c&fD*cZ!>%uj!{=*#z3)JO&?9%>Q!gHEq2B{byB(wsTxY zx;G%IMd!GEIkM@Fyof)T`2UBtcMpuJy86G9kYG^aM1>L*HR#v|i;}1)R8lh-U{Zrd zK^yNVNYUDgnn17$!AT(F7)@0y?V}a7Dz;it6vF@^L9W);h&PZb6mMq?3f=&rn)mbF z`<$5*#6CXn^ZfEhW}kERW$m@sT6^ua*IpY!I)dDB$47Z@k;gs%x>7jJ`3fTs`S$=~ zyw#WSX);=)&A1dloe!ki=I3NpX)NnX2+7=HQ*TJ_E^sGz?moSY<#L{__(4@%(43?; zr;>_gx$Kj)T%HQ&=nW{_?nHOXCKY`laEC%9Mv8|~YsQRIt(>X4Kva+&Sah^=J>b{w zt*yQmUwvps645L@<>l>@7lZrLzSZ3~YhVym*>JI1IvTI>j;AagX>tM!UwqS_=s6qW z13c~sEXoG4kE~!Pu3mC~(O#zk7b);2yB{T1P@;@@JFWE4^N5*3_S(IV8|($@-2=(L zwfXNNf4s!2p1RA(Z1H?X3eW6+fm^%hI*t;y14o$-7P=`(rypBk1Berj3r5vDDGy_OIzd?qYX9ohVI) zcGeryR-o=v%@80n*Y7u0WBkUDAX)Oc*v(KuCFd@%zF~uDZvulCxTA`)rO`cjMkxHm zKskn?B%uuT<8vAy4P&-t`1={hFQ@Q5cA!s3HNvxfAg&ObBgMIN1f63dEf8}Hzleqj z!3!{wo@YECN`qtE-?8p5(ams-Fy7|eFrlQp%`0s(kMl1uk$fL7Gz9&!?4tA^fa5h@ z{~5vAt(KrG%&}y7(Oo6c2tl(Azujpze#_BScAqp-{7Y48cGG83lO5Soh?#4u&gBz9 z(54>)wy&_QT}d8dNyM9d4gP=zBYQKe`lGOgUpa2qp8oJjFI5}3b1X!Z__d*=QZtqG zwe=h>sB`1Qq>4H%mRUkAWb1U+E*D!c;NfWRC#XtkbX<4ZH||bX3ZapeHQ$=|6$P&! zz&;yL)TxbN=b62tZy&H%Q+?vsP@~-+WwWizu6wks7XV)k8la29wr88B#r{+z@pvmxa8xN3~Dbt z*ihX?6qcNs%n-Wc=NwE)+s6rJ47CLo&2o0rjnfRmxnBdK9}18X z5hD~e?>VxUvB{HBHvSD{Ly-5T2ZeYxjnblr64ao+jxj3$8|? zAx{|3DeVU8ZFflky^yz@UdRJ62}APjvc71rmT?Euk{-15f?9(`>z&HYl55YJg0cI|57O-&&cDLKNVg3zqg4D+ zBKsl6@2BYFh77%;NA%XF0h_)m?FH4d{nY&<*59_Yp+I|i0@m~0=bQB-^E~*?i`Hb0 zJe6jbEgV~FI`5pmL?p;UQ1Q{+mzrL?O|EgCf%S8#9c<9F3kgxVBt^!f zOdaX0h=f$0FAAJC&BJ7MkYeI*rwmtNoYRFYhn7$V%{a#?hY;UuZANS+31 zbOMc9d3vSV&GP}?mqru+HVSYFfQg-a{-fNMhya3}B5k^7-tPu$;I$&6Bpk7X}&PRY#nqCzQLO3Gi zazZ5);{7DDS7!*k+ADD9k-#fVEhV=Ir~nL=e1V)|`;du&ng>q0Rq`8lm23C*n8JaI zoMXs?2gD2Uxd2Ni4=1xnw{MWos+=o8rRcF4N=%DxT&AD!t6M@#5wdBI&qpB()Je#h zv*#wN&o|7@xfu#pQPwy;!}%LM#r_3@rBDAM&HjZoKCL}LXUn1q13DETUdiX8Voz?pThQ&*%Gh@aSOOJuNy9Al1`&WZ>Ht_dCgnu^gza7XzF@wV8 z6sSOPsbqtzmW*lhhnGB;5qdV$-g`Yiv*fwV(6ikG^;$F~(5}7pIP{qK%%jK04AI(k zgCPCzeRtm1evDl=EG6@PXz^Ezpv95Y?b$E1eh9y3Xlf=4bTD-*B}G%U%ThFTD#h&h z9AnCsAesgv2dvgukp%2u0HamVehQVv3#h^z!tv=ZEYxsB$jLm-S1 zZR1RN}Z&&TW1F`S*3#YNtZuGALB#hjD>E&W1fdceNXFnr%CZ7hESI17Hyve z2Ff9!Psf^6`^G6e`Z?>x0#8+_pT{_ehz`=y<1&~y-Gn}2PRaR|F{~8-`qTcLF$*={ z2yHjV-x!`2UrX1@1EsAV1^0Rm21CJ4-IDYfXEqHjX=OLUIC*Fd+0D?>Ziqi)#+Ar{ zy4z?yNk=DuXqS#2())S((Xx(3BXo1mY{SUq9&uN5AlSTl$-Wc2;2<*JFnbFpS znOy&a$d1RluKz#T?>Id24C8Qh$JAowpc}7X8AIqy7A9lG>qs-#Kgy56!G(Ig zChM>6^Ula?d`Pd#(#7H^qMI*v*9Nu9t!)i+HK9EL{yO#l4QAbs*YI=T7-u!aoyvJf zQ&)a$)(FqB?oJ*}tpyX#jZbqh90tiu>H2H|OWl7Ojsd=J7#6yPZi4H)APm$G;tORC znI}!*E{2lzRKwxCL_Yi=+}6Pb)};C9NO7Kkqv)afcbJ{6NcB>6y?NxO zax(WdgxLdFLEC{q9$(-aw6+D`bebJKGdX?eJjl5dlv=^E6M=7t^3EX8M2a5=x9QV| z!R#xydEd!Sx<5oIZ-W52w~>0tpo&;=~qnxfklR;z~3 zoL&r{q2P{(41c6lOm`G7rd^PGhz_rx1@Q`wM5$zi$sZ}MXM8heTo?N`Qx{z`9ORkgl@q^h>BuiBn&wF}L5l>c1mzB#vo z76I^F;$_w8J7!AGKs}m+pY@(~b3dDSkPj*R$iBWqN7r{__LZ{gXey5sKL+=pj($N4 zfx`@2J%d4kaa@>yt#57J}T=9j}_e5p#wP1^|hrgAd`iaO{+zYxXVp zCt`n|rPFXMljT=G6S#@RlLmHdf;lT|rT!zqO_KXbR=5{J(_4 zf+td34ZqnrpotO|u(J(dIa6&-H7*bZzM2LmYm$Er`X)oLMT4@Rc!7th1rvXcfPsGl zpGcv8w(29P8jqkFZ>`|G#>s+6@xNddNzB}CNJkrdu7lMxz1sF+f4h2E>jUNi3UyVw zZqf3H!8L~acIHJpm^;m2MyNew#-&CcR83EcfSG~%d-)#Y=w5V0n)7FRsO^t$Yw!%F zq6yZL+31Vx$q+O}q=uP}?e!1L>gO}D~Cs#8q5Yqp>_t$O^MPES$5oQwI4=_J!^`v&SY=V>g^ zjG@1+WFM9K5T*Sl=38n}zyPgB8H^BKz_F5kJW z-%mxhbv8>EH+5+})%4Lcy|4YZ`P36n$sZlQ3C#)XsvC9$`LgV!6Nk9(38`c56>~0! z7>v7QN`BgSd8cYj4ISD;veD12?&^+bp>rI|3n@E_nxhfUZ_%tF8a~MV@+r@(re&`r z{^xs;W^`lxA&;LSfegA$hVo#($=09mUaj!FhbyyQkV-DlQmk>7VUxrJ~k|Nf- z05VludGkzU4aW$ueT&;G0vRRXgiXqfs6RKCQ*|NQ?%I#8F!ry?OM|kR8PG`Xg47 zm$*AtA36&W-W-^anv}iCmE(6FOgHg=rC(N}z40$kqTPeQh-E#*m-<#?X_ftymYyYt zWZMQ`W0Po1@d4^Dhbh5(gUuQxtHPvjh!?JOA#;k!%8Ii75%B>!V}TQ6NQ_{VlACfy z;f_S1v#To#>l?qGYDVfW)Z`n|_aFle92z>*p*s1;nnrGV9FI(pjA8Tx4%u_ZrO|Kwva#|Colc7nRP_H;yfkm8hkLi;$A5`%D2z~djd(8MrRY;B> zeGWaN*mjx0)oI7u`BK-BCYPl+*sUvCPi4haCR#4vYy@j`Fk;l?clC+HlNL{gA#vdW z787O%&!s|V7XW=%M+{Xa!XUxFbWpsPi@Jgts6U@f1~Q1k0 z$te#(9uJYvIS`icFErHD3Hvtn5#2RSL62!>?r`xim@54136)N*Cxp+1+>cG^L-;6246om$s%ZadJk55KQ zfP)!tr%cnQv;MFHtC>#}Qq;OSqK5WU6Z9(3aGd(iUfa0vk4@FR zf`LUP>kY}RrBK)N3uYJ8^I(Y-Z-Fzdr2L#!IG}v-Pr!P|Hy!?kRbV($9Dyl}9idNo z;{?P-T?{BV|E%(0u}`*H1Igw>B~Pi64L)X{NF_2t*urtq15+Hv1!owt)~;xr-@fjO z-8&v5L!`Krx)C&6d63=mBv;fRs*ZN5!IH6lm-E%GFM4~Rq#00-wV(tAO4F*8uYo(jUsX$dy5>6%gkBhirh8z-l1c}I8Hm}R0i_rdMdDL^Pq>V{PFB{ z*Zl+PtLY+tBE?4nrJbFIBn$3@dw}R@3sFArHO*xEAbRbO8rEd9$@PT#Gyf&ipMPQ1 zpg&syTI;(?U+H@(orpqr_$S;C1KakS$!P(fV%vYf)-aFv`?fz;HH@MLS02&tY02WJ znc^ust$yVNn=(63 zOX1E8{Ba5bcm5QG2p60s3<309#eGqz9z!}#N$I?yi&0d|N*a5&8w-jqOje2Yl#iFTfcP?W> zV#T?NTf4{*DLzD?2JSHTpvMLmP?H^x55&YwWJ4%~?}qb3Gs1xG!dQO^9b!g0Ah76i zX<;XlR&=vLu~7#`vudHA!1JRH#_@9+$ynB3U@Fv}9+9sP3EX)eeW6!e#Bv<&_0rHO zy$P$>X!0HpSZ(iS_jm!n-a%<4fqPa}pE`4I05k?>pOPtt%DIVEJKg@x2D)9cHrZ_| z=t%_yRN(yRJ}uTyq1E*1Cm=@)x>fzKJeIYCF{Of`R1lv_NopBPEiJ+o8pfypg^I=` z6LB)QX=rLMqPtS*^AyrwpuSyD0B%8f^s^SyNQCY5xx|^oHiZ@=KLx-@@iL$=qZBZ5 zzNY3QXlX>`N7B)Cq5*5Yc}-P#-fMsk#z+daW1+Q9#b8WC)Y1cqE&z>nmL#=^Ou zp4$Bwv#B6%Jbazu#xb-jHq3pIQ12Hf`BnY55d8#*bnjgWq~rSG8LIo}4=&Z!-v*td zek|IH4^H5YTY<${w$@a>lQp|4PqSFu{zeLmf1$XUkB}CXKKG|2-x^nw;-wVXk897b zD4qC(iOl0K;EmE47`%-V@h^NcO-OnFX!4ejA?IpkI2w$a0bO20znuHw1!)d=w-lG@ z`&^Gv0*k@~vT*+Wdn5Ty&^I0aw`F^_Q}zm9*&Kau^_4|Ib6QPVTlu!v$>4YySk#qt zqlHXz{lS-OwQ}XHajAd|S!9@ILHM)2r9kK<5Z+;UJ@9YScRvW-DO>ET{OWIk0DFez zjoHVS>&$&Y=s|{^bIsyZM5@JUn~1dpFts>c$OG^G!jC}?nCxW#!CjTkBDD(98S3*<-psw7;@sJ40*3y8p_G(Jyv6g(y*V%~ z`hQ?{f1^I-9ARtkr`k89#EbLl?>s&0SlGhHPlG>w)dTe@c-n|fqyKMrwy$O$sxb9h zDp^fd&20P3LQ|^dj$9Iuzpf->FbX%@^XR_q|5nhN6uKCv>8s(kWE zvjyjc-jP75nXlMhl3LEaM2^z$QSut%{JX8JK$T5SRYow?vglf8M|2KhqMT7*@qD`D_3x=U-=}|3!yQ>Kwtu^Si+Jbt z&s3@|{+>G?WbpO-ySrU9a_@S9u}}XVp$*%=HQ%p)2l)Hf$M!Ez6%X_E@8x^GPyZf= zP#CL^UjBCf-r$|rKRbR)p}_CxTrEQensa^&QugiND%$uTjo**p=j8aECNo~Fc!(+< z>+9e4yT4EW)$6S?}s4@8VZtOq5_)M1qHh?Dc%!2jwEkRbl()M=K)07&vm%*3F?y4 zU4t)GEp@@4@j^Wc?HqA)3D0No9Hb4WB$--l%l?DI3sh7kK%f0xSEreje% zUP_6qe>b^=7LMIx2*9E@47!kAt#DO?#Obp80qVS$V2Js?dE@5*849%A z3lFD33g*aeQXjw-TXSx1;Q5S+(e64f+%Phu42xHo-Lf)tKla*2WQR!PMn~kD&@136 zQrsKN*@aYrZFYc~o%FX9ZnoShM(@2CPSKuSApzRBULxITei6j-BA*w|L90AvJ;As{ zid*1jly%XM>-o~xKc**1JHX^+W`G{wY9y89V10 zih`VjfW~>0H1m&M?^PCYLDohd19i2GR;>6-eKPQyPj8q{tpMmsrClgOt9Yq}DanW2 zq~pf7X-H@&cg_;R5guD9=*G)Pyv7%Uzt;i8>S{Y)^c0}K-X$1w-eidns2g}MZD)ZG zr?=mMsw3b1@Ug;srIhl%S^EC$1 znGH^i^i2E^Xr+nf^Qp`QXCLKjPa_arEM956CpeD5Qb43Zi%Fm=DJUP&-t^kWM5+r; zAbnMu^DsNPTJ$YPA2W;9Ky;&JxQ#5TY3{9cz}MixhxYSZ8p6_gHwAqC@!}I@!zU&_ z(ICzQktI@G#h_RMoo#DuP>oxBd~pUfN-z&{BLwbp-hNF`Rpt7*C4Y}_kaf{o=xh8U zajSc>jz~ovZ$yfFns$B+W{Yf*YeLtIs;sCeBj(Sa%;o_Bd}q&hQ-smkKyu5W zEEv|z-a<0>Fq3phme}MDl9O#x{tZpNOa?^&8h1}f#j=&W+ayg0qb8Hg<87gRn@_UU zzAYe`pJ!@NV50>#IfUfAADFia5ma%PsX-xvCjZtX=PU1>Cb@v*7F$^}$=W;2+g2qT zO>#2H$!C`cvPmQ>MwIMxpD6SD@>WQJUAvZM{hl9MCI_<6*w9ircAmdXlbPAvmNeSN zE>%WgT7V^_J!ahT14 z08x%7jU6*oJ7h`~k?g658A$b9uqL9ha&zG=P)q)_P;E{6`06+L$rxYV&QCYQUujxe z^-7!FHk*)%-@Mn_&kHRRx{TOoR@?cAeY&K_Mr6wjbGxIt=drPZ#oadC;P;xGkv+MA z*|*X#vAUz5hNJB>|0OtdIZv#1;D+JYo2s(8p8lRz0wQop6ZfUIyEla?Pibs^0hvl; z^Md@ayeN%+q}$U!#)CDsq?P_fI@70TIrm4XGx_zL1N~p8Iy3kx&F&Ie=&$8O6&E{l z_iF0O7zX-#HM?`4W`EeF*-HP{8Bu~--kzLKqdPprjL&>@+CZQNNS)dXsKP`5O`@qrH8|MbV;)^P^vmS)xS8L7GM}+z^RCls1mM~>e>3?_TWZMf~-%$e^Cy|KFuo)#KB%|tOPjYWj% zqVM-8!cNtQ4J_Sbbo;I5k=?yttUy?2NasuaDLXLH}ueW$b>t zk)6y(BUYp=mFcs*H5Rw*6#tknOo9EdV~mb&iMNyAw8g}|p z@kcLEPjyMWgikmbF5kkgw>YgET@|M-GJF2XRY9}9SN}+?VOh|n4^!SuLZ$|1B{=K& zvvZ4!fMowu(j5e(q&v%4t%_pHMU=R3by?5m@ujF$@mzjNs=V5XeumF4Ls$WgPF1=DU4WbmPhY1SOqgWlqSpw z;cddPi!{UE2GyXvrG#AQ7C;welRL4DjLw}5y6^6HB_-8jXRyC;`((yuI$4PwpB+2> z;>4AtonlJFhBy=J)WPD%S_}`)#bBr1g~E*~9vn+<%PO~#O3f$uhrJ$sQSlYBiIf+p z>q%!L-w@7eA@CJ#s^3|COYI)r18bsuOl-RG?E0OH>nEqzx_V31A&Y176!|2*Il^x^ zP`WmD2IscI(SOjjf6SC!d<06ys|~m2SB^Jat-HCB_+;~CPeyeKhvx%}YL%mb+_1iz ziE+tU_lkN|{NggLhIYg&e7%}Z{YIVfWNj0`E|F?Q=omz>+jjj<+j{e?$>}9kP=vaA zPiwfbF6~y{xB#yP;3KKf*L+EunOTkRv(?WfJj~h#2bexuJM?iDGjz-geWj7*?P}lW zetYs4t+N?fbTWEox~$HU=VTvdOU;qK`B(hCL43VsvASXI?=q+Ixb4+m?-kiLgd^5+ zYYdzy;S0D$Rw-Luo#lRX^tU0YZtN&ZvCXcccG56qN&jwy`s0Z(apd+9O z1PQ!VxN#eJzcKJyaHG^|z+DBnQF8Ax0Pkwg$G6-0C0|Q>I0ja!LuQMni;n~KO$@QY4Bj)ke^;ZaSYr$UmU~!{{%v5QLPmX(wRiF-2YYo`i@qqu;9P{e- z<#A}inLER?O4L9>`|7X$^8>#7>xa-2_Pe65PdmtH^^tdH1Qt1sXPO@M-={}f7#4{A zlYFmeBxgnT9#AzhvbP(0boJkfK^am!0H*zL-<@*G3-5X(Jact{x;ElBrfcjccRarQ zB?0{|bZT1BTB5|bTJ`eEy9gn!nA6i1yol9DDU(zzvtDTF0a{X-$=8ynRyNii)y6HmF^`xnINHi;= zlyNb0YHI{-py3q&u&+dg#?{676TB7K-5GtMYPkJW`{AylR)oC3b!PE3`T}~%Ug?a2 zA4lPEa?w!TgXyk9>xCDbU($7FB>xc6*FkS6Nb_ZxMBnQHWg@B{d^WX4yA+V38#)#U znV(X~P`v29<9>2ZC8r$bcladwk14VIOVMwem)}J9A$xc~+5bJ2?48PfS2FtD0C6cWLkeev8)Is~97D0)bi0yoeo!Ur>1bis&nBJ#~-#>x}TLAB20Hva;hSJ3q30 zQhk>54ba7gL^?B@IG|=qHQtmiPga(&P?~c#y+hw#Z@h?KB!li8P;;oTavwC7H$&&K z(+$VrC+0*=p+JKwEK*T1JU=bwzROt(!w0dnC$S@xin_QhE8!^SvQNXiJ_@&ebw;>t&jH~9FNUvvQ8zUzx{XnX2K*y@^=k7bGAzhz zdk-Ufc<{QE)od+bl~azfxp>Pj;{%9x$2Ca+r?U!S5h`EP@oS=AMb@MzDPb%6RgbYN zbBTR(ZMEUqy8EaDnh4Y{A;Em&yvryW(y;Y1&veSZRz(~G>B-LL7KZ~@S$zM_;`_L# zQ~3T@m51*>G~&sB;@@F;fDrb8op>ea^v36D^6Pq6Lja{K5!z6|K!!s68YzAR?n}4) zduKp$&yr#nLM)-;UcuPu&1r}g`793jEIf0M9>Rf9?ap>ukbpWw#pjl#uPz^YN^r_S zW$9~7+-rr4Z6*F44RxD74+1&ILRtZ&9OiqDE*e;!1E^=TuA5u{h|c5muIOXMT#{TV zp=(D|0H4HoDx3g<`9Xqkgw%KzpNT(>?PWN3@qvgQbNWwk8&nj2=meti{Q+vzZO zw;5x~qwkg@(UuDF?m3xo`l?Xu76xsv9h$A-z{pqe+Hrmf^cs_Q=@*fj2E0)H8p7VJ ze|-{$e&w_o3tRDuztX*zJ=_te{bOh94a^D0(3P_2nvM~!yeR%X8NWRWXOR~q-ONYk zpM6K+1iqFH-BvXOr-Obcmc{UZa|htBKrl*8e|rFGz*xs$EPlXle{xyu4g&E;I;G@= z69dfHkR~(U!12YC8nc}D+bp)5eZ%(P^&bDcNy_D)Sk?uwn6wh)@}aVWT*Svz{joFR zwUy&tI^90mi0>oTWF1K%{i(nJ74YP(vlkc{^&mgMaVc;B)n!1X!QbKo=TM4uJm*bQ zk!{~nUf3i2=@S%ZH0$Pw!-lSomy8{sR+Wd`VB;GHtPJz9#(u2sh@D;=l(!_MA^OhKZaQQz*)pFiD)k3Q>=F>9Mw2Hy?%W(YbeR;OQjN_r0jsq zjrv1+o14xh?YL}D|gph=1Q{^eK5E)$x7)PD6Ly# z@~FTQQ72N;PLUHxk!iG=s31qje>tDhktVIjwn!Ui(U1KVt=t%4(aSk27RxjzSi@1A zoLPQEzg+$ne7aZ|!bD#deVv=FF7v6k54giP4^--GGV(xPg>$h_FuL_CHSi$5z-P0Z zY~EcWhumv%hi6?x%%N+OF^8}w{3g?ROu)-=VO5~+J_@w_#6Ymd`3IC{KD-d9J5)6d zB}Weu<&EQrzQYNC)ok{#ODEH$vxN@V{w6)Gs%I1nKPq(X5avIya$1Wu^6#*?FZ^=~ z_uGC&3-qBhiOIu%?dQ+>O;s&8ly-Xlm{S*!Tl4L!D(Hspn<&tzq?4jtV98V3a)}-1 zD8ngqt3eJsVW`i{l1k+9JuSLnV%ys6iQxZGd9IiX&2tsqJU4?_l*+I@97~JIF5Jtx z0uY7fhj|~0{wgie1X*zt9v!>@TVuSH-kCcgon7Q~*7FZRu@{)gaSAzHOG?w*h60a& zJcWf1uJ!1sAKfD6-Wulx%3*h*45fE2RsEFf;AC9!j`@Zl|D~7neAGBES<4%woO3Mz zH(3A{l3OBbl5PD7>hP#|QnK71Rj#isH{6!{ja#n7v~Iim3$>ibi^Pebz*Ei5@4ogL zgxtB0Spgijf$BRsS(DuJa+iBPerp_6DVxpMvj($gFCT;azlCIWNn^Fx)Mk>|B-@_| z5r)1bv#@Y=Nq2phEoR;_^SyxNjwL2pNV4!nlayaUQ`{uwSFm86Nrp*op)P9Jk5PrU z6=Y~%WinKftX*M}wMtrO8c6PV-n?xhS!k1U^>(3oJ5O(IvWDcizjnQ*Xdn9rhIMdu z??gDHX5_i}uYVX;smW{5;g z3Db`vBb4ex*13-WtsQ%#3@{EArf%4KYN2=zIe?xfbu5dv(ZuSnojH zBh){vSM}*S3z9i3xB4n2I1hP#jg2Eoz(vUJ@E>aKeCiJJaYY+nFBrr!E}uCt>oEU_Q9ZFXUhUeC7#o2$nk@ zwyv<-?Nyfl~wa&TDSTu?!0|q#3 zjW_#xcBsCMO|M^=?Gc8W*sda%V+p(f4ut*0iYxm?OxDNt)t|2Fr7M&AgXUv9VlU6Ycvk9J{$JSO2- z)`Jg$Iy(o0i0#m7Nom8)!E_yk&j9J&4Ap9q0aKcG!dTa(UG3nNCEDsqW?%T2sv-KG zXQ+DGpOW~<^Ks8-swbkbZa($B1ir~hx1G(3r_arxqjUPl*BY#P=pQ2#7dcz9HM z&CHhwj0vdZ!rk87s@wdZe{Vk%iv#{Tq>3o5IrlCyc>Ntc7jsy?h>!C%TB=43rJoKJ zr{uNOekSsjYR<9MRM=`>h|l*`&@EZP9?;>uX2>PyNL%8l?{4^SSJANH$`$-?(sdp+ z81|&m-*AJTZsKWbfg**BBlTIJGHbH=9oUW$%UqdxaY7-SEj=h-_!dyk{m;*V*!(SK zGQR&ocRFmA-k{JPCsT=YHjT&8J<>WBy6cIXZdVQ6Z4H;Jh7EKQVSMqoYS?%xHS|;s zq7`ElBR-vbwl`a#pMzU;U{;9FdRj;Rsn>m0PL#sXlBSO!>UGPxpwIesg<>bO*54gk ztT)lm+urT5>+{gi)Nn}wS{CF$v~C_7po?{dQG7nV^kmje z6I}91Jjy3^b@xmIK--kziciwKVxc;ebE~Z-LM`YG%2x=0@kw4)22;*JY6~@|l?+Ks zjDj!}Z!xEt8KLNQKKet6Hzq?45iWu?nAq6QCv_+1iNGRHF1$iZH(C>{`czKtQ*QiIS{*P&? zGb6Q^DKcNXQT@21C^P6}lC@q`ie_=6gh1?M34`1m{i52F?ZP&0H5%AHwEc#|Tk1_E zo1M4uriG;P|Dd4Z{{CsY2&pyR>h-=^tSo|dP8O7Ozq4bx<||5_ zYfGI+sd$4|fd2GI=A5JRT;Be#fx&8KYIaugOpYmFyObGf{-eaP*-hy*o4%6nCa&Otp4fey!*U< zhPMtE(g^ioM#L3{m#uI{jMJigYZ7md7(Or>P1S83zfX-1cqp^A{)}4Vxm4&0o^#IM|K$m&?{Qo>p&vOGZw9~H3yp#R&^kmLP z!MyvQr=^ANf1bV&BL`T#=)W}XzWyF^xkn)jQ}}^@ulDh()6>9IQ!Yc8wk6o@jt!P zTc=l%asp5^$Q?&E3Y|-#c!_U}a+A47l5+LY?=>r>=mk6-GM9R#mL%t=3eafRPA={= z^{n{R;_fYSy3~DxOWi-w_q#qf)csd8*85IPt*SmbHND_(KW7?7%J?MuMOUkV#pCCq zOFa61I+Y*#zS$cIwK~v1RTECpcU|qC!vb}hyKDCxQpF9WIeD^z#)|t=H#F*M^_B0L z$>61nq4TnR>3k<|DYzNSTs)7Ai7UwA)I!O;U1#id#V`J@3(3#(4a`)ETay36&PMmD zq8;QgOF#d9_V<%Wss1xwSKPbrc%8ey@j7&e9WQAG?s#44j@L=T+*X6RY1q**OhLPu z?s9u&*P%-76TO024K%J-^GzVd8>i?+7@`-u`G)l()J-hs5u5i>^7_O=tFP1;m5MZ3 zxiX6f|EOL-ENirmtp@JgKwTy1A9k4Ja@7gcKFZb}wzcC}_7qesYHToKv7?Ms=0R&Cb8v zH+e>J)DHK{?wej_nV>hzcmuwJ;PJLOpc9YOx6oV-Dvc`ODF9g~{}}E5L|`O)KG`pJ zv;Q`+-|U&n-dSnnMIl*b?oGs>^fEi|@kvuW9_&?--3Ls$T)NBi$Fn}LdWndD{YC== z`Ui2+3y5c)n=@>R)!hTLGLQw*Ocq=Hz4*m@KdX4ps4eAIEAKl2klLK7R>Elm419&m~}C*(!BdyX?~r9)nOx6JpD>A7mzif zkkRO$dd}7J5vk|h19(0t^}I#Td!Yn3|5`nFq@EY(c~k0n$Q@~Ei@o(nVAc-47{q7| zlGw_V&;DZLA1cC(mCuI0YC(caUzmi)275Y>AUu`o)iP7;2c_KYzR7L5)qOM5eUsgy z=}UR8I}83<$pDt{-{m z=V44ECS;+OrsgQDynDOuPT*>zi)pfnSeUt3Q~c+TE*{BG;CCwmceM^#5vae63Ch^~ z!;$6%d`Sz`Jq6ati?w3S1IOssJV5!DL{_QaHDbEX($i= z*xZZ*YtigLU8nUyDKhb$8gNk+%%ogqY5FInLnj0WVw>-W<7n=bLr_q0!*APj^sbwO znUw2C{6dQ21JG|5x7Q%(xKXKSQ>blwb~H0+$2)ckJ73$KYWQAWtDMTqda#L+()m+! ziWJdZSS7u(NQ*+k*-iG(^uF<{oOC8sOdC#$jS zZDuB}3oV7l5rI|4b>|c#-|0ZWoattix`uP9qZWl^Tu~ZrEv3_WbaX^?rTU6PT;0>q zwNS%NCwT){cP8eE(6zo?EURIxI8L|X<5B`Pv{dUc=a*vd(%eV+p!*RkgPae=02S>5@uRBt1C_h*tdf%K60zC)<~x_tc>Eo0qxws zk{6h@lj=)ZrQ{|8YA-48?flanAcd#wRQN(@WMoIgro1fW(Xn& z7>;sd*eiNK10eg1jw9BxtG+hUn#*2(r1hw9WXq25fR)X)-=4nlR6}iNNq1ew$ONV|GxZUBwoWFBQqfct+ z#WKrOGhPXY#7rBd>CS-mXuZD1p3{H1Z~TeIbiGt&S>r5iO%!cvX#6?f!qGXC%+H8g zvFay?zEk8JF-LXA8udT@Et-zbnM_iQ%HcmJ*=qEa*c^355p+j10K-M~>&jwNYo;Gl zw7zy&_s*)rCN_19wDj4SdAMFxpN7}V&{t;ch}Emgp$5>vtZo1peIYTGSMI!q%X@T= z;7<(Z-^8Zq27(BTUcT!&VI}%v(I&+lIKQd!+^lf)`~}haal8(XZ;P~L0?Yhxw6T&G z(0%MVebGVOpP`p=P5{gF`OCwx>V~pzQ)|MpWkNuRCDDWs%NrIa^+HStNulkD`Fh#S zIRk)`ozTb4>Y9FuaK)Gy7ngP_oqyY zaRfk!MlV!@%lJp-=dVq!cEEI?ejP&|ZoF#4#h#IQoh$z#7R&F3ObjvA>vHhKKltbJ z`zi_>3FkspX`rh4wS@@v57#H!%&EXs2(O(>zkEt%?gvTbFSN|?+U|4U8yBw>>uZZ0 zPOnT|yxAG6KQ!1u&;2bg(%J^+d{}JZ^Z~Y5L?^#n z(pIE1$lKXmAI}V(HR3j!4~+PXD~3#foxwb_sx8{7T^rcuV^AB8^$WJ`K3e9QZ0*(O zYQpyPC;xt4GT!}=aAdi*H<|puum_RDk(LGxX4S8a5}{3_+|D@;5S8KctWRtlX`fqY za%R^{LBIpnY!%H>RUu~0NzRECif*J$4mX~?4jtHfq^*40vc&M8r!Gc6jW?&p-RXX| zw^V$woDE(EJ@`j64#$x>KF*i(pQF8;^UVIC^`9dCirKaK(x_k3PbL}N-X};)bh9eB|q6s&3S{zF=-=NQx``dx~qv;GBI;qPBo*bHftV#yz-yl5}rX^mZ1$SQJ#Q=ReUjI(e_Y?K6 zNdHdKzmxUvl(Yo9nv%mj{3tEa2xN91yI*QQwAmv6 zP&1%HelAgQc^Fa8ycnpHhYWMtwRI?y(#HdfM*pgAU7&6o=};rVkn1;ry8J+06B%P? zDw=cu5U$XW*fA*V#|G*u_#jDB0$+Ll2f)qaI)JkJtLBWJjpt$;JSd%Lbm^g?FW=IE zGg@Q?7gfJQaDnjL)uovw`H)oKdQk+V76R4pybJYG^+2ljO^$_BO-o17k`dI1 zu(!gVaY~~dyQPYAq0ng1Tzq1Sin^(5iwuLc)X=dMH1|(S;5(!?*7BZ8*VXYk-$}t< zOU;Q-$s;9R_H1|AVz3%{+mgrUg4bY{iTzM;=h}6~vV|o3P5}-4!Zt981^@{Ajt`$l zsFB+(AZOToB?4rF50G7>$TP|2Il|`Yr#$n0d0thXQk!QNraU^dJJJPrmA>4MDEAMP zJG#3(Hdg}^>Un)d7)9~oPBwC&8Tq~jH!^Lki3#gvLz~+%B*Kn z`;L&lWYg}rS4=XOWJQNb3YskmlPn}TFN>mUX3GhwHO(Z$By(#_hRGx=cADfQ<+T|q zNH*;?Z!1Yo-ffa{LTa@QHz>o0=4}(n_76;QF3F1bO;S!st?za5JTmkdj=TM<;E3F~ zWcMme_kRALyEiV?y~#GI?k)K5x;HM>y#=Z6%}aH!_Wz`NRt|=xZY*~DSmq91g@!14 zj=y&k*OX+BsaRcd;C_a2$2NmP4db|g88G#8^1&u)^e&r0BbuMFzY*Oh1Px$lL>C-r z@@hoK3EyjG`$lxX16G23r9Uk{8ygxm`mD09{h8|T58b)EOdaa^zwA(LxBue~(ap6& z-^cq9qn#hrzp`ez{}mR7FL1|8%vRo&+5Xdb{kLpalAG+)WXr9Sf$0Ag+toTl*-1hH+ll=Xo z7v<6aM!Nh@xNiSl{r|yr-%F0FtNW*7>}?8+(8<&(Q^b^^k==4p%=XrKC{{*3+xtKxonG9jsL(u9B`^>b2i2zoGrT&-{+}a_8S) zeyQa9dym$b`xciO=@Q%_$g~F4`zky*R9U% z$q@|id>HELVG2T6nAtNt^p&YQ&`W3Dgj&cNv%4GCjcr*pRMs{1htm{pg|T}Kd`Sxo z!IbL@_{0e;b;Q3=Safi_HSNr_!q$!-xZ~4`TD&4NvipQU-B)zwCkIw<3(pNa->-fJ z`6lW%)2+OoXik1=6P46Afx5d%ji$TZ2vGvyPf8nrp=o@v6PInZl6S*MK}h;IBHP+JYu z)^W1v7rlN&I{(hkXxozAcu7XL#IMMww;7F<-4e#E7RxzNg>ttcePhMPgGNv1{QxVf zweR%%Df{Zr0~m@Wp6|t(=$zW!UoI0Nh}pAL*|57)@8TQQuLIdA*a7Xo`#%yk`Nu`5b8y2rE2K_uD5OQD4mXa2wI;!{oMd1>FMn@xKaXJgf%+)GFA)Q# z<{P&!&U@6Ig!~d9mj~i@C@wHNL4nBL>_B~yMv(Pf_rw%ZmOjhIuPPh5hV|mC^M#pM z&mad@8+xTz=jaeo?T`grus}Dp92+t8{pzL>(QQj~WVB#rGAPvcUUuTdBwRn~eb-cuGX0gM<>;anOR`ifePv=d6yw#$82mm4@@qjp12Km}E>T(%D?c3)lYP_MCuK1^W|OvWof%MJL?bH7<&QTniXRn@~D3Do_aCWkcy>g2~oQzz0Ctqh_S zvv8X9acaovN~iNS+boIfuJC;&gBs^Qrx;hc5z(*W&2W$lWhr5;=2NJn-r|==*2$)p zP0j8eYb|Y4LDsrz>hV|^g2cKLy`Lo}MebFR3Tkz6Q)2qW1()H*>(g13JN1xDLh;7P ze6=zcs{X%O)~mDtUI>8k9ljosz0)~JJv!Bv9Aq;VDr2FK+Kt6^D;rIT9GkJb&3FJA zM@PRJ!5Vy?uU=a1bQUW6JCp>XH=|ToEAY)`m7o9px3NXGmG~wRPUC9^`30o4}D5JNL?%k@XmMPo>z5^q{V8i6l? zea9M0A44q2LjgHnlTl5_ z=ch=~xrCgFH1atoLAYS5hl{^i?Q~}OI|9g_cN3KLOL(~^>u8{m&`G?)qtyG9yjA=~ zjvkan|1NFj3+=Iw!BaVyls}kTD@6HFfw-7bNeJX(N zxZY(Y&H#Zr4GPoj*kC$_JDoSL-P5YyLmBH8bau%sP*bskd2s|!PwJ_FC-wh^q^xtU zI@L8g`9@LTq?uC!6s%IgT3c|kui!um+A_vM8oEs9lSV0Xn%bOu{^ERUA>?E@`l@we z!`W50!l8fZ7*Mw^9LXt2=S6UcdG9#!P)0*HOK>UYz3KxXwjua0IKPG5Y3wa*XY^*% z^@g=p#n;lVr&ruD*xOBrd-5B7V2e4ASrS-;;ma3cW>P5nn)VI?(JyuQ^6M^;=@QdI z$U^h@JbG066E8n%im;^N+TbCj4HJTgI-fDhCQfBT zgD}EwP**Xs&05zt)}MAwp4vnM4wp%INS%lQoo|raJrJDLVbw@rdC+ z5YuM!tstMGLZ|t%P9bYzDBY2N!0&CoKd8P*WFoVNzq83_U3SaG5=X-vhP+eseLjvz zYa#09dFUQcw$?xR^z=TZojL!IiA~Tm``Xzv_vSPERvk?475L(qlL0rp8!1jhCS*)6 zqD0mW=hD0JkWXvQ#cQ|SB%>mV~gSyMGNCNQX*MVYt(jxb>)PUpysNsr4oT9dV z`8zdK7?9eg{7i01?s<#i!>NQS528xHlZyeTR*Dkt(_;@9{rtxylUldSXi>@@(Hc&gW zD?3R&#oyk#&?3F%cVyA)gs#<7`$d}|J3bEt~|EqsTSE90;(ZwYdGjzHAGdzQq>?akdQuO>@5Yx6>Bke zGrx_1tv@_#c4(@}WS;46cRkaiJK7xWdS)oH%m?;P+iuPUyRB@lInK)E)Ttda-4sl0 z^61|=0tN)h6J{7BU-e5M-T=g=vk+51(?dY(c+SdUTvX#d0L{P$Acb9~6=00bdXLYt zNW^BzN+953vw}9&EjCO0Z@kNl&Dvp8S+QAP*i`q}tlc(sKy22(Nzo;;W;?TXNzq{& zJZXcd&Vup^UTAVIhT8r530ld&$C&6^?Ccbu@az8HLZUODKJje;-?+P=J3sn!e#`5~ zUd`jCZ+%9Orz+!aSb)MGD8wCwSI^Pe?gY=sGz+nsG?{P_$w2U$oP^#MYfZH6Btkz46HZ zPvhZ`(T;~Z3XF$43XF$43XF$43XDf;6b^9G=;(e&fqBY#73}dl#^}6Kjsz4kC$|ec z*<3D~89Y)r%oGCkuN$(UyC}jvW0B>avEVKabH;*C2RL;&G=k)7BD=Gu4|7#1{d8+M zGhM%#4QFyTgVPudXSym@c8XTIGZeWOzu*iq1D{iaVUgjOHpwnIYJEd-!az^TE@h<7 zu^B7K7_acCRGnJ|=%3Vkr`_NUnQ)N$ao3 zV$;Hacilm!_qIj-*v{=-!nF`>LNld2kTb z()d{0`1xvlhp+LTG;V~hD-Yyf?70?4iW5*cHcPGZjOJ-33ui5@Yit6V+azGuKvnc? z_e47A_ei(;(BsDg0eb5}Nx$RSR1hh?oyu7tC=6LFtCoj|gTq7ml$%xeLDV9Nliq#fVSkix3!C7R_ak@>nKto-oH`b3vbYX& z{qzGAbmudD?p}6v^e|QrN4pPa=s~^#6Pv96ysmw*@RZqv`YWJMq zY@GNHt=;oy(u(L_z=@iXm4W|Fa8xjWC-8%=psIe`Vt@TXz?B&-w*TG8_DO| zJ)bm>AxqU?YxnGFE?4SLwR_%czDTJ*H0$I*$KA|7#y)QB;-%5QX*s;b*u^=~XQD`3 z%lXW0HH?=}O4gZ}=L-II2Km;43-72I?oOZ0x>Z*!(^blQ7CgzG^^MwEqan5?ZzewCf&wu%7sxX zx%|3Zu8*nV#a|mu5q~^s>1lmii`JP@9-^jj9_!Dg&FrTXZNl?%nKAEO>-KB894r^h za&O?QYWCl158YIc_9_N7mKt0NGIe)6v3 zuI<9%B1O%BhPB5Aa}C3m!rwi`tz-F$b(AnXd}3UKLca@Wuqq|FC>Ylqca4=^XNJ70>kwGg1!_i*B4$k91GttfA*eO8{ z4W#c4cdMy!b}?q9-6jMF4yC%ltox~KMD$Dc#Cj4VV{>Wr>k#pZpTT)7@H~)=82ax} zVC1%mgsYS}ZumrMFX8xslRaYSCx9BN>Z9=r;(jPG@#-fBRRs&2hc#vBS(d#L!|X<7 zb&j`3e4zKP*d3v(OD=`3*81q`u>QnI2U(^|2mqloDFEnH&+17qv@q|PT)C7< z^EYC(rSOxQ+_h&~+i z;L(RY4;KB0p%deGZH}!N@RI@S>VgtQmOOA==ip_MJo6x!}@nt9oliZi&t|lCA||l%DI%+XY43V|Gc!@ z&B5~1FA4U$;h~iMO>==z=O=}Jf%+-x;>?u|{P@U0aDgBx5QTU?cLM7;O)X>bWw=7e z(XOO-6RLU)Z!A3_ZRiF|$EB8z3k)5HolQ(l26hp1ZYcWkVho{dEqqkoZ5$^UK5(u% z8jIr4PpW!>wx2cLJn*U(8E6K4o>&_YawJqb!)O};YT$ga#JQlcqY3cyuq|mk(f(<=V$q>3zPo4 z9)I}dr{j~K`{+x=bu@Yst2$SCx|u61W^S8nhS_#`DJ#kLDEs@P;b+nZ!w=fjlT;AU)i?p-~KjGL3MVHVzB!=_S4`E+?Z!}@&Nz39l zpDNQv_um#;#&4|#tH2P76z(^V(86eJ@PNqPjNuJqkHbJg13EN092P!P&4mK9XHuz- zTa<)iBbjNafXU5JW0@RvKt!qYHXT*re9>HX_*G87lpdsiIH(-LvB~iGYlFc^@w$sW zUG1M3;2^WNe-4>7fhtc|y9ba=9Vs>K1LwN;teSQ?kZ{4#rYhEi^f0`}kcw5*G*C(A z4Ac)Is}wZ}YTfxGMNEoXjrn~dSZjWtHAia7V@rcg$~SZ6Tz-t*+11lPU{G!l!e{|i zTK^D`Q-}}0o)eQH^)7muJIYJ=*maZ#+fl9>8{IHEx=llC#+dPpu4p_nixCW>`=Omh zH(2{-VR`?L7~iX5MKhvO;3TsNhW#<{Uzl#J6}%2=S#RK_FX$2PxzOrK zmlfLi;yb8>niCt~uBMb8==MkJW~00iwN;A#X^eYGtg#o>#_IFN$ zCQ}kF50v#GnqjL*;4$CLEyp-s82@DoSC@R zltx0N^h^t<32BhhHOL5IN3nLwh-)s|{%mKOfR;_mSpTd7j33 zJFTZQPTR(DYC{z9oo#Glq*6+!Ep;rVI92JE8ESFb&)`&yn|RC!(wx7t9*0|=8Y{nw z9q~#ZRO6FS?L^$MsI=p2vU%EGj?n~ttNl4-v^%$YmwyK>-e zf_vc3uB)jm)Ok-(-q>zn$||n%EJ^G5oBfWsz5<~3y-$1&@ES(yc$gfgVIBbOLzHod zzyF+Aa2p8Ifc1bo9HgY^^}F!9<^msn-;@!J`+vbie6p|pJE{Ns;rFV(2EUJ<`)&N* z#k(YawS*Rat84a;-`;@b;rIGy{%`P`u5KMq!$!6*uX&LPaWL?v@cSl9cl94g<4ykl z_udbF|2hmP-Xt@Ks=gn7v*0f1cVxx4@p}^QzJ=f3Y|-Ir z@Gbg%4i@t8d&txOH~4)J0QSZ2>kwfIzf)QFgWnou^y7C>ul?ZnB3}CO>%}{ZvRnVP zlq6m8Re&#y!J<7(%2fR85Et(CL{_mOa1!zhy{0ah%QIw?}LB){LRE=F^8jy>y4NSp5?`lr_%L z4}CsCOBZdXNd;qBJv=)c4WW7u&*c{*&hWf=e{%AWw^#}1p-onqx!TmWOd7|UtZDFy zWZ;^t*`#=t=G=4%q^IECFI3$SS}LoXxzaeaT>6L{k!tznJS%yocaLVBPC1#)$ES)B zfqk=cBhPStIJz36IC07ULCR?5<OD zj1k9M$UF8f@1)1CFx33#(f!lv*Ecu9&!OfA`Ck_Ov^+4PHNMs-K}H|q?QcEi^oOu1 zevK{uONz(ycc&(^W4x>h<{o7X*^I-LvC_-v?9DZZSP3sV=g>uKdy)a3A_v7{%W8-Vn}d&N z>x{PR+J4Xzdz!U^4z7)5Tx4{7CxO9N|Vj_ue4sL2BclIKuGcXONz?5W zgg*v$oaEB2fgR2Fqj0zBHL?;pZ!r$tunQRjQR&dVfyjSRE%qV#y?g^D|DGNE($gmd zdothm#8O0SB{C3EZ-tCRQAcK?^OE+VbN6c>ifq=1x%Q!o#fQ-=v+3d-h3{ozBMxiyH1R2u~6%HnHk){~vpA0v<(`g$>`T2E_;x5fNNV zAR06YN!Y`dKmrL65|gkA7&_?=X-T@9UP4gRD4-yMxZ^g1`>rUiI4a_j!ES}~AR zj>T)XY(!4_VS3?KYF~EUqNMU`CN8*Hi=4Fl*%tVyls+Eb1c$|RyXqSh(ONpG9Qwfv zNk2&K4i0BXhiJ>iYaV>obbTqghC`pt;Y>K(H58#EJdOZ|*4l#|ZMsIv$+a+pCoR>< zDo7eL9|U(&^C@#K6H>JD)1LQFnb-6FiupZPTt{9n9yo_mhOUs0U}oW+&dBMG2D0ir zsZm6aSiUVK=WFT{1&>mbq8_63S)>fbJ?p;|tp4ZD8!(e!G-?l;`3Eh3QzaUW$lkjW z`&FRQIx6Q?rPAuTYaYqBYr7oRGRD+ry+-=1J%z4@ff=I_eWE^#D%)zj)OUNXTt>-O z^A(O3j0#j0N?b0#=L0KbE-)h_wX(%>#0t${3{;>X(rv=pE<;A`G`ruwWOrlrY!?Us zGX2GC#tdBj?n43*ni{PQ-Kk}xYp$(KiED3nE`FUlCaJCdC9{GoQEqykwH@6--nobF za2_-Z;8SZSqq}DRH%{FP_m8V{zucF~IZ;s??Z#~tGm0RpiJguVmu4G&Xce`wHMg_? zzAOT{M#KjpV!8->VqvBgaaUAk>-O^=UAwN?)2yIxin+sQ(Z#iKhT zJ#gicbhd?TcarVRXczHS$dJMe8_~ze8XcC84e7aJ1CnMF&H`Yo@O)})p|$zhUk z7vz>+AThdF{p{mEANAu`kNf|rXHp)u=BG0u;K$J9)ez} z`Dh57#cLj2FWaf5lv6n-x^Nyci>#!sIh$&P#KARZ6Z<4#aLw6NS$M_-|YPQ6{>7|_M)_&8K=MVc|1EG zM!BGJyQmCy<*E_z^(_(@i$bESjG&AS{>CYS2!cbYtEq)XdrY$Ww%X( zNZ4F_G*W_ky%o|9WI1^#4Md)=c0P?ji8s^A&-j1_)dTXp;!Ic;uRV>F#l`AE`JKaM zBD*e`h+3c-N7@!4&$IEu#vIHLl{@Vv%5T@{HfyXVSV@C%8iG&19z&RE(J5*OK95w* zQ1+3e-j~)so8mg^5k>Md0!bXFWFjGIobo7-$LV|;`)K`oWxwk$>Y5U{f13BCPA&JfaL;MnxWsbkJ%8ew%x!&`Fm#a>}a^g&6#WIg|#ENDJ2}Fn^M&En(^@sr~nFm z?9~VzajipKTQ=AtDMchoEwLPLh^OaWV@FNG^6X^;e+8xg6=Me6R)zW@!}6UPs5;x9 z@%6tk&=M4W&Z{_;0aG^*Y(Ta5ym(t|{mm!nQ0Gma!)n$Re8yMS-QP-+`jT^07m@nx$5XH^+XE$}QWwprNe( zMP2iGHOSSLcVQ#%tfDrthHO428>xSV4Gkx@>>?XA4gTTIg|I1J{;)iHAfnHu)V!ZB zQ}ZIJ*iy+YXdNZxye?)^w&9KD7l)l$i2K%Pd+#${{dgsZUp|G}mGwwlRp@R_kPTV^ zJ#zWj`Q%2b@q!2(Q7uMPTfVo&k&cib4fdYEp+XcvkO(~$KIyk}AwtKMUFbU)-FDSr zO{!XyC%OS%`fkL01-*1rHeCi1?tC1jW1lF_DwL zXswSK(~+bjQJp!juDNd&(k?&Ah75}pb_?b9JBm8~;Xx~qcktn{UR|w?L|6)-rm%9} z8@Vmof7eu^>m{{C&9rx7^*3woT}e$Zb_s>B{Fj1AKhRqlc{(g`QFy^xFf6RHTJk~; z_Hca~9f%gnL*6IsPRZK5h?K^`*U(6R&Dd+lA>Ylme9zHM!g4>|r+_6H*5FYdx!afS z>x5Q9&J3cUA0ZA8h5w|U_YWPp`b$hBuGxGZQv4dW6@R$=V@=)kD+j7;Hmi~Y4WyD= zvw6KNHyAgmyr6KMPF8=3$$%%9f33Z?qhP}K>xt>-$r5d+zKek}5ZP*qoW>~Iu#&U-t5Md7pDIQC|8kp+9%S$f{zT}nVtXgg&pV70yt&&wg*`y&Mh^&joFT)6*VK9=U@2&*{1RFsL#86w2}^5wA^3 zcF+s6Bc?+%Bl$%{N@)Z`;w6fXGAgZUobvbxYOjt{+-O*#=M@e-5O;DTo^+`3=dLXj zzs(0}UP0ce0bDZ%?EnY1ZLqb08A2v3T}m2CIAQlTeZT$uId$9|WRT9CICpUCw5k*5 z{)QrJLo5WtfF92v5y5ts0Wz>-w90_}#JP)Odss|*-o_o*uPNI8|n9Ox9PQ58Cr<;yL?x-*!JQzF8UDt7GAr}eGZ<<%g%3P{{y?Gl1={8 zpe{`dr)7=|8)J>M%puufV{TeFFU>PQMcNNl_Bq3B_9=oo)Px>7aHY(P{gqP@{F`FC5yjW;VLKQ*Rm#W zDCF}{02AC%k5S={cnt$zJt-pWTj+O3q9L!$T%otgSL-z_OB8r^v#4uuhwFur?yECu zyc9|#`2!Inu8i;108hXfDSO0meS{K8zhGx1^={|peS{OxH>-JO7KEE&GLp7b^HKIWeiX~(; z_`;DC*$`)h-3yT>1UFYzc6feDnXL72UW#F428}UPx&FX7TaC!q3h&~m4++jPDudoy zU)@rpU}lBkk%gN@UTcgoHK>UYVodPNb>%fGDl>D3lMfk4ElR*@bB+X=fy6%>k)=W9t1z*+EOVhR?5;tdb4McqgW8qXs0)M)+5U`1 zv=LN3O3!DE@pv2DOC2%FKyaLvF_B8gC?p5QT%X@)^wov}VYDH?2NekKuNR==yFSKmQvZlN- zRF8glqj%igJW7E(LdDb0uT<865kOl~4IwOBw@=)9aD^gPP4zs*nz0|s^f zB_SUuq1(SSQZLC`Kn7*&r!zYW0dxu7TgDb`+buV1lg?#;6x6v<9>$V-uipqo{eFtR zE)<|v5UvgRf~w7h+YGXjsxD$t&Ul?<7)#I|WbWKyNf-@ZUHipJbU18;>jTjSkBwS` z^l;mCupCE{iu^<}@ihAU?goR1xGakzV~n@i7oqAHH#Z~xKHr!I@@m+q0y#6QO|_%2 z3}LAw9=l(LCO;q{fF^r{ zj`x|~Pz|Vw5r{^D(MXn&*+l<{N`X45%rAC$up3T@dQmqr_ug!uLbFmDg0oF1H zjphd$v_G25*duu11;x{aFKXsNRoml30;K`fdxeh z_9{gS#hc@eJRUYN>hU#s6Y^^FCgv4-i}EJ<^8CTPvhuve;mC}J>BfWMI$#mJ7_-~joA>?!W!C2h> z41KJ>m0BWT;5CxDJmgb=+D-4Z2^q>Etqhyk)7*+k4 z=>-8vnhupvS?>OTz1P>f!=N;veevdkIv_fZQfwsovBBpjqRw_ygfb~yzARO_?O{e` zQ=u?2Ynj7-9Epp99chk#zeRO*a$+zcN;N+&~K|4%IEZe6x~S9Gg>D) z10B$7^oJx^4hQ`?0`f=l9@)w8WRcc^Y=JEy1N41XLB;fv>67z}%pxZWh=pQGgV1S5dOT82yrYWUM^zc5~6Ze zP8|&a%83{nEmPfhdWeQfi}q#X=xPY4&!MLG6+%K68=*IhDpH z7+rZ$Es`d)%%}1hm;2*MKyoQO>O83AfZ?vK^#*Ay5{`tTQtHH*j`Qv8XK}$2V-zNl#01=LCW|{(J9ZM5uz+RYCE<*Lp>(mS%`_+f0aDM zG(1WcLr+Wyw@MPI2y#WE$&l>9)Q!wADLN@yVLa=uk)4!tM&*HlZV}Qpt)Q})2P#Q= zW(MlrF1A?VS<)=p5YoYERHabomBVw;VD7Av!WDA=H0z@_8VZp>D21BLkXK2zb`1vA zi-)uYD&O3L+jftJ$z8)iuj*vap=QfWnUd3HDBh46pEbmeQbg+NEAqj>vK3m8jKDAL&cc`D! zom7EzDq&84Qfcx#{7E%Sr~IuNm@gANx4#n&wvP=^_TMqCO;hI6858~Ot$8trv!IjV z?csF&tBrKOlaP4*Y|Dw@##(Dyk2yhMgftAgdCGh(a=STH$)pMRIe1qamO8}dirR?5d5R| zXvr;5ph1A5UThD@MsVWX2}6u(HBL?+lxMmoVJ0pd*;$j77)N}p7(m~bL9xz3F&&bY zB3=^R!GDyR2q{}gVt&Biu#`A?1o9sdK+9zreK5@yAy#3N`T%*R_#Kf+W}E@3F$7_` z(HDls1S$&%927kirD@qin~?~5$!#`{CfzB$+37$+`6)8ZNv4`eR)Ca_HYuz{%`9?5 zTI6b~7%FY2{9p-2l+*?@VZ~0~vV5H3$AtlsPozIto8&9r z9wT`!nEK6^+p z#B3ugOEwN}A7(emv;<5q>v;`#9qC5Q?8%V8D$znAHA?}H%7M6!Odke4QK-To!%!+S zJ%J@kisw8ifiWDxkOD0%4>g8>Yza5z0CrBSG#rIo84lM)Db$eHmO^eSovnu;bPRh_ z{_z@AKCM14$qqFwbI8hVi<~K4oK-GXKe4)~MF28nOK^5`)Q#-vX&#djB{qE*0sc*}Q ztOC?{^4|oh@h%TQx8(elQ>K#t$TdWiv@k1mtB4+#LX=|7c_qz>U>M+O#yA#pH-@2X z6bvA{uu9q5DdLrLNv)XZ(Y@h6k~gDz1NDi225NEB%rrZi=0=|7u0IX?yVO1kk{H21nX zP!giT2qH`sgDDxaW6(Ip(l$^8){n?555N2IDDT_nQL0wF#f%wsIsVv#w@`=7_(_0sPyB=D~hTr<`}_f1H|e0k3T|dgvmFP zL`v;(+$R_ZvhPj!%s#7pjb3PA;~~m7$`cY?8FAOB=^y)d{y4GG<8AgPel~qB_18`d z1cJ_v#vdnu9VPnXSyU7vx)VB!x7i-0nqpNSW%Eh+$PLr#gN0s*De*p>$ND6qr+)8} zYLGmVnux7a-q8jW5i}bPx${JWj7Y^IeJ6jE~{OY5EOtBYn=RTmT%Rw(D>OiU~;DX%W7 zD5xwF<&#URi>4P$m{uglME#2}K!flm6E;qiOrBm=Q6$K7QDvnlnO;7lN|ep0BCs5j zE{ck0oCt<7_zGBGU=aw1eWcj&4Gsv8*F(ai*CWR8eBC%frAvZJk}?4G-B^_`Y49e- z^^7fy24irBa<_o7oH3sL5x5FcVhYV9f(Y0zsM2nm{Cixi)g>ZH|}@{K0DJ z0H_>rKT&iXQUDS9_4vIj^7rTD!d5>if`Ya3gQ~FS#;h3{D;ZKylzCE%g5-#R zq*>_RYH7}z1WB`%VroIs85(ucR1;PaL5hO*s!=XiLN5hluoh1kQr1vi1A0;@kZ2Ov z!A#*k&*YU@It1!yN-mDknp_8MCK1Pi2V00-;5n&UWgLt$Zu>o+IP- zaFjdbyHoCv?+)B`lyBvI?=o&rZyqPWk#TA)_}SfA`B!Snj`QCscgTMS?mEi9^8QEi zuR`c_{*}75d&y#JB>s}MTMKQx1(0F+=5L(t_&(@s8QPif8~IfNA| zVWYuYhxK(c<=RM|>;-EeDlErwSOduG?!uTD7$XZ3ixb$c2`h%`TH8V`vM_E7IRI;G z!g+$`pqkN4jdVF!Z!I@x=j09N>kpGvZg_os>apT4r6ab$1 z%1?8OTIs^vYB{NLm+px+23yBNWg(Db5Dpr}ks~3KBzWe>vG|MDWC{{TMcC{2&|70z zG*KR55i!QhoJ;TC$oz3ex?1Tcl^=tIEFIGgl~gMibyzMYP_Uxj+Yl63q!>XzPMJY- z9nei3OOYN6UZtksN%6&tO;8OGywq?*O4%wSMB|tEBK71u0KaDy}XBJ#`r64S#D9Vz0zOKs2W zq;pG`Et-$T*-*t2A2rhHV?uK4q{h!*yVNW|o4annoxQA-XgChsT z?S!c6xXpU7>K7Bpv<%gxZKk#u`&`v}V(Qdb#tUsi&Nzj+j6)cQ(rPT#U&wJnxsXq@ zNXQwFqlm_kpyt%U$F=T)$ckF(t)yvc|MqzoRvnwI)k?4I%kjmd#u!qNa!PGEfL>hA zgG^V6N4h8^JI#<{mOVxyH@2Km{hEZ@-m}eF3o~VQcjh-5bqs^5mK4ECHxi;H=cdxk zp(wHY4`Xy9*D;s@k)nNonkB-js9G;%_O?Q@hhtA~Vg=dVDe;tYNp+Nyp#}48%YOx@3tV)JSZr~r3m3e@yLlmmJu?FkE15g-R?Sja? zty#=9+XWV;j>FCj)qa^D+Kb05X|pOpO#WERr&Oj0L?$5H=P9gIED5HN}R8rjo8D5#r_shLh5 zP3FuUH{QLe0fyt>iCCKJLuCGZen=shyu|R5;+F&oEB@R|tZG-Oup%8(BT3UxnOrO0 zqzpPiBvIi*Xo=HUtaGMC-XQFW@(Ve}PYOA4W}Dnq{`vXTPUD1J$(xu0A>Ft+4eaPo zjh;Uecn{p+v%x!DUKse{5Rqu}Gb{Du~y0sukTFkzua&S9$^TKiU*U+LsW7 zDVcNCl%?Gg*r__OSvJ8^6+O?gQqcDFaQc%)?{FteSM>tb3R)$dmM&4qlrBd-qjJZD zBOb7sanb=Lk{rOos#Ha^$eGWtT$#bxDo{D1ILsi>Mo`|0`wT)nvsBO`Q$}Qd;TBGw zvXI+(p8z4PnsNcx#oj*JPCtm})On!SvCg1u~n7`0VO@l(rCWFrIpqGH**T zoAxH&!{0CLz};C1->*$Do8c{C`yxh4v879v>xPjzNd=%UL%o{w|9B-*sMvW^?zvlrZGp<(MU*M@iA zJ#{`+b5=QUKJ1K_;$Wq^q%bCD?R-4(7MmCkQ3%@cMhsL9)ksq>hgfpiqK|0@wV!11 z*#?3%iIdc4GJmpe&6SZfhhHt{M`@HzCUeXxtDr;wC>%@!(ZqJA{gZPwC7YfW9b_Cw z1vbtUIv~lAZmO`e(V35FKst6ZerZZxSeqa<8DT=QTa<{G#IlP zTiD&*cPMu&8EfuR=HnSZzEhcRW9-M+mGN8l_W|RGyOn!!qZ3EmtjyguDV)mg=Ciqo zF_W<$V})U>wGHFykBC9}g0j#jc9|HCBbcba9ZVifxYF7ke!Bc)#6;SR;&}}i}m6HaiO?KTr4gTmx{~8264H#LR=}X5?71Ah`)-B;u>+SxK3Oz zZV)%(?_PvJe>aJn#Vz7iX?L5r1F_zT_uIwwvD?ILu{&d%aK_1&*dwv?VEbI`ZhT*3 zr7T{0$=^10+GT71HKL}KZ)Mja?dj`1cv{3}{C_W=`|vz~=RrIV;pyC84bC$pmye3a z#8&aR*e0G3Pl~6+)8ZNNtawg5FJ2IT7i+|e;wAC2*xoAKS5cC$iPyy&z&FKP;%)Jc zcvrk9-WMN;+r*Am?vtg8awOXR>Hq)!X}YUR*M0WwwqMHr-FqC+Gxfk;2lYO<&mn#L z9eUW|M;v*SadiIy1JjN$7f__4USKW<&7RQcHH>L?dh?!!Rm<@V#A}G>4{@wzLR0Epi5nfRT%2Y3W;$(blU>b$#Hc6!-OsATe zQY`~@IvwtoTj8kq=>J@;t@3CQsp3GJk5Vn}>u`(0#_i}WT?`V(iQ^@$C3BASKg0@U zl*rdci!q{?DAanxHeY@zL@5`ENn)}n7A0Z|Xz?`I55#kf{7xaAAxmkFq~I+UjULY5 z^BBi5PGDRCU;9d`yd1eF3SS1y)mGqt^AD%!#mx8LgJ+`ND7-WA#6vpK3ZGKn_TS0y zXQm()v!~0MmNVOF-2&7v2DQRvQoD`U61Cd_B1d}$)N&wrWEyBDQPJ*_GxiW) zi+_o4#BN!Cd&F<3`QrqgiR8i=-kSDLp=&O!i`G@^g}OaRWQhNWAH+V|zFIeJKkXNh zqV2B{ckPYZIT#$akLV(f(z*&mJ6cn1Q?<+hIH=;jL(v0qa-IbTkIIglgC?J zxe)E5IiY2!TBP3T>?=#fLy|A6)_w-c{7m$)9IdVLSS|)=1JM#zf?pG#c5B3`*NF4L zt-EVIv;(xBTB_#c+XrgBw1c$XTAFr@Mm+pjjkx&1n#sr0wJL3pcAPd(%+}_EtM}1} zuOF|SBo5K~YW=iBwZpW-wIj46wT+N5u7Q+c^7~;Larq35diX6OQ_Io@YuQ>Yxc+UR zT^?}#JH=h-_nXAs;vV$=&7dIniHF4l=%d56E#hzD5vB-_is>5hNs}8=!+Ax#DijAy z05;&btZ*eSjgUx{zUcjDjTd-1dQQT!_YEBb3gH6cc5BehXl zo_3=ct&P#fYU8x=TE14GP0%K4g<6p|Nt>({YbDwgZK^g+E7k57W$-0Lxpo4uLaWqf zXfw50+8pgfZLT&?o3EXuRci~h8tIB$Cj05I?6JRab>WX1m4xBanw9`*rMl5a4 zi9J_M$vA$H$e~U(7(#0<5mw1aiKBwQ9(q_m;;+Yvp}iBLK8MVsMvfSrH*ENrgl}Ta z%wDPIuf6bs^%q@y$+{~py==qfSDu&X#%b0;2O#2f>Cy!>!3ApI(p??>;8^}ZL9Czd zQm@i)*N#F+_%6D@cdK}1LeDq<({IhWYtLJ^?)>xDufL#^zYA@@i!QqO;!7^M z^wP_I&u_zJmtB7O6<1v8{89RpMERp7Y0ijU0(tfmG1roSKg73{Fujpf%8bR#5l&Bx zaSjkaiCy6Qi==$;EM(yqASFBqi8xmqqJ@`Y#->@Rs|70pz0LJ*taQPO^cqYS@oo=j z)Rr!-rp3^5<4_n&G}Y7rKGZc}c{F|ULszV3z=U~_i#*mYE9`E>QA=WQG#naC=Xf;? z_C{*WM#I{D&r+QI|xYSQ)X3|m%%mi?mV&W@|Qda(y20PO#M^(D)lFoFf z!?{$l$lA*XmSJIU2G)?ogaKB9_N}U^bsMtSLNC zjYR=$lZVBue8dthA;w{PIDU`z7DD9;{}iPBCB|!Qdk8dmGQ*Ljbh3p!j7Cj7B6>PY zkJdHMkZ0eia}VrJTaWs`|R;I$*8K2y`UmIBH$0ie7BJ<)C_?@UPY_vkw zXxXzoE=A?5;JtkLGLZ3xmy|i<9}0Uh{^tW_{+u!OMP=U3=BbPaGXD6!`u+jq6O6Yo zp3k_5aTsF{#-BJnpD@0}_z>gujOR0+!suoE^feX#%ZytXZ(v-{cq(HZ;|Yvo7>{M_ z#kl8H74A;P*BBpVyoK>%#xodCW}Ly8&v-mzA4ZMwpRcHR-eTOwcn9MK#^sED#yO0I zjDr~uW$enhd%Ft%J;tXQ?`FJ;@f^kw<9x_yde5G8QprGakmc597CQD1YxWKErqqA{e?t^b6 z_;+L64@mrFe>~ms^uR+BJv}{b?_^HJb0D5xcqsgX7|pnP!<_6fzyFzdur2OB{C)_q zFP?sQ4#jgAp2P7Rf#*m(N8vH>9F3MhBB0AZbaFV|AsrPCpb*X za5`X;!+xqXm05Oupnc!_UrwjJke;{g-|U1>#1T6F5sg>BT=T`keCtiUEO40X93~+( ztIFzZXh+rW5a=Echu&TNmN60!q95^{wtqC&ItHJs@tlM?jAXxQ&}GDb4ba5WZ%y0^ zZ^ZS8+jaCi4?5KNZ=!r%%ogRqdGU{UJCRKTB^Sj_RJN}}@V*GoDrkrke>7V`Kc(~w zC4PqaREOPAhe;V|X4(0RT1Mj6>bF`#BmO^`Z4^`jNRlvrN1HE6uquh2fYxXjwD1cZ z-$>q2(uTnNQqb`&Zm5sH@|$6^DU|PFn+>ikahOOtX~NSCIgDo1JNgy4G%>+7!8H+o zh4?G5{T1=MiS}>pzfY1rCtG3JLnyXv+YjT<_^Q$o59$AA-0@id_x8UM_+JV9uLS;A z0hVEeuWWr&h&P6l)C%N*mrXvF@Y9@&VSle-u zs~nFHE`@YoC;wmPI@7fX^5$BMI@h@_M?4E$C%SUPTvy07OU!`llUy~f^}^%2Sk$^I zTy9qx-pZu?RM$LLk!!kZ4#ubJT&1o{#WdGdVz%oFQ33m6*BFFHJhfgFx^9tj#AMf4 z*A#rob>+K;b2?OR*15JK?vbu(t~`9pNBCo0S+3Ep!LCuRp};)X2v@c%$90S=%{9c8 z?m8M_3~(LqItnh2bsYzr<6Vcj2D$pV`n!&Ro1>75yTl=`p02}TKFoE9Yd=>H*Z!^p zTnD=ja_s|O<)WJ_)pa2L`oVU8S2wum4twGHNnhvsUO&jyD1Ov`#XC*BE*4*7VaC7o zFJa!J@5cY%=-=u;>i^a+7GLN;>6^qZ{Tuxnu|xk<{|CO(-xjP6_yX@=>;Kg67ypF) zhx$(aZn0DUOn(LLo)mBBF9Sc-KY^=P@%^9r+xm9>RhVAT-_qaIU&ptn_1E+_^e6E5 zlK!H;Reu4v4KAM5AI0BO_Q`p8NFs^+)tAcy8CP*KgGy(jU}sgzI&#+w`0D zyYYS}{=Z$n27lM;f6;H#uY$XEt{e2N;wG4{)o*}lgT5aBUk!KL#CiG^`a1n`d|#zs zsb2v5v-R_V=jto;i|}@ae!9LExK2L}-jtMrBXT$pC+^Ys@+xjtL3)XQOB=Xyre>a+Bd z^kRIUq*v(kfF=42_?Qj5H$^GlCh6n#sd&ce1^8BoXQW=F57&q3V_=g3Q-MBKABDGZ zc+b!W;@b;ilng&hAEFignvKl!AbURNV!04}9H6|4I81bNTCBzi8iRKWH&+m-d_X zowm;PE8f1*euCXEF#W83t^Hg3Q2SQ5=@RWCX}U}!+jXur z+PT2hu)kPaqg{aam3Y?6Z|k(R+IsCYZJl;H{y$aPE!CQVr)X#3|Fg8Sv?gsDR_(lq zwWsf5z12F`$Gr0D6Rfvc=lWdy6XuVx*5*^W9xDbr)CAUJ=}^7>7i)1`2xpJjPm77J zc*9}{?N`wgZ>idW^8a-%T9HNTv2?6bTIV9$L$P9MU#vaqh4-GCfi+qEHMPF!aI8f- z1Z$D{VO0{j>w_=HVr^5JmMd2&4S}ut8;ErU$7sV~e;n*aV*SrLS03!>>u{_G%G5?< zz0we@5E`YCc^tls$9kXwtOuHk^+DtC|2o%Htz4_XUlrb~uuce+8Y_gRXk}O_R1MRK z+H|Z5D#x0jInu>C*9@Tfce=J*TZK|TA7y_jF+9hQbd&!l|3HkvwYxxvcnF>YZGQ*B z<{;>*4uy-}aM9cLM=L~WHTq%DUG#+(zc1Fe^%n;4Fnk${{|CahAO0T*z5Wph#{doh zzeoojgZ~GJ8}z&6`%saOm8AptkJhxO;k^nv{WN?b+$t999+;lSTN=!Z^{3_6!QjO4 zzu{O-Hr)0%0vZ7GZxpz-`BwzpU=h}2z6Or%#wxZVtVewfx|3;GJx$*x<2MQj<+pXXgcYwFtrEda% z*{t6yIne{)J`aH#Jq%9un0(u+KMrp7g#IM>+B4u>&w-!)9enL2@V!?gr+X8e?`{1Z z{ayWi$uW26AL$>14}PY9u73gk`KA7qz6+f4YyDr~xV!c5z=OZn|D*o^Zv2z}v;JTG z7jSB|RH}=st7~6y_7utadrE%a3;e$~q<}t<3HrJYg=|0)!jX^|3`i9NTmvCJ90QqR z5TuF>S0<#8!BX-V0y$(Dq?Qq`k&s5lKr3SYO_cf(`k!

    aPeA&?MLO`Xu~6$u-&f zD~9xQ9k}d9{jd7f;JugY8}!TcOZ7|ii@{AV1Rq_iuK{met*_G0(N}`Uo~gHh$DRgW zdMY^QQt;9yaM7?H0@rMmJoIGU2X5-sJ$fy;sT&-$8XR)IEA$h< zMa%T*`ZVyzDf(n^$|CT`iF&@|mSe#mN9%duj3f0C`cOSr&jJTLUO!Gx*N@eY(bK>c z2T0y`6!_s0;CYAYhv)}`)Aa;r+h0!s@7qW33clyUsx{(!ziE54U%|`%tNjd)_k;Ey z?R#*v@4(T%(f$SQ_D}G;ue2|9M zXth{z>BhRtYOKGUk9C-Hu^w|a)@9Db`phb<(yYLG&2muY>7dZlK%+~rs&f+7cNSuu zXMv>XV?p!tK;tvJw&((6UVs$W9u4ZZ(+VS8NgS2$;lY!a*@Rg&%d5!|FIRc#J zFsy^^hxM?Bfa4qtK6May(}7rP+Y_s9yMuS7fQNMh&)Nqoj=MnQ)in*IJqAAbtM~=n z@n@`m`w?r9zsLI2@32nw8*t07!8^agy49Unzxo;Y=f~LHL0tB2?Du#Bdp};q8rbdF z9r7a9ND;D7B^vk%?;R{a~)RgUV|MwS7YbSmDs^^Io1GQhB>iIFvE4BoFO|O`+e48CGi^Q zu~$p&F=^6C>wgwjCDUs5)3AH!ROp(QLIdo_`uzsznNNnkwI147FXnV=FjA_9wtPNx zv~w^UQHj;gCtw6shE?oSF>g`=?eip2h}{GQ*jX?RlP$F)kjA?mX^iJ&gpFS=L2J1Z zZRT98E?0E4>3NxUWUa?}uJ?oj6px z*Ad!hSie0GJ@hzmSd#CK*G7PHjMSdgPl04N06q3p(5#i9V{1SopVFTP4cms^{R^mO zKm8r;P|)iqKm*?cEiBca)T%+dYxNJbr$OUA;0MouqF;eg*)qvVpSO7F%aWVE3V!-J z#*S}EZu%a^lW&8keqiIOpR~hQzfHuT zIc>jIytcoM+onr?d%T0==3=BD=eeW6bw`8mj&+T5jfaLH1G)|MmkkYx`pdyi2lYp? zR#0rygZQ8JL70EdnEQAf7*bR~_uDvnJ;uPq)rq6G=H@iwtprCeV_sf@(QllePrwK_8BeD%@KB6wbM+iO z8{=Ez?-`QA4{F8Z4P9~h!@=kKg3tE>r|+#Fr1#PfWRBlMj(>^kcax)9JLivKM7sxE zpGLZNzQ4O2&i}E+``?D->E!t@TU`HHj6aFz+c`arIUj(``g{5PU@fg#M#SRdX0fu8_ND_d_{T1I= z9G|#7ae6zKKY;nX$>ZbveUF2~{{S9O{C&5@;dfa){&S2bc3|(yKd^^War$>8uYXf= z``0Xf|B~eRFIYVPY034UkbHlurRX3Uym`()iw@)5mv(}?!KD& z`RU;1#L0(9UcLxCdJ1+n4FTuP#g>iz{*QBDb>0b13B}FsxR$53iH=gY9e2WqNaN7b zAa1C}SsN+l(3#HPz!m{{P6(a1f%}GVycMm)#!+ALkRW+DQT#j=N4Oq7e}w~9m&M3~ zJ?U^SiewVqNNGz-o>4;Q#nT}#qvau=FyMB3Vc=I8sEaJYc}>>+sq`r;t#-75-9zz1 zJo)}g9PLCmc+>f6Sow~%`?S{GItVc(nFl(Vrw*4W1eVZQO6u4X+c{cP7S>sP>Z`i> zDB;e`c)_Y%(ph4Z42Ta6Ub;<=9LN)^_}Dc%zXTWDN5l2kHWh68_VQ zL}>uGhz1(mMrCFNE{YF%1hwBx500FzjffJ|QG-$JZ5DJyUJaT?Xel=1r~}Z@9dW9U z+M6TjSS1K{*f4^Vz;Fu#GJr#oWrdpV>A(vD0XW7WJ66Rk8WVWk;JpJ zvvD#pE@KgS*>oLocJ@&9C3m*XO^&F{F+GUNA?kJHY*Cr3UWem#l;yz45ZN`hxXmNT zP_}0Dgl4iqxKJ!~Ql;%6AU;5f+!a++lvUu^NV@MnjLR3yGv<=}pjNKhx#@w=pj3A< zN7XhL6;%9mN?SbCTI3C{bdg!Jd0v@1*~^(mKFiUJ&l8|)>*#tBqaF`(>+zze%l3&p z(%DnEAtfQz90tX2-Ajd?D!jQ!9wo=+BX_Mx5^Xgawb{lzYs*Xrmse+sS5^|cx95BK z-sC%pf85%@JDWODZtUMdK)`*HG>UkE+v-c*mw=sE5yTa4q=W1DSVR>D&TF(?r`NiP zQL)K#Xv;rntOtjso43-+W5dagh?OlJov41J@=vM%RwM<7iqVmiwuV4vUx35`rqc4{ zGjbpawc3bidv~y6jd^o)Z_jYb*r;Hif}M0oNvZ02Rp>6 z+2+w{xU7#-$Np>=LDzvQ$qiX_Fs^l#w&dj$e;Q{CXiEf=?8Y4le1cazopjfP%?k@6 z^xf*aYbDVPm6|NKD3RFAv0Js~8j*T6CqW zfVzXYCzda9Fq`XtQ|A2>%q?A%`#~uR`!l}0pEBRiSizXW_&`_nJrVEhq3mDisqhNM z!x=@YvOoWT_7PWgG#umM7w~q=@WO zR@w+BqV7B!tlA^_kNV{`sE`;W|BA~*IJ_Lg2}tOCK7G8Hv0pVYU9j5wblJlBv(shX z{uM8)R_9YssVbOk)XG~AA>66U=Fq=z`#h+fxjofUj$Ag?UU@AHH8itY;u1JrByF}3 zstJ2NwD$kIv+W2M^@Ka;?CBx1Jr&U2+7lA@(CL<$+`E~7IyO2J8*aHlBIC*3??ryO zKiR`E%a5-%w`Vv$E=#C@4*c&$g4*s6XhdOo^<5M@--AJUt-Ddj&+lKkJAwvE?_%8xLbNwR)&!l&LA4rfO}tX!Z7zh zd;QtmqwoFEe8kg1=azPm3`cp!-T(e%*z+Y1nXRVbs#Ojoh{{VA+i-`7I6DXhyJSjqlw0}ThOiZt>S^dvSjybw&TA2 zis?9=?N(4b@tPGR6W+RpRK)H0|3ejJ50@l+DsUTFBtC}LMx@bD)U?4P55~9qgB;O{ z0k&dDNy^WRPhN8E#hsq$Joa$S?=~ih^wfs}bUX!7ZIHTT{Vjftw`xAPpadd#Jw`Ja z@Jq2n9x+nquC=?L`Ll+v_nLaU19?{Bgxa<&m?Ko|rfZ7Y^k2G9+xXyeh?e>mzK>YH`Yhulw zCoP-_w})@J{{soOhv!T$>y$ySa6;pBbwwemXsnR{SWy^aN`p634n+BEY;{S3QU{xd z#H5G{C^s9SR09P?H)Y+mm{d?Qt*9_X)PM&p3I@>njd~wOOeKXCxE(AM!3i>zR?kuw zX@fz*LAb%|rHfkCZIN`Oi}a^1h*G!Q<8};kX$t%tIS+=M{0yb8#w|{3YZ#Iu%BJ## zww8Y!jczO|K1JjhrT9O07@Km3N!#(`$D?Lva(d)2LX9M-gUobBV+!5S8KZP@32}LK z*;JcJ&5SLK zDzqg3s|~bRo5R0tntX||u_aBte9vYqmXW_bruifF@|$UvxLa~7|3o+X_k(Gz-r5(qYQdmnlf24kub3jR?)m`InID~Z{ui^TC& z9&b%_;Vj%jz}ggBzbUb%QL6anaE$N0z9tgkgE-{MOFDq0?i<7CJy2RTL?LR?bx=@M zx|_|8qK^Jgi)I*LS^>J6+5FO0cn-yd;y_8`H5KDZ{UjYGb!T=S90V0%mek4}ZZ(6} zL9%C+`*z};j0SFY#IScUxE>_MbSBKu(#V^8yvA5kuC|u*(6rdG{x~l@hbksN+(M46No#Wwl6-Tyq!*X%lxuYJGLr1xVSs!H+AUaYY zIsBUg-n~#bbl`ulI0!Wd6nf$~q@=oay6Dh3bUMsF(>0JZtzk|{I>+M{(Q>9!3f##D zK*`GAIZ)`V+>3O_FgJ_^!$;!ps?FYZ(>;` zO*hXmwF<`)%*|Y5nCBdRIODb4|Nh)K@?nLSm<#zKo)oh)CzgXr$M;5|N`-)*BOOV? z`TaTUT=;qJF&=< z=bsDQkxr)q+UzVz71!1 zowONWYyW0}IpRep?5yItEq|?MUadi!>N-xni0L}6qMI0B0)Vj_Dg7dWTHNVpP2BO2 z*)V8HWu{tFX-t|GcfdW_a@Tt9FW%SjmKG)QVU5F$By))g?qx!N;n4mXsUk)==% z$lE<>Es}F`*cdm~7@CzcR0h~ycyy|FlTr^WjY@_&Rb*-HRH8UvRWu<=ifk`NB3`vx z3h5$s_Ci0*fx5aZxh{g&u|aC4lhn}^3cv)l#}%YaM!9 z=`|?{C4l;PA|+|dU#s?zOrCJ?X=@(4q#6qj76$y)q$RHQEJ-KT8uko$qR@ga!R!;- zR1gdFJXY?a;TnTiESMQ`q{}%`(C$Q3JO0|Ah*I6d$&Z}zI{kMrA>~L126Ml6;;7wl z{s1v(H{4{E->TomTN055M|jS1OF9wNj{84#BB-5s&>8I$qUde5>Ch6E+2T`0m?mO7 zripP2Z)3E=&vWE6Q=q0h>Dyi+>?6wjJq1+2@?%?Y8xM#rlH(NGiNI4z zlKx53;Gw-C>qE%P=jE$WURXK7X)c8YtBuU{K+fjL%OMjQt+_~Y zV#P$GY^vZnL+c821Cu8pWYnIh*3217R#|wklf==~ER_)^4^fZKk08bJ2Gxg4O*;FRA7d&uxP@J$+Jo-imEH;OrKb-CSv6m+iS_RX+@I@rd7+9QC?Ou zy-K<;ttXb1mKIDebl4S@&X`tERaQ}5T2@%(_(GLbUE*|&Y*trR6;wH0mKK$kRm`cb znp2+CzM^3IWao$Sf{KFDqN<__M}*~Nvx+K`1V6K2+6?7?dRcW=W_Hgzujwm=oRqzukP|6qV0Y7_VU5!uT%Z@Ttn( zrHp32?q~Cxj6X2;ndU6N;R$Bb|NqcgPXD*dV-}4!bG8K-A zw{!0cZ=WFFHnMpwn{Q_G1~%Wy=DXN@J)56k^DS)tfXz3s`CB$$%jSJwQSn{J=A+nr z4Vy=@`3^QuXY-A0u4D6UY;I=rO>91o%?tKZ78=`crAsRC(-jDF{e+9nIMPD?x|n8O zPtnyhf23aaG0nUlV_!Dsk5n(+%Ry zd@M+y)k?x2XsWFhX&&hx{}JzrPiqtNk!nv!YS{9M70_yrlH!4CvjORmXb{B;3T%A| z27O98ArCxqqj1}EUmFRj2rT!8F>~TXIh4ozei-99!C6EU?<9s7hIQq>IXl zQ%x_*qO`2CguSpuNoASC0=tC_tYV@-m5YkPIZli6$)!$;fRrY>i-o=$ zc<9#y51GyQ4!}FPBRj&L{BFie?#SLuKi%6xX8KM@?Lh5I3t_J_mb~*yKRNV8;^;fZ zwpdIeSJIhVr9$3?KHP#AvUXs_!;x*2M^m%`P7R{t-)kG(zD9FBq2(Y&_ySOur1!5X zDVtad8KOV#(2LtNPpFvcv?)bPgAIi%BDIkE+>uBKZ%C+oqYo3^ez`l3lmm4Q?uD>o zHIMXXey&BvLvZMq6XDWj+#$*+@t1G2pmLW#6eg9ifu{uzL`$C^hY3kk-4mObtd&h_ zV>zwzF$b3w*uzBoIcg1&Wr(??W}6Qql1wTNeDQlDd8$4rAQ>+CcZMWAIKE4@vh3-O zJW;^*1o8Nz5o4vKhaBvU`wSvwv~eC}h{=bQE^`!;m@G5=FfZj%lU{A7+foUejTiNf z70g&?k8SW?D8DdTRgExS#K^DD-B3-7oUscauqYa&0yJmft+mha&|prxSm{Ra7_52a zwl}M}r4M4-9IqFrJ8p~#?og9*ctNo{lm|zvhGPF->ZTQ;9&CUPNAe`W#~Mu{@4UB^ z%FXV60@m0_XKMb(bSCp*cW36B!nGWs-6n>IK0<5vWTy^eV*(nXVK!NNc)a7E%Ol>@ z%z9PBCX?1ArxA$WDsQLzHY-;qJsunTk1WD+Qme0Z)U+vD6|eGF+bC<<>5Z45k&00^ zX{pZ)n-O7O3v``wrW%J@>vRqa4HutJCkp4z(H-tXY7pb>8LPDIBZ+kva%4*ndRuj=p=!~#!%FK3K?)N&w zZNwYbt+0MV$v#X#Req67Tw%JXTCJ0(;trCqpw`MuMZ7$GC4)Cp+ZNF~>$&4_M=hM4*>R1g~;|}t3OJI!++E$K1KS*0V{GvC5(+ef2($f z>e;LMvbq05^<@jEv-Q=4iGez*Dzs2ZPii9IkH*ZoO8++BWE1v&K;>fjn>m+4m~S-V z@?F_2Fz2!mOoWy{M(V-mt)RFqaEJ-z+@#`5mJ%6$o9T%A!wg5XmME~9Bsxe$H9y|6 zL4nxfrMWzs8s|uHq(zc`)rR}Mt|tn|9$|XT-ccf3I^uLtO;t)dW)_gm9TQ61c3nk= zlH}4+F0K8+#uimaB$LROy_{QzhXv6}25``i*6!j1toV9btind0Q^yY>)9vQhWTr%4 zX=ScOr5*lktH0VVAX_{(_j_M3$-}V~h%CLf(${u|>~!0?%r|+S+IGL!O9hlOXa`Ir<{Y;x#l z>legdaF`Va9N2|Qj8uwl$(ymgoRj<8%P!hsIug2ShwkGv4C%x67nLuje^x^!ie~X_ zI`XB%aN8yYvW9H_o%J0T+-4GIm0BS6A9{|pZL2I?i`8RtZc%>3IJc~>4&*vZ%1}hN zNrl8)BH4*0(WQkG4-!MzauR8hx%OeExpAJB)8SKP)zo3xx#o5zWz0b=Re@kFHrrdt zG{+SEwid{InwbB%GG{XyjH!%*aX0&eT0rVr3}8N<7Cd%^%!hxwqIu@fd&WJp`0!^I z&--?KfBDOm`?ZAFF@6Sq2Txs`Pu_$vt>D5J&M1EDv>y3Wy6eZE)8`g;H;}*c<}>40 zHvg0Hea62t-Y&6a#YrkN#=L?nE~nouHy%0@9azh851xIAoQ~?q7Cp(lB zRvE?p$l1+JNf|dkn&O!=-L1kiJ7+@vTDe2tlq)-} zY5PuwZxW4!MRLi?lWIgAHfSHZagln^on@}oFf@(N8DbBV7)N=V#f+6mO+MPkrrH4% zUDydLN5X2?nz>((+mYhhT)&ha^LxU6zEd5-kj-0>?D zu7rBBxif2pTe!7pr>$_A5aQ%KY>2Hv*QL#S&E8rlD=n&J1(MjVQ640pP(I=W6_#;U z&U<}SdS}RUlPHGdZVObwY50ga@kEIry5tXwE#xEn}ayA=J%IvCa_SEJ+L zL+b>R9Ae2DRstz<{M5QfrMStZlb|FSBVJF3Y`Gid^bfB`vHBfCbZSbJlpqO58=4kJ zeYEo5wx*?(KT8vVp3#m5qiuhtx?t}#7_GyLYa$X;V%K1_=dUvwj8^`Cr^anB!)a6F z<_O2G;D~n!YH#$#+=q}r-|CyHZ6oTqxA=~xgdx9dr;d18BNF#ZvlV9dRTMaxdmHV^ zFld9ioZ6JZJL%OQO&`Q$2m_7PP)6cpgE+Zx&{vcL_2%}sW!ci@a1RPZ(@{h;*}uu|pFe4}a#IVH}j$KAh=9yn9uk@pOP(^SU>LsHR!Cylw3o(uiWS zv=m#d;xx~Efb?2C(>en)S-8G%&^J&bHSpUwErA>&Tc1SBTaUL|Scc@(? zRm1HmOycPc2CFGtESgv28e1wsNF1R$(!>5RlgJ(&Q+RMHfAM%w-mThi=T$uIhtpm^ zu;S%@K%|ip0#Q1C%*$TrmlDHM&311?Op+f?p9-(DiEBF?Ngz5KjE!C;&tGB*JK~M& z`Dn~w9d^>`$k9?9;jxHs)1s{-mT2nGhW7cF5_v8K}JfAsds2uF0^oFj*lencw&ddmQOyEm|yn~^?m;j zl>LkEDf6xGDm>~Ph1qXQ%>VLDg-^Vp@FBHctmT>4q?x>cDE zQ7CSERM}5?MBzsdE4+M*!s!nw?Ej#`AMaQA#eE9DxmV$5n-%WE*zX=?o^ZFq#hVn? z-KFp!cPKnX>9fSZ+m!kJTNK`Nv%<4)Qh4%>3g_LRusp1^UZZgPMupuN z*Z)PC)2~+e_bU}HyF%fZ%N2Itpm6u43g5m&;p-PGeE%Z;exbtdj6*L_X3u(s`R6Nq zcCEtt^Ax78QMi4z!VRkw2G3DA`)q~fD;Za?{c?rDvlMPPQ{mPYg}cvC7(88J>S+o$ zovLubDGH6H3PrQR9Zd?iM-^_3usO`%Lkb0>u~?bQg9?p+!mW)8^BWY3MQra=*ix@> z!9s=kb&Os{4`Z#u1vLs=+zPiYP$(E%PGa+X{ytY>{)ucqCtvDC-VmAR!<`9Efwa`*Qs3ePLa#|4X6gXqE? z<+|7hPb!`R@$|xT5T4#57CRW&2Y3kF^~I{Qe!xS4hv7LK&k^FcSSH+LIw0rP;P@r=P^z5^cg=6X+Xfd)E>x-Z`vXTQ`w5hJKCY`(?rI(Vr zJX+KQbx(Xlq?v9=TC{7@a4)2lAyUVO!}-W1$x~EjG*3$95f2C2D|y~$jTcFQMpW%L zl?rj&eFky&_DNUAylRavQiGLtjwH*1!-5rcL?FtXmUm$6iA}1Q%|*>28(b=?p3qFq zVkEg*sd4$1sNXBdQJ8X7HMKYcOBO$y==hyFgUWonNv{OHNO?Ik&`9a4l zysK$w;UhDq-0{TUX0LnYul`+M{CC;2|9NM5PKt3sufDFEmSol4S2d>Sg-0gb{?1>@ z&;RC^y07-U9eJ+Hgte7@+cw3T)!h8^ z6~Q&#R-Adsp*LN0f5x^OUK{t(1H1FPJ=d?|`1kTW)4#56YK$#geX&@1f{rbx8~6*d;c%a@caKWZ&_*gr&qpp zyXLx0BhR|~qDhNhKBcPogE=*syT$|$ z`1z>wf86Kut6%T^)NOb7-}uml*~_0fb^6I~%$`^B$=H)KzCE(Jr*@cY^gJv&KNo~~ zQ=dJtX1wnPt_NlxvL|*kVc$Km?Sw=2#JcqW%^tcZmJZww%m;2AwkNg#xM}#F*eYQD z$UU(K2}kXT?Et3c?TO)fQ?VUr0N0P*6Ppc89f$bHJYi346EM4QPwZ{N$$Mg{J>kB1 zPi!Qxykt+T9Jm8m4_q*1PppN^zzx9ssfZ7_5%@N6({%Vxg}<^rv237G0e`@a!1ciV zO5_W;9=H{lT7`7dcOaOr*bVFpTt5Tx0yhHdft!FW!19@hm%alx0k;F61#X>%aDZa= zp4d;ojljOWV4j0?08@d*!1cg-GM|X@0&WG~Oy;>r4{#&!ZQv&0Zu$=Fb`atL8o(XE zk-*)+a-f)p@PG!e1sDWw0B!uBN$sV{Hm>Na;`yyRU@K3k|DsTmVcx9Pt6u zfi1v%;CkR}pm-4J2W|qc0tO#K`2$;k4+7T%w*$8VcanJv(su;nc^Ksn+zreIZv7k5 z30&|9`ZurzxE`4ODAEVq4%`mh_!!Ec?4Lw>jzs#OLVAGf-$DL>8-XprO~4Jnt-wvd z?Z9V&E$Iy1NakgH!$^RA;eDP1DFcT2O7ZHz-(X;m=9b< z<}XpMz!u~D}R;DX)A z&j9%Q4*q~a;B4T=9}q8aJ8&a#$FGPFSnm2QmO2pW2c`p45Bx2*0B8W4f!V3+OIDynykGk2k*x zShMhW^XGt#fbo9NTY_+aHA|5{z>4L^n=1g_6$lSl1bB%2OAsEgp&a4*!|rR3H;)2r zyb|dHtlxz60oHDYT>vY#AfJH6SHZ4;1=qr!1E9AG@ey8!bOM%dM>zmy??5=f3cyCd z8o z)&h@^bb&xjwe;5FnIu;O*d1IGV?bOYABf%pN78W9fA zeG}B3V>jCQl>)wUmk^Ub1jyPa^6yp6W z@&Q-_I0exCJIW0({!i!u%m#b_umG^0@LvcI=mN$^BYl8<2#+EEfW?3t0LuYuh<^cl z5(jJmtN{D~u<=Wj;~CKNHNpedeuMY{i;p9Iz;eI}zzV>9fJ!$vHvq-~KLE@I#Kep$ z_cu3>0xSqLH4)AXga6DvV_p#`;vZ z+nKS;zZ<~{sxqg>Moo@26;=iq4D)D8J%nHR8Lpa!^r=KFUgax`WmWnwV9J=TBly*y z>8e?P-o!a?an>Hw zsxm7BGpKhq_3kp!OTM;I&UMvO2%{$M^cTkpcKNC^s@hd%3jYB3MF|(*@y0c+%3ql= zJyuZdBPRBI2K;!TtDYbU>DtY7!J;(gL`hd7HflnwxH3?cS>2AIj6J_bxP}R?+DwAj zb0+OMmG)#AcZa^BiLP49qBG^h(wUenD=DW5)Lo-(IWgaJ5UydWt8RdPHH}%GQ5o3f zpB*c1u_yI!hFtmCuKL2J8-CEDAJ$}QcV>)_jj9Yxjuj&SF6uwXa5G)CU-VbEs|;Km zE8dw=mDyre@rTzS7cX+vWekY?RRX7<90RNYeH-caBHclmWY4ztNTKJ0UJLqKPZ*GX zHHPx%xaxe;VIS-7s40~hpi+#t(vd9Hp@(VYKt8OI$dJ|S_74k*BN5QX|>#C=Lr}{&(hCwepg6=RaR!bpcR|n->Jl|F8 zNI-se(vK3c>_n^&LR7Y^%Bc2t0&6(r8X7GAs16?h2G4F5WNvB=*gYJTU1L>aspiR_pAjb0q_>JIS zVELZDqYPKiZCcBGAQ;Mc>qPf?foA#}s*%-x1KuL7z-|tM(`#qz}Qb2mhcp z{GGlzv8-x8S|q9A#jN4f-zkW6E_c;wltVePGLk+3^tw`4ZNxL_tOtyD0_X)RT;-B3 zeuekGt>omFfL{*&&E(tn7o3BbDk?yrq zl8-9Bho!9=4%TasE4tKGJFT3&7x_xWn=!3@oe*>F>r3igrx5%S;bt@5;6Xbtp;()x+wyhtNN zUqiUs>s+;k70QG&;|$X~m>jF{dx>Pf6GFy*oucro@44y<5|Exb=p-?%yd?kN*Mi@T zFPv1pDdQKhK`na4b^yU}We8Wl+r>A?O}Li!$o9;<{@#RGk>^+R+XGC`jjsBH@fp9} z<=>ex8-o~hc|pTPzc@mXyL_`^^=MZ+L1YZ%>+W#XN<4f1OZptpv+s0O5Bi7oYso+8Zmp}X zZz;#r{;uuuPvUqYnSX{mfN%}>xax&2KwxjNTY5=0#al`eLMDB$AzbY*T(wCk=En3L zWBPvSDmDY&MY_`-?KZ4x2fd~Z z`a#fZ+t70a^tv|a?|@zpI^D;+n6IxvZvcHUi6|$p{p=J+exG#Jy_QZu`2nCef&Q~r z^a-HX)t{(;0qFH@(AR<90D9k6da6NJPr2&%q^Go#2PhBv53IbG&L=>xc-mEWlMa6- zy*nO{f?n_|t7lqOMkR*a1v`D!bUW6kV~pn++pjkDx7xoGjc9gN#-vyuw4;^nnBVT` z2-ZT5&#C%{gI*8%L!`IT&-mwnU;b;WU-~;|volO-N#8o~#RFYEOgZnA}maD2r z_v~alCA9Ms;ManGfc$CIQqJx!-%ge@`LBUr^tOGEian;svO(uX{?`l-{uB~~FH=dx z#Gc*J!EOS7F$s1&GaQe@K`(yCRf{wbX^&>Ge=tqvMZ7Yyvn{FpiZX<2c-K`wq9G+5 z`W30|*o0VxyfMss1VSbq2N15}13S-P(;@i>y%F?tnGW%@S;=`J$v^n+->iKkf9I#? zAN(fpuVh5%XH@xNpOksJ?kJq{zq@KZ4JzrK?WNbG(99#4*`KORY7x85LAa)mPVC>7 zv9SMnB7Ga^@iyrDLC)ZWvKlruapUaq9`KOdW`0C?t_utp3 zAN*ARWqOZ+UJrT!^`^A5PG^)G=mS&eY(Mfr&;GKTx|k=FnMg`YeVPtA z_fuEp(I3xeMPwgjR?J01HXNif@XJ4QWggY|(>b3G8$tuuKF7MI`9W&fDiwkF5Zp)PF1_=40d&jVg(ao z<(P#vem&q6)VFV}-9Y2Td`$p7ejM`>6qI^`d9xG73z)~m+zkdD3?|_=BV2YyLY3NZ zY5i!{FjK$KPsP-6O8q*Ba5dqCx`m2T`d3FlFNh@6$x+aej#lHo>UMauRb^tnwlXj+ zR>Mr2d}U<8PFV@XYVKY1lkT7wgWkG64F|m(^v675%HlU2^nxx4b)58REd3dknY%FA zUQjLbp=IRb`@AcXeuVO`;{1b|FRcc@7Dpy<-rT%bi(*B5GXrIM9s*yTnott}yo>QZ z19}nYW|k)aK^|dzxv|qagv;*LwqB-ZFZsO_TxzoMns5&>KG3-i z!Stk#lbGHk;Mbg%P)#;m>bQ`4KLo#`Pr}R_&x9{vgwOh&(G~IaO{nR%otJsvmid%Q z_)QVz20*TSKtdJKb*AmY;0fdB-IzqGfUnwx1=?i}+IjtydX5=w@#>Ut z)d*KSBB6HLaaDRh-|O+fe<#Q4e6}8O-0}>6jV(cTL{Zv_1(9ubiAb)eTy zNT|cD=+&SXPfV!CThR}Io;@j{e%gxu1oeZym~`6?Q2$ZTizX*jzZ5#l??cclK>s=E zD3_LUmia%K;in&U!p)tMP+!_|sP>(mtf#c!aPns+)a}V~LVZfwFJg5`0XIV#Wy5WR*N5CJj2x~4&pHX>sGd-{?{N^uqmNFO4EPBJSf*LS@NQv z`R#-W%j|0s>JOx}>KBtg9DG&z?fg05H~t6yI`HeawH==6-V1*1wFz~kCEabdpXf^@ z(@lRlf^g;4XrGL!K(YQ0dd1F!`5%Y$Ph>t5>()Cnrf{86`URMGVZXqYVB$C3vk`7r z!mI;eQJSw*dIu#K-x%=gb|=(bB#bxXZ??yCKrgOIsM(|=zLxpw>Ws3P{jN!pQnfaN`cBm5mAfpiRr)u!y zx1abPJpg)k8}uhYFKB~)6m+)@`iGzwwL#B-0gFNZ8}nr^=C?cOMf(%#$E3-+^(32G zV~;W5$G@LYBbgs5*P@u4((hcr@OLE4diumlzbu(i7cQ35X7C%pFC@XX?|kp<1wH%D zgbMnAz^~BHtMYSm0s6_4W95{V_VWqI72JjX0^>LShxW5o`)1mRX|YBMnQ+GtuIX;% z*XlR@);3nncl*-94M5@=?@g$UE%BwcOR`@<-u|+(B+*GeOAxO3e)P9Unrzw$=5sUk zf!=KOA)jc+D>Il`FP|)j1C;wgLcPuO+WEHPlySi`;5R&UqTjs6aG>WPSn4?U80hgI zCDgx2w-?L9L4}DwoG|l2Df3YIpcjLF6Vqwm2Ss+C1gg{oRlNjW zLaz1~303(YY-B_l6nJy-)4feOS+Ba2=a1Fmkc`=;mofOS1-0h#ZD`VPD?xCtS`@s%E zuI_iJhY(W}D>Kf6Va@EeDW9X@7yLe<{!YI2S2x8EkAa{4TtXFOf^XUlTaQ!IDCy%0 z7PW{U4(J1adg;XX@dVI|L8lqLi+;NR^m5R1NKBb$S_gV9=zsQv0V!V%dc_|S>VLJO zAE5sKLVu_g{Rz+ujwaNrt>{NVkN+{D-fBhv5OnqOiSikJ(N2K=Q7ifGpf|jdP^0Nb zDf2ADL683l^AOB%n*Cb#6`&o&Y!61McHV}5S%z@Me@>`RSiaMCgL!eR+`NXZl9L1;6I~gxM$M)h{~^q@NrFzv6@R_K@@=pu2y?xD?MQFKMz!e+TqN z&~HkiOZ}&wza`XfNk=)#{ypZywe`P0^6~fd`cHksK`#c~)C>kT^3y>t2VLx9<7Yf2 zpsNpC=4C2e~i>6Zlu*IW^t;sqY`QKZQ{Z+0=KC@}R#!{}B7gxJtTG)OQs8 z`lj@BGu(%uH-P>lmP@N}^iK!QRPizDnfNF7t1iM4IX6`%r+1{D4u@RPX9*QS81G`d z(?Kr=J?IeuhvQcQdO7G{FkaXhz4FxA0*viWT!*K={S5c{iSZl+y#n;s@f-oY2K3Kt zy^&Eq`!LzbzRl!VeKIb@V9G6HAi{lhVm#eJuLV6d9@=R*=yjmKp8-Vn^)5mdcKfQ^ z(NffE^e$j{^zPalQj)%o;X!v&=q#UV(Ca~;EA=LQJ%;>;z|Z!5JO2py75;DMe+YhK zMxmKslJz1?0;cT4a?tUwZC7~0I4mFZI?z-7o#i?P^m@=!+Nsq3pf@vbcY>JAvRv08 zoEtnbo@&sGKyMw-0nm#pt@wK+Ktsr-)jh07cNx4udCikX}taoeKGv%H+lJH~@ML=rLRV-o9kc_{e+@b}kNw+!4stb}m$F zZ99`v-o_WrScn58%xIe^Xzz?6s8?Bq>N=7VFuc{&>8Z+4nBmg?TOjUEbs9PgG?t z<3`5R=Gqhg4t{5W?=0}01-`SucNX~00^eES|G5R4?d3(>8u#ezy76iaU7=!(YT>*r zLy3BLw?cU`y>q2D_zeHk z*U1)oz>294W-Sqt*GH?jirL@e<&9~uMMtiEC%FPWdbY*AvE~LNra~5a@gHwC`Duuo z@UWxg&$CyP#d}-64gP-}6wEaFZyiyUEvK6;ywAeNE&PpzFI)JYg`ZiN*~R3uvxU7a z9BJVs3+Gz6%)-kptg`TC3-7b=aSMNA;ma1jXW?fSW_GplTiDyekrqy}aIS^REWF&p zDhqG6@IDJ4x9~R>zHH%p7Jg=7W;YwZg}p5tY2hRb=UTYT!pkkJvhZdL@3Zi63x8wb z%ND+8;b#_RcDM0c*xSO97EZEou7%4iyxhVn3vagYJ_{eW@HZB|Y~gzrerBO+bv@O? z)N{5UWX+yr@cP}ZNEXaAcwsC0WQ)(WkpCy)Rcz%i_2`=oeSyU<^XQd^zR$|9xAgjJ z4E>O$Uuo%$6^7nu>02$`JGwJI%cS#KOXvS_cwKMtA9(aFhW?1fpY!Nj4c)bR8Z4dv z3*uF7=|?S{|3Bj8;jcbs;%`m=la=THn0R?OF|Umw-MhAT^q$&=T({%Jbv|BP2jskRo;E4)dH6Qd!uvhk(_^7XPaZ!WA3Zs5@%mNk)@KhNG;GkYfg{!nHoULF zG5_(M%y;(r&NsfR7wiA$+k})h2}>Z#C%ElN_HYHmQdgVTbWnZjQ{0XG04wkHQ@wt+ z*Z$6H)z5CN)Wp9E&7#rA^J94ZzK4JlZbtqey*+uJ-@+o-|0{V7&J%FL&B$LF(VqPqU6DCC`9e+)V&v!b_vHCM zB3>W;NBM82d-D8$2Ct=E1)Oj*`rjz?_We=OhapLp`z2gvIu|IvRQ|H_l+emP!Q|Iwe9W9h`C-|J`H_#gdY zY>p?-eO0_F@&%l5Gx^;;&6DT;5MFlp@-6ZQum)z*!}Tv-e>_3b5RCqT`#pKCd-1x+ zuur%f`R_gG$#dO{*JJG6ytRTH z34aOh*W<^b`@*TS7Oq`~W2qP7r0^0Dlvd>Kx>Z66NdDLuR1yC%xr+E^4bK@oO@w zs7*$KUl0oOe z2dVEGb&m7F$fKmR`ZHF0WHRP9Z=6zn!JtwX~A;<%~>CwuMPt z0{y@es0E+yW#V45BpVrn@%p(Kk8k8Im`n>IbsINcv1U=ZIt`EI8#k`Qx02NaJWF}t zyHCqjELRT!XI%JF5>8ZC|Bz5}j=bW(&&xqww{YF+OP8)v?Gf#=HA|OT)J;Rzs_TF= zkw*Bpz%E_7@ru=JN>nDwaz%;azn5(^_u#Q~>4sJ7S1w+i<5bc)`J_N}|&W6N?(rG~#makhQ z#TS?2V+ZMV6hgmt$i}i2YmnB9`B=6HPdD&s6^rq4KJn|X>Ti5PO}!;Vg{#yj-ecJ+^>a*)maSQd?*yuRye;GrKg^+uI} zta`}8C5zQc;!EJ5>%=AtaQ7*80$)Kf{|+IgBBz$k2!^nAIay@t7ofICC9CixXenR5 zav}Uw^q(X8*=Z~U=QgBv?V@G)J{`UW4PRQuPu;6x#G0hC>KJk%z1b6%_KtMXI!?5* zYuN#vx1XRD#3x7b3EM?w(v+Kq>J{_@lVrxIzRHEKuQV^J@uGsg&B^eVA0i2O^{g&e z4@8Zeb}2=9nU^X%p;g_`RYKs68%035z&CCz!)H&``SQ4= zbkQ2MN*-6C{;!qrpNmhjQ+f-0m=3ma(`bpG@mk7B|>9sYb)KgNdjK1_azau z#p<_74Bv)au0BYj(lUkMlp8m)t+$#+CkfEBB8gDbt%5PulBJ8+FIR_?T!a5ja5h#d z4o^m+@u`TVc-z=iuNPwZ>T*j!^M{v#Iw0h-r5hk_{PPQ;pw!FYW2z6Tz{ZXC8Sf$( zv&9rv$8w=BT#N5|EGb1}=tX&-r7u~u$|FTldyurXLPGPrR_#lnm?lE?M9qSb)QM#J z4+s(8-M}|e(I0?&pe&beP(OnM@Cnv!kVjbVeR-hOjvKCN9NA9VcftU9M7c`FX-{4` z`1uqE7=P)h)JgCi`;KJ$j7lUz=qy2qql$YWKNJxxUcU}*y{v4be00#08zpiaO}qtV z8A$%JmFtj0bv5*|gP5U*?DuEVVt7TQIG(r- z9nGUiN%AW-_A8JjS0n?lAJm%dWovjEuO&=LD%}%q#%M9Ah+pMaJ5Ys^6n-{UbsmsH zfzP4>u2k{iDa0jZ>_+~1L<$LV{8F|UfFnkq;?U=JNW&47HdG|@LXj&)f`gqwaM&08 zkSJfV(5U@ohQUy?;JKG~uQDfJXE*L@^I9NXQ0Z%==`Hd{Reoqw9A*7uot4zdST_8^XNt`U9B@~WfBlKb08enu3aLkpM`@44PLu(ZOPK|wSzZ|8o6-f@WD&V zmJOCRdC<9P@Z$9=%1X?=Wbkr)TxrR`p@T*bM$~HZ%=5An=VlKbG-ULkAxc+V2d(_% znHQ6ug-0}!%9}Ox;35j@V1mFGKv!S+G6IuPA$`F>A%V`6n&xXqpYdn3L-!rLc06e{ zBNzaC8tCnY;-g^=p`nIe{4hOEoYj*IWl_evG4`e2p z!NE(`tXuJaXoF3?kbF5(SdQOQdJ@un3_owC^RS)4%t1l?FsRq|$v;>DhM-3(~+{S&v$?eh38TF%TJA`n26nt8oY zzo2ZjP*=j@nLAf7SXZ>WnY;Md4rYFmjGKsM27Nu?b(sf5>LqIY0UrawW4I%IEDzr( zhy;dYB0<67cziW5@DBi?TC}>sxL!<=JQd>BiQvilBSC|q(?UQyTQnZx;laUEESeQ^ zs4UB(*&$Ao1-n?ZPiO}08tiJ(g3#+kyIFKpXdN;W>~2vvG?uDPwdj=4Ysg%%r$viG ze?oGC*%qA_x`>I))o}n{UU3L-d=<>sodqooUBYDawmB*foy0Wvv*^0e14IYtA4>)| zgf3>-0zC<+Kd(ZE8knphdXC`N=n#)x4Gz`aq1&HVsYAJxAE^fjzFmhNqPlVV7b0Jy zLqllJDf(r>_vz3m;!`bNt3%_6PqX*~Iy8y+*%q(Up&7)d>wyyfkPb!hVh+xf)cf=5 zb*Px}73rZ8|1(;JzeXVj4+bAVy0U_YX_Ck-XdQz;H`Y;+3#su5W1L`wpTr5)o6?TM zL;i%|fgruYp|dbw(P!~MD*Z#Kg&wsds8fK%C>spJHu2Dvmov`E=z4}WJqI$pDDpE{ zF|?UJ=*tVT_V9gFsVqECGx<@GgCIF)8!RI-2ct1(y1|0VcMO`G8B}`GdUSXzv@@$SGL{?K1}lm1FC5M?gOx^>5nDcv`Ohosuz2lI<&+w1WhBIKE5=C#t0FUez%DW2 z)uMe@2qF~{CWFyWDhIK<%YXoN4{dNuP|t>j@-#4ZZPNp z9qC8(N*<)+&wD^eo?_Tdvk=6e_mGY}%N%TGUj2DTbmXT@^Ol8zzNjNFP(4CAdb zXoW9wF2i1D&~3g*Uq-aupxb?sY9G)Y2Ceo*)|2}^gVy*WPm_DSLHGJ1Wz2rHLHGG0 z9Vxuip!bAu^`hkTL46u!}*KY}pP zy#{^Q7kQQu-84yxtj^Z~(-h7vtHrK|d>uG_=iJKri1PGDjch{z!kcj?V2i zQF*4RVhKsb7^fV@!2+~(S#TDatvG@+D#1IV0P)P9;1M*QtYy*w-VAETXQ8gSz4Dcj zx_=1n!9z%VqjTpjWXV*w+QE=yn|eOYc^A{h`c}$D;BJFWh}=aB++(mQkC zKmGe&gB3+qFvIs5Y)&MHg>b*Y=0(aW`9ntS%UckM;mzax$nc6I&r;{Z1}llsr=3R( zRvLMOvDX=_%v7L94OZTPlabD2cZkjnQWghISyX6aPO`*28s?#WeckASKe-Fp?lcYY z1fzL>fXvA&mX)YzKJ}hl&PQoCag0vvw10pdzXsM*@vD&q9lsAoL`(Y*gpT!RU`P$@ z2v&TcNX-+eVj5QY_@0an`5aOw-3a5nniCuL8M?)6-eSY)C^SA>zSs!T@j{apI5yHW zee)t)3&1;_K7{r@G7PDYjmktr=Fj^)JMtRSFq$d!=N-t2d>`@0&R|l|B;-W?Nc2qR z2~9#ya=>U_&+(#syXLH0M zVhO`+$ca3BCS(goNzhkwB7O0eh>bUBV@~9Hawiz{gPh3OL?;@w2}wprJ~nB-$q=F) z26S?{pcT219}fXKj@afr+Rh zFH+BlF4`yD?Rk*~Ms%@3Yw{wSD7?_1`|=`hl3Q%h+PuiYK|mKBlCTftMYa)LZ0uZ@ z7s(t9bcsO^Fu;%reHo5l_)nFckDGxe-I1JQdCw2=)Nk2%MV~;1gJE z$^yZ$K)Pu9g$d0*BghMdO!EmDl*{pKG_h=rFdKm^-oWQaP7R-#H~f#l0qcPz>-{84 zk|QY7n`INtQ}+YGUqPyiX1N^jCj`^(BqXXqxffNEp_}oX$^y%jn9tfECoAq0W`#O8HC+E zyhr1C)WjC>EW{blH>`NXk47%u%kZ+4Zw{K#cyGoKtU-d}dcrMu?yyYhiF{yt`Z!|N zljtKHAOwP6L2p-mE7Pz@+|d79NOoJn=!zMr+d+9`i0+X5A@urdWI*U|BKr*l_3vha zx^;ms`*(}~b=6@c&A-A3cEPyF2$r@8mWp7Hrx0FadS)18PVIRZDtkVH8&mZv^6KAc zR4h-bxS~bH6;#n}BpdZB1~N>x=9nhq8Evw1BLd~DA>~(^qB;LW>hVlE^3JhPkLkGS zKWg8PmxG6sR8d>`d4QTpX_Ca%W=NSa2!vfW80RDDaRdI@z`2ME-A zp`MmdUG?)QasMnc=p2op#was65b4&5)|<()G&!0nIm+LNGSxrR-aJXKQ(Z`ys{tB% z#ZY7PurPpl1<;qWNiW3m$un$6+h@jfA>F;+ms}T z)LWM8x6$i|Pe$Y^QvL2{>3pB6L;jNUmH=Y@@emwQ-(iTwmZ|sp zGYo7-y2j=qBlo~b^_vX(D4u#fBPcm%A)-EOV8XY_tU-PUtfLLyA7wn;Od3#`~7t?1|0QLn#)8d-Rll5s0?4bBwl&EY$P${8ri+smEUJ ziCv&8T8XjRUYKjNZLv@v)Av)X<)t%}Zz_+y!xQ^dzXvgC6;I&JPYJDtF_t0)tK9F? z@TnA@WanpAV}qx;NcW*wigBpnhj}h^;FJ&x^>#fgUE6TJEt@U7W4;mBH)=^h1%m3W z`kM40lC|wt^nNeMKQ-55C2J?}(y}&`FW}F5nV5pTZH#?(r`d4lSjge_$ks#?ep*WSX1xm^w4R2;Q!S2_u($M=rfKmQ1|fjo2#|>Vf`>HpFrU7_m7P>Z$(w+Yr0JvP(R%nSOplCM9j7n1%eIM%#D`^=N;J zh!TGr)Yr%qS*T0(5VVitZzu55{f$vn+h9NNg7^2$L-3Sn#7Zw(wqqM2-QKsg4YBT) zo$$mu`|e8@8?nr?)>?QJeB(W3Dc)nA)rd`2YP*F#H1$S$Ws0RcKl1^9SMXt|b)z?88CbvP z=VDIAp8KEND#do@DB~OpEz~DvjKu4)b@qN|tBO};^ummb+7SD4u+i3~z(BnsV_Uk| zh*zx0YZl%Iet~9EFUXMQN1D%iHW24zJk=`j3L9vZg>NSVCsG18G4SY&Z31*5B%u9u=P8oC4StE8>y}K;jkksp>=&fL2opED&U@3!d zhM9zgtdaGn{=?~F5`7&tEYA32I!iPxv@O`xUQ0GG6O3&uv4@W8dY8J?&fGhM31b;)xyh z?MfFLQEc1nUbguE2>xL7!mskP2mjGP3?^yvH?3Hf71P_(ns{*ym&H=+Z%U6rSmk7` z^hdH!%yB>KZ(}{byIc=#L(F4e=!x~#^V<+xYS}wHv9Q0Q4Y6v=uJy#;*Y~H34dX08 zEt9>>_X=4}zwJF@|-F<;>+V^77CTa_AiE1ne_!)twk9A=ZEkMMRMZf6#N zHRjiNvhq^e{~qZg5Qh1u8>p}L4U(|1xS9J9F^@gY6I<^)uMM#x%U=ews3F^~OoPi(&L_pQX(MgGRJV_wsJqc4S?3%=A}fZ!=r zTP)zQ!=tUCp3~>IA=b~b$9iJjeOI+1cB5q<_QZPn?`=cOWBPwarNYhGGTrJ@b7?DIUaU#C^HK=32@OCZRFsz8uagn{5LxKF5( zm}5@hYKqPPau#R0xCcUCE0Y^%p6P;vIS32&o{!V>)vquJ;j0)cb|HC_8+Z9Y+{ze2 z{&J5fGaj5OWr_1Jo3K5&CY zevIe?H(F#q#7;Vs(L#)iK<#tihzY{4(snqVTEXF@U58Nyk@$a+uhcj-b_LiSM*kQH zg!qc;aE3)5K#6oX(;)6qj{3()EFpi=0CF%@XFV=B;U$5ddbei6EpJEj67^&5iQ9aDjEdJ_$uXLn2m zrsz8bw>zc+Q!QR=c1#7PS={cJ3Y=|myJITQYR6Qd)sCq^k$zX=f5z^Z3fLV}fx|S3 z?3fDt+*rr#m-tAag=o>U9a9WD8DS(I?9T~6VJM##Yo8I${Q)@f zSJ0ZMcmsS{$6tajU%Wr={`de;GUC_Zxm~;io-^accn-wxz&#k>4oWEA1<&F5Oxz>! zZ*X_iNwOU&>~hurTel;Hr*g(u7Q@5S7E8*_cBJsx1~c1{!s*+Q!ZWC}gV~N0p4A%- zMMrK&3K#Jarce&mjs)Um_b_yaXLBW|gV~N0UNkO%n7POhUd%@X>xlLG@RHLJ)Z2~} zE-}e4+mXUc4Q93@g_jx3Y)1-v+mSkQJ5o4pJ5qSXEQ!EuM+#qJ!kO(z;Y$r>wj+hp zwj+gClb76%6i(lc6u!)G?RKPa`gWvn`gWvn`gWvn`gWvn`gWvn`gWx71{0CpjugI< z-jdvo6yCHDnM-a*3U6j!liQKPTUHBdwr0_O_+U-c;YYl3*BZaFBYPTbWuQRCKjucMcjucMcjuif$5w_cr!q*$rZbu5I zZ$}EJZ$}F6GQxH{Qh2vP?RKPaayt@SZH)@2Z$}EJZ$}EJZ$}Czwxf~jt`;~>cfi`$XHchN%LcBJs# z1~c1{!uJ@=Y)1+oFqqkn6u#GBW;;^&K7*OB1_nzE>{+mXl;^Q3J@3jgF$WV?Mvq)Qkb zruZFbY<2u~G@icrW4Qa{H-M56&p_kZF3#nt%y=Q51MxB72IHTD8;aimN;uvNl!!Wc z8m;T(4TQSR+}Y&hGl`wKv&rejmL0K0Pn*KnzGY`qv_AtwYTznLeap_K=#X>4?O=8` zMTgBs>})Bc!|8J@M>e9-5u|%No1!C4D{6K&MNg+YdOMqJ-2 zq$GDXMbmdSMaM9U{ye+0DVn~sDLVEt(QJ1%MUy+5I>IDTmv;B(*_}<%gwbSoHbo0> zl%RHJQ*^vR?arp?1cTb0P0@)4wL6=llMb2;nVn71$}-n8HmdB- zrsz3Sgll&;MdujQ?re(AEfKEW*%Up0m!NiMQ*{0zLG8|_=!LHeYIin87nq3b&Zg)^ z+!llUnw?G2iw$abHboa2)b4DG78}&=Y>F=Gh_MU`-|TFPE;e?yJDZ|Q3~F~aMN4dc z&CaIi(!LVb?re%KGfkk~*%V!Fi`(pMik99lesmN&oA^YJlqzd?Hqnm+Z?&_D{~ykh z8`Yup+X$Zu1UGk`h^M2z8%Pig-r7a8I+gsY&5ZKjWFAj zEZ)F>JIQC}4WGjXu>2sHpoK}6Bu7xDH_ImaAar3<7Nojpmb;CH5mFYsnE*x?9ibIJ zZD-RZNlE%ho02x^dy|rMpH`BH^Os3JeZufr4q*Ky$)bN4R%(X6Nb>0e!bhksc$S?_ z(G@Hv+1V63bt0&N;Mur$(TgZ8iWpIr7&3he(e;q9TZrWGAkyP2X7P20mWP2Qx5CKi zwuKHOv|5s`$5FWG9gKu%Yk>)=YlNFoDI2C&ft}2; zLCagyf^P$!FB?e4`e~TMz|Evfp~!b0f?2Y4*flZUulOF=Q*;`3mrU@}lGDNKBXc3H z$cFsSp0@-#K>d<2Bt$CYueVgySLYz-`iR*|pw4nd_)QCMg1UWiBuDmFJm=%{3!vp= z1IKed`uHhBk$Bm;@SN`saEpPTwg?+~e6;8-ziNv&EhaZjHsG3Z zE(O(n(8#k;nEIO~_2Y*FgCz}iC$K#3n*&b6e?^>&$H1xm{1GO7`viLoSg$FJ?p7Zw z+RMaewBS8Q0zXFlHjys{PRrabmU)yIHnQ)TkHmnhW(eOTl|`s)U1DLI>J}L^A7ig%8&IZWMT+zc_l*xrzb22-sc)T=uc%& ziSLS2`p6cP&n()xkL*DCw?$9xBO6dYw`iw9FWMx&W+DHSI~WxN8*b$PSc7HF-aM;8 z?^>ykE&L)Wtz;e5NSkNueX&wOoBh)|db<57(&kweoMfd=wa}y@Gey!o(_0^8WAusf z)(R`1NEjp6!6f_}x-s&{QujUpjYj@hym!cG7*Xop$KqjE0;h)^6A$|pG1j$DrLKKJ zly&Vhk?np4P}H@53$_%PxJ8zY+)ISc@Ta@QQ*`Kmqf({eFo?d@pV>%ytJr?>W*wjZkCwiHpU|pOw7Z^ z-~fxxSWA(1YA+*x2c%($crW7}coz1dNzLBNioKMmRP4Qrf$k?N6Qnm0eHZA65sd5> zx@K@JCNyBFeXzYMCBkutzLjbP)`Hz%O(O)S{D> zI$iwo;ekL;7q^s0?D#5lLBe0Q3S9!VGb#IIi>y4VQM6K=bGpyo52=(g8coi^@kYaT z3-#}xZzaX|+HWm4!&*@viou5cPs01Dpfyk4az)U32H$eXSlkBcVc{7F`Yjn7#mHPH z_UIBXf-}p3i_#}sl#XHA@3IQLvekE{q>~ic;FVP zGrK^e2X2u%gOAD?kJ~DapIvAjKig{XH0f=v+QUY+hdoStSY(BNHPu8r7g}a?@uKY} z?O|ZNiME@xhx>_%qjZz@aLEL(J?t*+;pfDqJ?t(`+VY8b$8)HmPu0}y-&41>H;(gA zx~Ii!x1NSArr9DrcM=+;kJ*;wNcHG-Q68Ri<@rVMdda&#PhuK78L~mv;(WpR66z&d zegsahe>0oCbGP6BAA5F$Oer#=i zI}9_uA(m{gVHclm%&Pph=`9v75ml>!=($0609^7o=($II2>i!;aY3aKPUOLmdbaz3o2iogLu&C}Kcyt!n zX!=j}(U5b2^^!W;Q{Jf6#LuC0wn+bx(iltR$m=&!gy!fOn}e4uT-43$Ih>i&A282K z+^b-Q$!oENy4bfJfl`b#sNS-kxA5`*Po=?`M(H>U^)TP-=}N_CJ+^0W-7BpP9I79S zAhUzSN6W1$Z_#fKvfGTZF+MtgKDrYm`se`q=+{KVM+eYHhtBqVbRbQ;izxs8tOv?# z6{vC9!Ranr;JNGwDTg6^KWCoFVIrQ51ZNL-lU0SX~uznK*L~7nZaxZT4D+NmMXW|v!QU^bq1;hnqt z)B+dbkzvqJTy9N2%HqFq_Jz+Zx8iMK&Z=m)i;p;~f(xsA@MsrS)6WAC&g0;Qs&K>V z)+pE~bI4Ob!o%_SYUaS50Fhc)AIq*RFCXSDd*B6uW!LKk4MuoS1(sb68jtXx3M{)C zG%Lb`DzNNo(Ci2gs=%_VLHk5zz$939HE2PE2UTF%)u5vyJg5T8t_F1@Jg5T8t_GbF z;XxHxb~R{Gmod;qGj1N!h^cV#$f6Pts=%`AV!^M`5gt^5W!G1ro6D{d9#ny4*Y^d-G7}G~ zNLhA`@SqATyY7(i_-8v0s=%_V!E1Gd2UTF%)!+~42oI{jva7-Cbc6?0q%6Becu)nF zU730wR1x7O4J^CtMfIU0tdqnAYEC3!!${h2UP@qZmgrCJg6e@gfUJq%7ZEb z^~M-ncHPV1!Ka{J9ESN4har{zA=Ga?DwkdTFn)|~C+nrbd?sCvTnP5!WBZIy?s|y) z4=_Qy@ZT8!RKrW-v6}VD=P<&%FA#rdnZZh9`(DG;G`2HmTpJOv`Op6TN$5K*225xU%P_v(D2;F3w%Q_#I`a-u{2bw?6F1vFxd1cPk{*C zYp|l|3TF5|gUyNZ6o}CM2AdbU}o7h^w{H~Q1rkYUCN&M&nH4S>`XoOm>$1>3QZrJgT1hDyDI?q{-`HUTr(V zkD?FFleM#Hj!~=(e+4B+#+0L2_NML03uSagkVkh*jOQ}OM-jxtc&>@@G#TNZ%h7|L&FIgQ=;twd zd3-+^y`X($crlmqeI+I5GbMUH((xN+{W3{MwvB&(OFDR9xTK?;>6nW~$)uy)rb9*| z<)Tc|A+IGZ>5#{2gfM0h)TCoA`$@BuBv3LD#>Ec^q4@Wk~2vk_k3FR>0iA9#Oy-~D2; z^MIRZejw4@K^)OMC>oCfL$;n{s&ln&w2D7E&jlUzbsm~A@CH222(mRR(hHys#7u9t zW_xkgd|(*eXKTtm1Z;q`0A>a*aRG3dSjdsYd=K~_6hWR~Ul2nn7_1aso*_G!kbG${ zo&i3TO8W{y zhHjM1H(4b+=DN&*`V!gY$@tkQn>XkI7c9V}4FAskCcR?|uzcA0Et+{GqbXuEAEd_8 zUmvEkysdSOReH{Rqx><1cH*Yo-jZ@Fxd<4ddQ05kPrytWza?dyd$Ct;Z%JY=1bNUkE`a`h9V>NkIAMmk-1{hVlhb!DRnU{{dMY_DfoQ zIA09z`6i(YEri4|O1w@9v1*+Omzv)K{T}msqp2LlHm^r5+>E&SDFIVCZjj26Q4C!E zetv_b{50UEa_p7#mJ^3nZW6292kdknZjR*@wxXzwe`WzLHW4=3h&El|lBr%RR`RI= zDp(>4IxPaZr#^>)j)(~=tnBj^o(>8A2PO|jdY(nQ+62)I@`(g#u%gp1bfHbD8z8~2 z9b_ZO#|-j&5b|L1V?;VG#vhF69(}ENp@sVEbklvn7_KroDY7yzS-2WX`2B=|m}uW3 zIe8Hj=4-29{gN3Sj8ucy{kP9Z9W3!<>dALLn#WN8D^Zl;@8QN@qzbX4m_Gt5 zE3Ei$1;>x)u$lofMq19-DOiRxR4^t1MecNfUxt;z;ws9it(G%bX>!M$!D_6p9CHS% zF@Df#eFp2x2p$OD1Kr_0xXHf*O!5LjCQPW~pj8shsjZ1XWNIsYDpdqaJwZ(2T#QtQ zR?vs-v7=0~ch>0lA=t(&lXuqWQLr8MPm*ViI%#K(I_&$MaMmc5CeIq>`KI=)Q9g2( zDNMDI44W4_%jC>{1JvYMqn%J&9kx}@Vm>06Ics#D=y091vql}xG9}L%bvVnEJZseX z4`+=!=B&|tiC~q(S*GM!qYh`8l4p%NoMlR$HR`0FHR`0FHR^DdspYIuC;hBZC;hBZ zC;hBZC;hBZC;hBZC;hBZhqFvAXN@|XWpb{(3U|&jIp(a<;m90knH+Q0DD%o$CdZsL zIziAEwUd6ahA!RHM&;HWS`$LXN_JW+*-fW>a0VU;`4gP8Osr+1brYx^*`Rov`Q>tcl5G1p z%alB8)Zr{s@~lyZvrNgeMxC^?Mjg&FCC?glILnkgYt%_QYt%7kjWTM?GC65yjXIoV zN}e_9q@6YDaF!`~)~KmKk1j^me0knkqm`o5o;7O9q5}W=qB+SD^Q3L=cYacZY{#uY;RBYxK_%w2|169~(|bq48N1 zu@R*6Rl^oIHqtbG^Bi;5D18V!@*U0)#YX)dlH8H+yoN%Jjb;kDBi}J+jWQ|Rk?*9R zHR`0FHR`0FHR?Qt?8e4^C7KWAI6Mlf^;x5PMe(ya;t(xojXLJ6(H1S zb7*Gv7nq1D@*H#4=oaB_&vVRKqXwm8?-jhF=vf_U&20+=WH7U z?qXx-x;!UyFwi9iJ(TB|vqo)x^Bi;5=wlN0**s~tV#`ds)sQFcR?AtVPH(CzWxvJG zvqq)Cp+`zRn}q|^!J!`s-fBnwDX>zO+*pOtWcgvdK+p%W*}y(3DZnSN*pvl=c|f{o z`h^M2z7fb1giP}Z8I%k0Y&5ZKjW8R5EZ)FhndCF`hJQUcVDXOvCg_nQOOhif)9dZX z-vM1Xn-o&`_Q8p=;JupEB^&=G$1S=>Q5TyhNOH5UiIqNL64Xl4CjC_KjU?Ttl_WHA zMbYr-6Nb-n0IM{~qJJ1xYKAJ4eENX!5$Ya1+p|VlOr0~@XTJebd=dJ>D!vLmO&y<& zI~GLv0l=*GIh`?Tl=iEAF4ePbo7D~d&pf7sZCgPXVEHt-FE6fqKZLsWy{I&}3>vcA z_u-7WQ;rDQ_w_We*V(=wRYQaFP45e|e-6+~sL zKLBI^; zw~SM;u^+NM^;Gcn4Cc)n`o3(3@|eEP)}>^JG1q8`Z?!OU^u5r8y?n9-pvf|>zQ~0x z44g4a=Vupl|BQDqHUKg@XBj3DWHOSrI1gC0M07Aq z4>eZ!Sqra+7JkpMH@~x`Pm*|k1=?xYX4p~eqxxu`;iAu#bT-&q5k+bM4qBnq_$gbohS88eH&69_f=2o)A!P)BoiLjOP&5AB{ujVJ-okO$E=iT zwa}$e)EO3jfOPW{m?kTiGAr4o;P=;u7+{Nbt>7Olyc=A8BXa<9zL{R-UjbJig!PlF z7`Zp=8D(#rgtGUZWRxv5Ro{fG0xo1DkzW{q%e)dk~~SV$};70&`GR zOvXDB-nHQ-S_uE;H#tr4w;BACWbk*-qiYS(==SL6By^Dt^(zZIUxL|s2LGZvV)#A% z;&PCNO6*4%{7ImLKd$?@rzvY)Ta>U_dt!hN2%_-OFeauQQaLt zjk<$Y9Zy5V>8;FZkyZ1xg-0RG4~iOfw^QBlGEd$2scs8V9JhG~d5-}RXQ;K|e`ldS zkm?M5G+ReqEm5k)EJ=L~f=|#DdQBDLpjEzxa09y9+`U;Oo zmyR+YXG-lWTI`ahURvxDs8R$O!8yCY9?nf@J%V#~fg3EsIlI7(7U4W!+|Kijm=2xo z2eTQ`dgLpNV8Gi*95$bA-?KzigL zp|n1z1!|4eKJq+j=(pBTi#^%ilCnFbFYK4`R z-V49PYKBXG?AnKQbl$BXF#{`XQX751^VuZ=wb;uRPhru$EVaUms4tWHzu9{aFe|F8 zUAXo+-F>P~RX5$_+zFc4&`lGXe8?FZMZp1)paLckQB-7XQ88cu6DX){jwmW-9otdI z#3(9bm@#0^h-t=2df#`gUDf9_%>RGi{l5SH_ugmvdAh3Jz1Ci9?NIwvt=fC-E}?{D zt%QqcYF9QRBy6(k9Y!XNIdf5S)-lQ$PIQd!UXTK-<4if$o>AN47>)ne1Egd%1W3uc z2>0lIX6%V$G`sQ!5Y;i7T^>0`b23ArKsGMj{o@^eKQ-biCe1cHNXig_{ zpFnkt=46IK$;k|bl9L$`LSq&1n=0C8v>NG^b%s zo@^eK(=aDbHjm0_D#wOG$$5lTBB4-n9@W~>P$)T% zY2|1rl$^9sD0FtI<1*NQuEFW6G8vtz>>rXE1xTUe@?)g#$8ni(e+Hq63{uVF7-*sc z^3bnbApXllr}Lmj=I|vt^U__2(Ydu5wg@afCk&gByu_2H&tR}-lp@!cFWaLfH=Z;T zZUwoR*^K9Z{c3bTF4O zq{!<0xFE$7^Dw%$=6N{G zQ}`+kTbLq;lV#6f<>uH$AA=@xpQkM^sN8(Z)S`izbG3`5)Xz()CC_cN<<*#c)+n;P zog4CSBV^6i`2J}@}mZ}C;ZpB@+D758W%EeS`cJ`EIn%=<7?Ygy$zt}RCpvFy{ zRbGl3kHuNhW;$x?w=7jhl{C*vxUE4Fcl%aJ9pdas<{&P5?hu~>#b!n)G*w}oZB>V- z4C*Kn9i7;i#iHbO5TNFGsu>rT4zkUP|ENLDEd0uv7#>Ee#!GSBtLFA!lW=x{iRbZXYwxED^pLm?ij? z*dWz3TP*m91Qc^lmhJgxGSjbBQN300&jv+|-K2_Ei=wtmp-6h)>MY)KLxHL2bWv2o zqSlHcE`m8juGWGDCF)2;H>x7%h!l(IOtEL&19UB_q8mifZOGLX(r(CN7uW&BPH>Z$ z{f$+6b3^HF5mlX+fwzNcN>w{lRgG1#utC*WFI4rSsG5yjEW__r91MR+q-~(I?uIW- z<_nd!%u0FCo}UHF_DJ04BCgBv5Dy`rOG_*R>gG_y=ULB#a|bY$ec539SE8-!a%j_E zE%N1`2Pg*&epJ!jtjmX#Yz>-UZw*+nCh4ihT!(Lj})Z&k^C5c?G2@EG#oue*7g&DL3eF}GQb z-Ik<;`W=`)6wUk*?IeR}_Y+|Wbajfc3t;nI=`Uq5He1!36xJnXht=+8uJo*!VGCDS zq08*~F=XwI_o8l_$@G~BZ+{YST?3YFs(bHY!ZKY&9p=tCN=>{AIq1J+(SF-$s(qGde-B8t&l2q|Rs;Wqb$LjP zIVS*>y31QRIA*8Rln)ZHROobJDxIK>td0r&` zeGz{v(z@MCd)_yTKzkRM1^iIP1gY+iWIX6n3)%h1!bekz>2BU+zAZ-Wv`WG^?1|w2 zEx5XUNNYCBlf&&u>$XQR$Jm}{XxXk7WxIf!{->c>*&F!A1lLHt2XKi3=e1^d)^J83 zyM4KT3s1&;xvx1kg`5cgalD>)wFDzKpZ@{gm+~+jB-0vIbbR7(Z=RJ&b zsxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRkn*MT+1$XH$_y65- zPBUKavEYs`!O_co+JZaT89Hsjo$L&qw%|@)?$Z|B$;*A(f;-t6I&Hz7>;(roYVidmwWL7xEMIZH-ITW37EL=ay$t+>ZOK)@n6nL z@+PbRSwfC_6BkHN)R3cIM#xcbveKfpZxm{0C3({}1IVl-uZEX+RmX<-A@;i_0ej(SHZ(vYKGM#xbw3^^)0 zAbS}hN4+IaivkTf>K&z0G~}q45pvW!mQUI-y92Vvxu|ITTHOKJTc*(Nfb1Qw(1slK zmMgqKcR=Hv>`{mGZos9quyBxZOBpYY=t)DsFxXX z)H_G1Hsq*xu0p#5vUi?By92U!zCs&v)XNMx>Rq5zy92U!p+dU@vUia}8*aAC3cR=cR=PgP1n9D(vYLx4T?16sCT0x4LR!Fq)0=KdKn={ zy<3!~AxFJCs5%Te>TOk;?ttvwrAR}LdUq?*kfYuiDa0&QT@VD=m^4_-(Nd;C$q2_DDL1waOv-*FIGP64M!tA-MS2T4P#o_0=IE>mw;7U^ zVM4^fE08#LCfvRN%?$( zIw`5>2TH4u4i&yo9%x@I;j7sKA;l8ft~Yy{IjBUIZuVw@5mcnKnz;v>c{ay_hMdnw zIjc3N1AiO2|6rC`t`F=vxdwAgn!w#IAJ=zrB) zU1VA3>-^wXe5SfG-k=Or^$W<+%`~O1`wY|eT0OTNmjVN34k5$ik-mGW??)tc{*qbl zWnH&EE7Y)^8s;m6!$IIiLbyEcr||Cqy3(ch05f)%wQLVAfR=7&7z9nyqOZ zPI)aAUn>n8DxG3E<7*KvHd1~y+h;J6sQhZ)d27zn>>cq@_lFvyu6AR#I=cU>KZO+y9`~^gFUXoVQ2HIJ2j@r3_ zc8(zsJ2%kI#eiz(CA4!Lp>)?vc-P+rD0a@U(oYEOyiDxeCppv3(&e=CN>gidU2D&{ zN%lr2ZlZ~=go+xonyW<7KFN&~T|`AUnL4ZBi3UYSf}(YCBNhDsMQpPh*V1+M zsoqM}8p?04&DmjD3N45TybwJW4lpfsSE}BNB;A@@=D{?>h#*>iuvwegtfqT%8kl=Ut9< z-u2S;i*zIz(~EPVa_w9wXBhOwoO@xEb?fy+g3Q^mI{tEMiZ$T8*cyy<>-~GqPN}-} z{yk?$7c>4JGG`}k4vwxNbW_doqxvtsb?$^oAj_+po8hfaBA#ymfAdx6aM**14J9Iyci>=Vp5A+)Qtso9V4{Gre_g zrnk<`^wznV-a0qaTj!q2`1p~x&Rz8=3Kx0n+|yXr$Xn;Geo>(H*14J9Iyci>=bpg< zIocq|&Ggo}ncg}#(_80edh6UwZ=IXzt#dQIb#A7&&dv1JxtZQNH`80^W_s(~OmCf= z>8*1!y>)J;x6aM<*14J9Iyb{x=WgV*{b&uLd*v6>CY$2ot#hyXR;bon=Qi@zv4_iz z0dF1ON7-WbMiK8E8&t6M-mwYO=~OKk-n%bx&40o4-tn#zsWJQnW_a)1Ef<2O-aGf& zzkw_s9Jg*A@`v6#_d520(0k`zuSmUjZie^H&G6p28QwcL!+Ymuc<hC`|r5B*dIpKB_%gc{qS|rX{Nu z!0|w5TCy)YPUuWa_G5bJOiT7>M~RiHGc7rQeJXUOC99W%GjgUS2hzgGnU)+xQzB5OiN}s(~_xnBG~TolN_OvtTQb+@P$_iO)5K$?{_xmYkx{I@6L<^YEaH8@a^in!;pFH-YuK%TIE;in7kM?Ju=Xa94)|r-Ep!IB>X~~5OturloxGk?b(~?IFlDyWLmON6&G3!iA zW;)Z7jht!hk#e6|XBzvF;K-S#tKGPp%giITAGMfe}f}5lDN( zHHDmDh+Rp*)yA;hRZPSS+F_9_c7#Usj9q?KMV#y-`{lHz-xP7O`!wQ&&X*%P`-IZj z4j_FKk=Q?!lwP1DQnl#p142ix?zk3bT5<`SDbs^?B8b+5CYSqq(At`-kSn%WUUuX3 z*t8R-MQptGm_;-OuVQApPHo<8U&pGKr(iL%t#s$X+0jvA^Gp*F$Kmy{kZonA8CHpz zQP>KSLNvXQU$RV%(hev*122dnnpL!h`3{h%I9`1A0Sl?aDavF118wTB!qmTGu(e_9 zy!atus_aK{kma3aQ?URqpQSiREKFJBzdt1<@;AWZA$avH5vvcN(JvsWM9daw&Ic5` z4qUT4A-^K@F6Ns)YhpIxZbGSoIfNIl2b8iOO4uC_EoGQTKbJR!xda}%Nod$v zC!|0_gadmvm!5-n`mS8c+VxNzoNnZ*WsAIUT_#2H*V=sB!u-ph-49nt{X@ugDi=4V zY3Z^GTK>8sMN^!j6ZLGa%!3cE>xDE!l!b(vkl`M#p-dM;V?%0f$aUMV8gWtCIhJ~3 z$hC7-rn1VD)QWlbY<{^eQ%rY?S!Ahag&Wa4FH6d4XbK`#4Lh`90 zTYK|jCYzKpBwru0?fo{BOLT_R7ecPirZsM$w94o~(?W81znZ=#jRhlM3QWaj9`Bqk za)&$CTNHYY2H+0J1zB&}&b&iDLsCg8vvlTdf9R#*J=~f1@MQuq4VL%tI{+oJl}8!x z;j+sB<(3w>6p*)CSKewL0dyWhsokig?d5=3gx#6*2*92!(RtRsdV4m*(hF3cKK-pc zefnEDJ!sjNst2u{9<(rOn3GqE(Z$JY5qZ#VZmu3QXH^QS@uG35o^#rP(YPa!6pJMe z<|!*7k#XI`NJxyCoKZr{?ut`9D|^XtE1Z~{-3d?4S&RXeb>UnPvf9Fwtc!SQgVV2N zE|W76CpXKl{2XVm6YQytdEcQ5YO}l-U{bB?9mrF@*Mz4cZw^oOj(0WBwZ?ly&M|Kf z&c>_8G3H%_W0v%Dq+w*QLFRK9F$PEArSUS*|9laKTje4@n&yrgBBy2!MR)ziwhE?*TWv5ZzrE z4-Xj&!FUuvK~@4n+)gZCQ#;}Aa-@4zxFZ~I9`YOS0!nehVDmdbw!!Ar%rMxzqA=LJ zB6RT|Ajz9Tq0MxUZ*SW70L>XV)~n$q`n8isv2l3YrF4Z()+5wE{SBlx8;Rsw3%vOO z?6AS+d5LVz@lNY4oFOv8VDqXt3^uPQ3^uPQ3^rd56|vG|nsbkDZ?U2<*nE3Y5C)sq zd||M8MPab{!6GFLHcuKmstq=;FbX!WFbX!WFbX!WFbX!WFbXzL`_h8VD>Vu>udpr* zHm@)WHh&T;w;|YkPZW+WJR5ADWlak@Y+gl0!R8f4!R8f4!R8f4!R8f4!R8f4 z!R8f4!R8g#hQa0)M#1J4M#1J4M#1J4M#1J4M#1J4M#1J4M#1J4)`h|56-L4472X;{ zS6l9QmuM?Q!R8f4!R8f4!RB?;+7@dr6YeHUy*?Cc&hMJul}AgPM8W142sH{eZ;dL| z`<5*vH^y)$k2=_Zg7GU!%qFupj$o=1+zjD^aLs?gblLGPlhNP=q_*H-f-qoN$6$=` zw&Z~p2Ae+`H?9pfAAl3Ln+-Nk+u*XZ!R8f(!R8f(!R8f(!R8f(!R8f(!R8f(!RD#D zA=tdq!eH}?!eH}?!eH}?!eH}?mNjRzUGKhCBJ*Tvi|yJLaM?+9ktEeammTXftDQk@ z-2ZD7yX8bw3Fg1u4W3py|BZ56>HIg2n|MM9y1W3gC-dLDGm;!Mxm9q?$@&_(gC5Kb zuJXO)5|^DPE;~(=RG6Dzvb?BRw z%P?TU0QMpLXy#VI`kqmWtYn^V8LLPiSyrbZ%2AC zMB%pLT!sM)hAP}%ocko$f??l_!DN+uYe_D{fCW<& zZYycdaP+~{(?#+_CAsgAT62}a`jT9R0Sl(9sOL&@83rsk;02-XEXidUuwaJ5H%oFE z1}r%E6QS-d$)(FKn3Q9hNI7b!LmgX`HSa2v^ zAavQ~G7MNSSK<27T!sM)4pX?PG?!t(f_Vz-N^`ff`13E9ytkI-o<+Do>v>ygZccB& zg$f@k&1D#{;BZ^s(p-iC3y!!$@;+B8n`9ueCi)y)l%=vsMzF{>_o&=5idxL)5Sjlb z0}gwn+-K9AJT$Pwek3@Frt~Lm6hl z0kk#j7n+;TMMxeZ$*ev}MvA!CL>1dsnK=lw;Q{m`kgA$kc%|2Z0@B$L>FJ0h<_M&{ zZLHB}h|zW6YHQf;DkkEEteuf8c7#UsHX|tuEkLbbMV#y-`{lHzw**~HXZLBu2}yjv zR66^F(%B9m&5KCvA4*Cu5Zwlvm3=_y$h8^QS>NI*GFZZ9O8z{6T;<;*IXABwLt(F4 zNap}{Lo=Y>o$_=5<8Wpwl$LMhHU+JX99r{Aly}4x)NnhDgv;Njgv(3`mm1oI(Fe#H zp8-}x1c3Z`a=BeD*$X;={|BIU<}b~`SY_mao_lk&mx*+h#O-Bgj7mNvx0ih^a4)I+d&o}t_k{BAX^=0M#gKKM$b$6k%vn;p2KJRQ z{(k8(IM^Th*&xY%b~-BHO*B>f6hrCpi>Xa2U?s6uz)Dt_R=`TW=QtggvK%L>fxD2U zP~z9GV3xuaOOJNU3N}*vP5EGx%i`k^C4%H)REu0daT0BZ7->w7|1(m&m{=7rmix6` z=)+~n;_V@`{dQc%7HK=SGb>?D?9VEP;nWHbJM07}_9Nxs@;F>Fr}l#%*$bAF0x-k8W)Fd7wLZn>L&8<7x`_j02U4R$EaZlaCbEG zgF@}WiJ{e2_<{Cp9*qr$j?!_Ul@7td=}ES2_`NZY#nO_UE@#o!LbY|9&Hk-D9|leD zMz(ekTQ?$&wssI(e^1-Q){bILd}C;9N3nGva5+^!-$=A6&NL&@0%m+-v^7pk!D9G6 z?RhDL9MUwbvX2a#;})dRjE@W(mx%{GN*d~8@{{eh(hcC!XPH5oPVHf!J{ zt$|SGtkr75A;@?LI*PW|3aNpIkw(=kqy}PFhN@4L8mK0g);dvY;5gu3%duDUN+CZ8 z*c&^+pB&==Yvxzn%5Hc{tQO_{8Z>FnH*LXJJ zT%TF~BiR1EZTUmq)3yBH!lXmcHMRWZQvT^%wEX2#{;Pnr{Jo@rJBg+JdrA3ouD0c` z5b`uYmcKHi{C%YSXM?8Yw^kTyg&7^A<8z<#TXN5kZFsC-CYzSul4pf%gEGtiEZcvo zEx+Y?uU5elfp&S(R_0{Bt|2uuEUQiuGvws1f0VPBSf1yv7Vqd zuAOsj5w_d2IVN^sCY#hwNDfPEj?Ac?gu5D<^2?#HOBZ&O`*e)j9ZFx50?BcHg5?*t z3M=X!8KZ8i(~7!B#;9S}g%zbg>*o+lMcpf7)K1{ue7P{&4JC0a?%uNVQi$tL?}ccA z_sg$bo9p#Q-~qX9yGxA22jyDorH=Ko!;FTU#`SX187Ug<#MY#ELeM93bS9hB%Z4>6 zQl0HC%Rk^Nm(c{hAcBAeMGYPQzeqymeSPUrXhjgfxyK zUrXg&38cMfj}+o%VyT=xQaRrNJ6%3tPyL(yk+8CVu;&&xKu4QU$4{c;M5Iy2PoiTJ zkm~rW==gzHbo^Cxw7L;p6Tho}7wRA)j@$nbcqk#hg8b9)nf_8Bxl?CZ?Wfzb$%{RN zf~M7!ux+#Cogtfvy_d-*bsmzx4A~MHeX+|&tm6r`{AX$1UU80|pNC14+J)sGC*?o& zCN2LsDgWm{TK@4;q;qZ#%RgSq@7;nTqJvG84t6q-cB@IkUGW7gU$379t>Ra>{mf+H zExi?3mJCcWBhgT&1G6R-{TZmcJRMFod~EH7C(~($chmv50kMv2M8!#f6|&LwbisF$ zEEE0@kXru$SgO-GTgzN(&*mJ{3ylY3<+@0Cl{W6$PTN`IQO^56BFVMJ;w*-syncB+@OKpyfeu7HVRM03y@aY1n(wJIbVaOSW)xs*^G#nGE{QuKpuLL zPyMK$BEz?&eZqu&UxO1aUkygBrv8?3 zxf{$ItPOcHYaZuo#%T1@=5fAajFH!i7IAiEt)&)m=4UOnlGLjEDXFzwYb~^q>#;A; zl7?5YlC*1QgxSj0n4Y5xPIWPRCZcC*_pj2FX* z(j(dT=HSq$A{X_%99Kh5!(r%J97?w1Vm&UNz{QX)OuiL|U)_(3?YLNtiy`$)-hsmp zOnws=s~$k|N4OZWhqRw?82unn7V4}gT@gj7WAjO@QB(J+L2`O?+vmYraxaPxp}7q; z-g6eDZ6oREccnoal4qxzRc?E&|o_@`T zn8yLDgrmw_;jRK5}@bTP8^)++=g^3MF(jHTz); z$?u13#;nX_8}#>PQ1S^KY4|vQ@Ioo@Ao+>=awLEk-+hoKj+dXouUL6r{J8@)(V3vD z#rP@Tm^4I19qM>QQivXe2ElBl0LwS9)(}cnDaiK zBkh{9Y9w$?S<$5_$U$uXYxMkn5g7j+Dhf4%FO(7oI*}O%I*}O%I#DE>5@6c9#DPv^ z#)1BKs3`dI6Af{o|9=k^g)acOyPBj$jLNsOR=Dp}fy`PdkXb7Q7bJMg=;n3agk>QA z=fgx%Xwz`k%0_@@I%|cO_@aTWNN~aM$};iXEw6eRye0Lb;Qy8|QH+NfEj;s9?L^_Cg=gMrENisz%v-%%;J?E} zEsvoiL<`T*)i`T~y#!Sk^SOE5e>6-~GnuurSL*elSTmiq!k;kALehn2-c>oc$^K=S zD7KK?7&c548&I%aXvQY9H;ybsqbk8zXy!mL{{?f_3hy#mXx0j;&DVk&ZeHhYVN~-l zOjHv*6zT0&w+-@eyZtW<6GheGLbLyJn5bra#`Eqg6qzSWTWn_q#WA@Ib1qPRlB9Yv z7MgkYm!N`L*jXzFf~RFe)TWkcQJY$2`CX0!xj<&E6v(WV0-d!Iken!+Le*pVXxX{e6 zJ_nr9LNk9LEsPeL`GaUmw9w4YTxjNJE;REq7n=FQHi~4s(9Dk(nl;0$6+cxWg6%>x zf5Za0VeCRPf8@iG)h;yiM=7)m&HT{{?Lsquj6%E6%pdzlEs!oW^T&NF&@MFd$H(z- z&RHuyXRY`X6xxMm{zQd#p_xBPp~@vJ1`p1CA5soh3eJt@tw(+J$ER!RHIrE;RFJZWs7jiO*Rp{vq!Qvm)h^ABx<-zm;o@i}Y7pR3R=H1iKrXcwCK^Ay^JX8!zvlGiRY^A~76+l6NS zLWOprnSZz~uP!w6kC-NT?LssENFBHALNh;ep_$)kp&5Im+-G*78T*moXrWnanW%ps z(%Z;^y$3_qwdhj_n1p0y*cCDG3M39?376CI+Zy%@&21N&?UrO#pCp6vFGx{IY+GgK zAkc;f&^@FoorPEWIyFUBo{5!m(!a5NW{tR(})w2K8on<6G~@0fFvu=q-g9PN=h$KAyU;`_5q}k z!A&^2%wEnoPzB2Yor29c8YJeneVK`8VkmS9{*1;p1)o3}o=Sf*cy-GyZicseU;K`; zUW?C$_|eTRrTrW(hsMy=!u|04I7a2%&Pr?T#Afj4vWyy{Sumu<$3v){1tXX6(KxYr z>{Xlvvk54g1#=$xIc3Dof+4oEU>G~e&VngNYQy{u_Ne$BQJ%%bcK(L++>T}hi~o`| zN%(r&?8+3_5J3m7h7I_D)_jr|wtH4uA2McGB znB!#AwN8V9J5}J|bSCMR%Tu^?oHy+m?vc4Ue`g{1c_3-@g5(m1h1zHNTXJ;zGq z)8@Cd0Ny<+*<*9Iz9J=wW)Gfi(sc+|NKJ)YyF0_~G)u(b#g@7$kEx-ixkBOwVL13rW{mmJOhHX`I+iS}ks5t2k*k4M1-@$6Yq>o1q%V zJd~+MtZ_GKt@N>HY>UXC?rG(b_6^CSL$*({(Oc5Eq}1D3>QYmbNfJHtZN{~sfCJ39 zOg7PTttH}u*kOQF+>XCpw zPQ=tE%I--^ud;$4wr5kHZfHldm7;DFTXtHO1Fq5*xf{Z+qAfDy+)9y6o>uv{NAkN_ z=JEDy?#h%upYl74{CSoo^v2DCupgR3K0dn0F#aPF%EfzI#`O4x`jy3~hAu4k)z3il z!Vnc>leQdo1^d857!t=T^w@Fc_oueTJBs+4Xevs zoo181?Pa4h`nDH$D$=8IRj7Yemk(B?N8_rXe>KlWh)jyO*mSDFlR7|O^5cE39 z)YMq1t`PLfY>9M*px50(T_Na2d+x~!L9YTKD^ZP%bj}rmUZp&J#7pf8L9dT_7pkHa zf?j{KN3dNX=r!!QCo2TKhCTQE>Kw>x*mKVxPTa8PoSMN@Rtg_o&v6t`PJdD6cEj-RsbD6IL7mLAtj4!gZa#yf2FW-18n0Ih`%^U zm5?C*;(YNJ=c~V1S2+d~4gp!$*i6)_)>V$dBt^Q)F_^5#ZYvN>p-}5D4yM%th`%_f z;U(@($E8^A5oYh~*dwq%n0`1?tiL#ze$FN*ZrAS>+fkQ)pK?2FEM3s~m&n3hgS#-~@$sm1D3%pGcF*ucN9j$TRdRgS@0g?5!=aE?N|$}u=splE5mj==>A?JCFMLWOpfV{nl|yUH=RSfO3z7_3)#YfSvb!6n)Xc9mmr zsY1KTF}O^jUF8^Dt|RZZ7++n3jc-Z4T7PkHW#})~)k0T&Bh%QS&^=C3~o`Rs~m$n zs5)Hb7;IIVu5t|SQlzUKgS!>!D#u`(B3OH=nJR6uUE8A8X!VgK z)kA+V>odkxj=}vt%us)EZs`#4h`%_u6XlA(I9CIcHOb2BG85!~6__lq2Q!1Kd^Nem zU%YQ%vb@R#pjv-%Ue!E|@EqRqLVvL|MP5IqhpQa(`s>iAs~qzNun&c+9P_G|fiqg= zm^Y9XMynk22GNvgm1EvumML20m^VbBUFDcJRH0qvm^X}1V2tuFs~q!&E499u{^Gn; z8xd?*Ip&Q}qFv>fH*&KWWmh@ojZ$b=Ip&R4XjeJrjZtVSi+EtEuGZflYj(G}Pd9!y5 zw5uHR=BOgO$}#WIzYEo_a?G2n(5`aKJ4~Tn<(M~5p_Tm1ExFw!FH^G4F^<$!k|R<{hcymR;qTC&53l=uuZW<}H>d`O;mZbiiScl>2O& zp%g?M}lUZ7?H#rfwZ@^H9Aj>E(2E^!v@VUrTd;LpZ1yjC_T<*31ts{-@Wn}n`g zC&m?%O*)T6uH=!BP}HRBR!Ud~Z%z{luR{q8Y2KteMMHuUo7@es$618)TjH|kQ@BjH zOlZ3i30XIpDbUvTBD}}ZS_0_Uw$WNw6t_{J=mHxoYx8H%|8FMdT9 zyHLp!q+XH5TcX6*Yx0!Rdlug$Jdi}z4)VU2eToX=bk2_Nfhl_>i5hKB z7jR7;Sj7tId?v`)=T+(>bPII2HU$Rwt)6q7o55Sg753({EXr7pv@Y;Mf0jkcqd;X3 zL7Sz%3tTFp>c0@~u564?thb?=6>Gl9>c(lv-8$nyL)bSuFQp;Bs3Ap`b7)&&y61-+34Fw9b|irmVmhZ&1N&AcXPMyU;t=Dw~f~ zRdAtTFICefn*}@#s0Vk9#on+i{=K9wT=<*pgtVvg|*iwB3$lB`x6LU>thoBdzzT zxWeRCvL1U~ir3D=_H0hc?trp1%2wH!Efm3~dC6w|dnlqu_GpS|Xr2nP^}jwvMb5$3 zr+C69nb}8YvXzrfwjExQy*86166V+nKgXU;-)u(9Pg5jqxxtdRhiuC;+Om(i4~?01 zeU3EdPRkT}v0i=IaUN-ndX2OD-zZ)F%$=z9Q%*S!qle&syaKvQAvX&?@inygOyXMw z$2aU)+T&^B+XcTudN1Nfb0`~2mrm;;gUe$%F95gQ1$mQ5e_Zh3KY)J?_1EX@6#l(S zd&mIvq}+DLgWls_(tj)XHsV)_pUbI6&AvxGo$_|%@cumdb>NR%0KX#kl)iz`F_m8BXm^k`oiwjBbn)};F6!+^u`9-;XM>2gtqWC7u_b$^{CC&)(659NZ5K#B5nydE1_OY$6;!s-3JekQhkayKGn1)XvY1TRe zj<`b&dC{J;QDrRkKc&>w%a))NKg&(x3%pykA@1KUkjpt;%~OczbL? zgP9j)Jip>iR1wF@9a$VJThq@u=t3BIw#~4`p3PoKzQ@An!sIU`dBNQ({uFyQf9_w0 zQf5ODhu+#4+wL3)?=h+py3dI5!SZq7?p%JqCdQHYBQRGWZ<^UsXCZl5$d;4Q!CgMMafFaJi)ZnSzyx_>;aCx+e$CY9arg^T z<-^HY#;NLxUYoTxmfHrL@%qC?!Zx^oKLLyImN0!Sk~TY4eaSjEUM;MtC6=`*VAWA* zvaA#02MVcfaT-aaEJ3;8vjD~L%a~4$Eu5-m)OcU~c4a-v zvYr*P*5e`)JB_@L$6puT-E%DO-GEg;P~#`@Z{NaRGXOtx45z zR2;zRWb4akT7ZMd)=yU$3@#(3zXg4vy2$_wxDi*AY6apRYlF9zAFt*9SJOIer z4V#+`vfx%ch&LImVCX_t+#2~IHeP(&hJ~;MD{0PU9i1+}$4I_4O#YhOXNSoT*<|NZ z{HDbmuG6t!;qF1*aWO-k?fWn9PKn&7x`R{J`c$AJ{y8U~{7nY#u+bxzPtUk003F=mVQ9W^khqY#u+bxzPtU?=4y# zePENt3~uIP1~+rxYq!jnXqi_)o4Z@M!n>6)`oQMRWf3aOSSX;+-{S{1x6*!aTVuSh zXh=Tuu*tsHZo>yQ+4tI= zYCrhZ8*d)XufZ}x+KUft$$#L=-R{ZzGvUVCAyw}9Y=}h<;@DS!xj*|peWxTK`}2gQ>?VL&k@q>68WV? z{(I#7qoPj!T;ApHD?%7p=KEApProxcKUY-Y%U%D4qQ3rtqwU2rTUc5*39XF33+5Iwp3Gy@wAhYBonqG#E+qP0 z%pZLtVtxi|Ynh1lme0n4q~CK8nJgMJ`yFyHHl3nT#{b5mf|!s zERWNs9lJOj|ACuE*^G}k7*^C`?pj$Ey z)r>!~6vI6hPlcpJh{_nLGTNIQ_W6U8Cj2>Q_nQ=DJBZYDI3#vJOo4-vTnA(h>c}sv zd+pCg$Y+)$okBB|29MF^E3y*0G)O8mGpJ^&FD0(9gz9vLlPKX}Wk^}V_;iN9lVPr^ zsIi2Z=?ooMH;a7nR?V}7#SIMY%~NDp=9^_Uab*K>AbLsBL~2;-v%<_lPJ44`dt996 zn_A0vZUg@k^8do_yvk=I3jf2De}-??TfR*V{QTNg^bzIPhWv%5l6b9_sLt}<(vYXU z`H=$G`DU9wC@yYoGitkeu@k6fimv(vKl zc_M{$$9Wm#6TypJa!iRdd15y_W0blKPx_`;@?cQQa&U0EFx9mg3+&nSOez&h#?$l- zNt3d)kL`I2MBWGqUD=oFDRy%b+l($I`&O-ry_WU1`V=%_irQ`j{YRGW)H&KFPPS+B zp#N25RacHHdnxoW-znzZzRj}TZ_nt}T|HFC7|??EaWNeiy>a0bbC{{OY|k{XPbK@e zpdCQ29$&G|y7^|O<@l>Tp9ty=VC{7535y)l)#p#tR-|>I_imSE`o^A3cfafvq?i2; z2MB$MEplVhI8UaCofqtRCj@j&;`V)>T5n1&0Ig>c9_TzKHe;RrMiRTQti5cm!S-yP zOqO+oNo6f?fF&&8GD|x-zxO^Y7>Xj8T}h6GBav1vL;bEKhx(_1835&Vv9IVOG^?~I6}%f3vYQ!B zH3?tTI8UpEm)P^c$h3)Sa#)lcX~TDsR=$^+a^ybi^0BIMeHyw9s6u8KdOnTn1;}{Ywm+d~Ddpdid7mXSA}*fy>V0VKooO08Ykn*7 zme2nRs-3>h3o2v0J*$$yF3`kJ_N zyH%eI(z`Eb<04nO5wVC zI})qwaj2>V9Qb=&EyqM%{0V2WCclI3oW`F56#hq*zp54qmFwFfL#4|G$%;WK zpIx`{K1jJ-xKQ>rRncQs{a0L7XJafHSb)PkFk^hCjrnYYer{C_&T0DA1B$-egcaO_ zSiiY%r*Mf;(4MnS*h4)Hzoi5ES<2D&+zcIO@OP-CtmApNe@AhBC%u!R6lbSB4_WAB zO=36c8aK}1*HNmh$%GpLMekLXvEH7u<{k;TX?lm!x{w-*&6=0Sf#63Muxhg9Wx$Kq z*^n2*US!wLv8lI)skN-ygxLW#UVM{H)dl&(Ze*RcF&khZ9E@QOc?oSRcaRr5N>ND&^MK<-ZFxAk$&NlTqn;QHS!$SewTf^7F3haV0>{zm$ zW>zE3i`Sks63KWk=XTgZ9R1@GT*xc?FV3)^spoBTtFkV)tZPEn$3xZ%XV`@->OahD z!dic(W#zX!vEXxDhy~+e!SAGcW4{P*-C36RO~7F%QOpssws(WVeRDNxow}Kbn5!T&GR!Uu*JlA&J3A@jAioVK(-KA&5b=1~QDjt5mdh5^|F&RUEIYeHhkX&npju=b>qU3YK zGkUtjU71Q~)7>}1S0GV;< zsB}y2+?@i4<9xhF&sSE0@!v64P$QTh`R^DjaI%zc(|x-WjogxnMsCSOW4C0&x+VYj ziLsJUe`afp8ZtN{s7V4hmfPt(w72f^?WIP7x}VAOVX&1`K>p7MTcJ?9%eObJ4nTJK z_G)+uubvZ7>^A&|c0B@%yy<5l#qRR$&3_m_7RVaUVn_P;FH3RVBN1zTxKhlzJzSCM(Lw8eI9i&`6Og(Rt-M!{Bk zpn`N)?_}v5@W`&-Nq9VPnHFrtJqgbe)yZxzf<ctPZ{HAj@QEx>>{xf}mDy9jotA8D;KiNkIuL`H7p*x`!A8cAJ;einC zIuIx98;7GtM4(oo2^UCTgVfSA50jC?dAG_;#mdaeXjKl0ptp_aG)3t&1EfJnXoDy- z%W(czs|NfY2Ps$i733<%9~_z|cD9Vh^{Ewo{D2$@aD2$@aD2$@a3>L{zlo_q( zD9Vh&D9Vg2Zy04}y5x9w`HijWWZ2B)CzOnfsC6N)GleyAGW-;npEp zZ`0Q!23~>0F+AZu3DDB8UubT=Eg|^>NoMs)GE)AE6qUrbRc5*2c>tX&1eDIgE1d%k zNIfFbQ4vYZ5lDMmS)+SF7Cy#;tEFMPtC)xvw38xP>!ORrLzwR9l1u}+D4gSGi7dPegTMf zJ9D|*4n_JAxjnLO0_t!ST_wHcRoQt4>>VX+N-wz-AAc{_d`F2!+N&Iw$T$;xh5um2 zrN;R&%5)qv6%{{>WAR-)+<*fKbmv6859{vVuNv}~Vq)W~hRsrnd7rNt zwqqxj1lKHmr!n*<=yhj_T>1w?8D$bQ^>taEP__W?)#eSk-dDdoWt@#H_Q$p4aN2W- zwWl9m*43Vwkv%gbduFOVqHC66m*_NO2y)LdZ0|1LL275IrG;YDzRJ7KrfI*DqImI- ztZ$)fTUOp|nYnt&jHtz5QjTo){o(hzy2kjcB9<)oFdQf;4WiK`|mtEy9+J9H-Hior%idYf4OkUreg6-(nE8Wwe zuDkT#lbPQf)E%AcWcDpgCF=1coBfYwx8?t!A>VCLzPB`=)1!taePH%lrtcbZ)sQqNB?03NZFM*zt4^oup4E-R*6F%O>Y9P1q z*fN0jF8d%wmdFTG9ZNU3cM}@?jo4fFL5iHt=nwVW0*iOPfn2S!uUTbNkyIh$%-6id z&mxptrn(2OAo_~dtDcrg>$a)$v{vzeA&?jgl2cXwk#EuUGo zTOsQ$XwydOCf)J}qz#o(uA7V+-Qk}cCbiJRkT^DG5X6S4Z(^ z;C@mUu^6lWRN&N5-tuwb-%c!bmlc}=`W|5YMamXDO!`YsjK5mkg=fSzl&T91EE^Sv z5I4qqvFtq)T{|OL)w@~tHnDMFY+M1O^6{NSa|da;^%^%%2iDF;{lPH&2Btr7mrGUf z2lw*m2jlXzAKWYC9?>6Mz1APxO@?p6`a5@J+K=y5X+OT3^|~1~Z_(?Ed409WEZfTK zYvj5M*P=8oQ5P=vX`EQpIKipfNjcwX$#&ZIUj11L6^Laim}18dYTE95rLdnNjqScy z3cMCmW!dg~rO>wlX}fDOSJ*7orTyx8tR- zm}?h{H}P;04`=akG7h7*LDcANxMC+5{g_aOZpUr2)W_|a`wXcy7p7UG*UkVwM!#t} zTiZ%97nx#4{3~9>!=XGJ$ip}s#E=hdhM(=(oW37Js>bl1uhw=*Sq&7djSvNGyPbb=^%SHEllz2Y>5|fz?6h9sA!E0g3ByR z_#yHu$e4*6LGPk7co$vWLhqt8co%&FPz^tsIg{VUIkHusu+lMS>5tv|)2kN)ovo<$ zbbWMMOM$%CC(w&#&f$-0e8aV;SK%!X~^M&*Sg&H-sJVtYOZl z1=X#LGl}DxJhETB64@G8`eeSa)KT90Ddc>^7IJS`AD3_4PcC7NeU*Yryv5kF*~B5I zu>xT&w=82r)~n;_x%;s4k#&}3IVEJR>qgdw$LuNO3aMK{uIr}lhbyE$5prFBVk0gV zIi$WBa@}0FALFDRce0|kKCwSCt#Uvx551MCV-hLcL&^O1`$iOM=l(cmXHzs`;kK)&*Kw+fZ5;>XQu9|fCGHM3+#wkMnzZrR64A42hc@~ zx4-L(F~&OW%c8IQ&w`a-_xD=c-~DF_lHft<55|9g-A7IQ&wky19D_})bRL4>p*B02 zrvxtcjzV)JG8Y{sG8Y{sG8Y{s8ZA0XG+K0&=z~4UFglf zT6C0XwCE_&Xwgxk(W0Y7qeVymfv@}Y9O$AW_V3ey{I$4zU`&on}jJzi#dqOjI z4Kbs9^a9A(VI|8e*?_bH3F22ELHr6Nh+lyO@hgxZegzW5uRwzM70mNtSAhiaE07?5 z1ro%sU@Fr60uAEV)Nb6uZ;k&C8@GH4vIJW3CN7ZL&_H~iOpc3{Y9KyO=ElilpC54N zds8U1S-5cvH;r$u8@KQh-;10i#dZXcT8K7o`HtDbja#~4tdVe3-U41CTXUI9px>M{ z5MMNxAU9(!L2kxeg4~R`1kE$%66ET}EdxY>2IBLM(t>IrKJREn8i>z3mM^ifQVqoC zEhQ}q#OEzjXan(i$1Ajf_`Kx`Z6H4H1cf#bpSMDx4aDc2I9w!eGPxUYXL%*Bo&lG3_@p-4KC>w~+t5s+N z@p)$`w1N1%H41GYKJQG0HV~h8mO>kd&pTV84aDcIRcHh8dFLp!f%v?071}_2o;=!M zJGofyiLll?U#T__pSMn-4aDbNpwI^5^Db0q1MztmDYSw3yo(juKzt2z3DDKj=Mv;* zZrqZ)9Al|>nYNJ)#OGZ;R+?;Ethvl3SS0oOP^`JmCFm_}vMDZs_`IuD3)KeVOPfm| z!vkALZj9khk~-Lcf@6x=WcJ1pI#mg7<|J3*n*V}1mwsUkhDaz%_3h#PF8i>!kL6HXH^KMk6f%v?e6lown z?`B0Bh|jx4kp|-P?x5;05MQ)$OYU>1G4C$r)IfaR-HJ32pSMks2IBMXQM9Z%Jxbnv zSBT7$r7gB=TVO7MR2NB7J&ooPa79+jiL8=j=?ma#)v$3(tNqL+7|6$)ckuZ$*`43e zSz$VtfM2sQ7Ut?)f;EEjb9FAk^@3XG>RbXvMY%ec;BldK&CQ%k(Ax0BzC3faS%Ri$ zLx^_01r}mP0f5f%rB_(ArRanB}C1nTnN}mC=Td8{}YsEfq#nl+IcO=~g7< zV=lqFIREP%Yo1cB@~kAdWG=z}cC7gvT+PBAYd#I|;~;Yhc!#hObuIzZS&2HAK*xNI zK==yAHMj-S@E3^>^{V@t{ z1VVr8M9KS;Mj-UZ%@=4R5c=a!5NIP1`V$n|2!#Gbg*F1AKS`mDKa`cp3z$uznK2D&GK$hwg zCA}}kc6l3_yNZRM844}lM{zg#j{mN(d-1H93u%qhO}^v5XTRe+VXAz`-z_S=_)pT&4DWlVT<( zZqLa5K$QE`^xUV?M&^E~2=Vdaeh=&HDU-7NBOCHUSpZ&88gpvEok8W3%GkrQyeC@96|u}x|xMoXUsCo zw!DG84?cb?`}vl=H;Qn+VLw6{D$Hea=f_N~&9i84%Hbc{Z9$ZGtK1P#Re3YnCrN!R zvz!mx^KAHmKSGv1V%9;4)_*e6{kN;W>5GBP~V*xjMiIu zciXc$po9^xN0Ut+y;=zfFh0BmOOh)HxWvF}sc({CLzs@X0|G>AG6f0vJow>J~ z#)Wr=zCmS|!twfD3Krs{qCI~NL2pOOE)it|5r|xsT_Vbs0;{si40%Ikd#UWIeU-`J z{S_Ex@Qyh{e=Y*!uZ^j(O7FGjOCWd0nI7umU@LpJHt}8<6qG z$kKzv(&v$u8VF(QDeMqaG8We&eJ2y{-bcFA?j ziM~aQ_qI-_&}(NDA1hMeGA(0qyy2Hz2V8PF59@e1g9m=18oeG&WBB=W^ln^@{SB`0 z(R3hd_c&X$^XwUu2==WEXI%adDTjX@?70&9^b=p3G{f}T8CPt%dfD?~5qF0)ZoSr# zVX);q*q%+#*g6)e(Sy<`K4(<0W!lExA^m@__a0DI64{(rr-{RYvI@7lF%*RDEss_N`I25^Vj{5gm}Q}{CyKT@~zjM+^g)1+UQ zp*MbyAwRb;K)Y(qO7djYxHsN23&>hHI7eNYN3C&hLMx*xvrzeV&ZE>g#@~-=hud`m zSZU;Qzg4Bb4Rd%J+tg}qcZfw7m#DejA@jmR3Bla%kh$S$fOJr}Qw9aEeQ0iX%9L&* zU>zjxa^#ESUY*7H#@*6WbqaZp#79*_*==)M#;sv9gk zmedZzBJMn!Lkp7!#oLDc+(I0)<-Stg)|)WGG_;?Es^Ay$q|~^rqx}IqWS}eX`kzsP zGM8xoUTWE|`d1|+z-?eq5d90N!WT>bKCpu-e6jTJYY9Q&i=}@*0cf}!wL02oCHj;C zmZMe*&jdVC9tp?zQ-I$NSdUU2D{yByc6FTORqZd8_^1ug{#Cg>`V;aDN8{e8U7(0V zRBg`{KA$k$uAWFALGEN>^F4|i&Cb4;J!hT&XK?&CRo-DbxF=MlW23AT1{gT1I)vS# z9x1&3YsqQVUoqmAs)N1z4 z)2_z7@vXkA1QSd~ENqQxsI^X4#fVzBgO5AR9|mMywT>w%{U7l6`X9}1zfikuD)>d>1nS|J>A=TbD>tQGZ&9z2yUr6d)3{6tEaG`zHXxG>d%h3FGQvLbg&J!Cb8-WrlM zcAVqnbEA$z^v;m1h5M;K0ZIF&(R>lombkK#f3M7FN?F4O?BPZepH~60ne&tCF`B1B+F|a}C`;*iyI9&}cVB&M_y*tpXDxE9g>I_*m9%Yu>qnVFfIhiH&Pe9#3p=!^3;89iHRf*lxK)8l#{Z9@5xj5|3>@5@c{k zHUxzKJ){9m`2Tgmunua#Cd&U&E*SPnCg;)%b8rh?)hC{ z{>cTOpj$;f$;ww#gOFX$RGG_$2_f9hYZwpu+yJn+BVLMY{Nk?~A&3`0-xxu%cm{)_ z;(ZvD6d%T5msfl>!x~ropoD($7YJSPApH8p7veWw{2G37ElmRAq{JOWBRRs6uKYcV zlDia(tFb}giuEokZEH*!jYcV z1N22a!jZ0G6x(!OhHQu7UvywcIMVwUA*Hb%;YiOO3u)xr;Pf0uk*x?*fb?9d4jo6_ zL8MLj>JB1p%2#&~>4-asbm$JEh(|cm3r9$!9TTK5y+|)QKnhTI5b5v;M{p}jN{2@{ z^egS~2uJW?XW(*2ID!{2&ZTolI0CvIPUntr1jDfApUxfO2&NLu9pMPh#vWigcZ4H& z5K2hrj&KA#!jaA$;Rt@jP@B#j;Rvp!h`A#iK{tY@-ihCwJBakk`KVmZ9YlH+>zZ>1 zkzUP15wTKp2a(Pl;RsG)xiz$HY`(c*CdkrfDEOHRen*+tD7e=JSCIHj1;2Jd4TY42 zT>%$2uDC^)43xY!4t&hj&KA^ zSpD-=jLm+~h{U-g9KjkYHg|+0n9eHXj&KCa$ZCV;-R8s8mU-!mXeCgcAMglA`eFrd z_X8f`Nav1l1kbXd+!2l<9N|b`b{5jG|LYg=2uJ#I_UN2Di1Za#3U*5@pf99!9d{6H zA?Xp_=4HAn)Vyff3NO=5ff9<=a|K0PhN8UUEAi{R#>LF;T-O(Y z31*;l*NsNOw)`9@*PYWn9JUL#66&G2WH7G}pq|X+m!^Vk-2nAc`(9^e_9x&(WxwJ> zZ)vXC^7a-hL<5S`3BOvRJ6J$9k54v-!EFZA)UDvN=UaJ0j8%fZ1@9U2zhPAnJr>b9 zh$@$F$OXHq5#QHqk=82D_jRj0-`B14d|&HV*o~a^Qba4lIO>(}|GRmB8r1s#ZdUsd zZnM0PaGSbEsQ3d_rUA^u%WmBPv@);F07{&SiDtbkfNi~C(Q)`XA;x&CeW6sB6vt|< z7cjfwV;1m3$BGC2)m{zeKVj!ZsgJj4oDE9LEf_q)vGy zbg~#_^G)@XEUCXZKy`mCmK4$=*g<*`i~i{gfEl`fkqa@(r1! z9b4cZgv(*>fLYFv?#}CaFNSrzar5gfEJCbqE23wGzFP(JHgN2gcY(HBPg;P&*&cwm z)#673#+80F&!rvVR&^R;y=LL3_iX%d-K2a8A`N=tit7P^*>&J{}f0awzMKW*{j^&y*~zgCi^ zca(+rqI+Q-mL%I?=(|I*BOOm(;&wLiE2v zvTiP~5Ph;1z*uacY}1mB_nKOl<4#DcX4LwtV(G2Lyk8ZwVza(3?b8+pZZR>FKRB9r zUor7FltLO><9%C`pQXd#-xO|r#p2(R#dR45-j7cX zX2sgu%5Zl?@6g)Y`W$P9mxtEg&Zo7XM_8=Aos6ol16FHq??m z8dodV_~brnY+YpN}C8dB%n&GEs4N}W{BlZjEdU4aP_h|e` zub76&F@4c14n-u>5BQ^qKSA)DSN2+sNUwGHfj!gqYfR^tScvuJeOX$4wqIGG?K0Cs zH{72`+S;L9jCw;C2wm-;9z}^!Ut&4$4>?@u-&7A-I;915wh*iIFGb0upj|9zjsLeO zN(wr{a-J1(ILhA#mXs%|kcH^`Lb9X%0rilTn?W9Ap&RWV9wn2$7^0VkWMloj0=@q3 z+x8In0)4`5FrqGj*7ZWP75%%GVJ7Czmq*t#NGe+(ARo3r0hDrHvaDZ+_X$w#9?uc6oT}pnpe5_Bi!0ei3IY7*n=;h#P(HhduqxCoYNuuoC z9c92-Kn|UxqaMYlQb)|07BYV)xv);7M>CT|`D9)sXc=@C^D#T1FXZXC1QQo|qw2U2 zG!h%o8Hh-=YOUEDFgl7Hz}1aB;sJB(z$es!&y2#6Sx=iL)Wgv>6km_Yax2l-LU{_e z5``@kWwsKHEz-EC%;KW6#WKLQ5~VGc8GttI65*rc+cEMqZwtL0D_r`D;w&x$w#I1& z$0>#AZmA5|eY4cHHwGg9?8}gUE5-Ci2s2cd}zDmI6N{w zRJossN;iO4m;Og-yHMKk*6SZ{7V2(H-t>8FZu&enZ~8noZ~8n|`g-dH$KZ~`aWTFD z^t}%cdp9A**QdSuCd-Qj&km2WA#c~@r!&Uo={?1$9&c@GAMXZmI)J5J< zK9!2!IsmY|+k7fjyK5lESG2)rF=o7KFtEHX0WgQ5`-T9Px0la^+wP$_C&uUb7XnTg z0T5RBrD$^JNKA}nl-(|536MUdGTxUB2m(vX2RQb zOB(Sf#h;(q1O9=Zo;9E>ABeQslTnY+h;Xa5d>SHOBBlILM2^Q-qUG}uc?prB$0PDZ zv5Fz{hmvk6?Ce+>3#*kwCI(+NP`cLpXy_KkrbWprxB#%Tp`sJU)~$-wG3=gIC`63A zoW|XC3M8U|@5cy@{A)y{wfF?60kJzcUe*c{eZ+zCP5!*ZA6`~laxZ>*?gCM-FA?j* zg^TVc;V28?%RR>I^$mA2d+66hWgcmvdnGbU@p^Cxpu9T-*+2da_Wnyw0$j3~Km7Ev zWF~(m;HPIzcL>5yGkb87u@?~TnV}o^l3M_mT*Dt;no)8Ne^&En8Gd?}U^dcg2V&)q z;iuVD7~AuR^m>g+@8RccCjFfdHy3^dG>pbo#aYa)Z?bl^%_W!`Vs8FN6f@|6rdreM zEu09^%6&YN&zJ_~XAo&xWvsW8!6g-xy_WU9EOak1oAXjtmhzrRK4)$;!*FKsth81Z zy0z<~RKj*C^PiE=*5-DNRpt*YbgiPDsmB)Rn^)nv1LB>rOjoi8aLMcZd7eLy^5<^+ z^epNHm41ep7<8XT%6F`VZg7n5E%F2y!YNw~GPxeHlJ_`qd6hrU@Q3?0C3o=WI{ft9 zP1c_vR{kx1HbaTMc%rmdhCj{mQ_j7iQ}&0Jdm++qIwC_5c@7cndA(80Gw!;m1R`jA ztN6a50=Bv5qGZCMiX5(YA4gHbVY^lFcVShpbOAM0+pscJ)sVxBk+)?uUJuSXb`f=W zd=GOS&k<)ne-7mjFNrM~jh~)H<*4jx#L9U?>94HgMToqM{etqV5IJ%t)N&IdBJUnh zyPy0`Q9(rB^+nn=7g^}O^&g6oNxuy(qCwbiKaKWV;q{8~_%7u2T`YkILtfoQnxa0C zWjfjsSSxE-kkupNeYqbM$or>eTCE=~biLzwBv|bl zk5$G*@=9cFFao?sg!+rJZ05sBlbw*`hoow&Mze(yp z2#M1BpN*8_a9{l)Y|YueI-|(eNcPp~X6S!mU%gR%`|2-90snv5SMLNh=IyKh5tYl^ zS7%-G_SHWX@W1=&(S3E8+W+f)^+vL<{-fx1r{75T)wyq%x3Aui+qvO23w8I^*+SAW zY+s!XD6nmKvdOID$i6y@5*YjH0T}aNVD784m&w4;0jY91edrn?ebpntg<@w8|Cd|rEc$=j7JDPUZKv<)COl7;w%DOR3N=(A?P(g zAiZWG=&g`mvk;W;FF3tsA*f)nerY+bW&Q}B0jg|H(B%ru#uk)pIkc z{)20TOrO=j7OQK7Oy8B5PI6q&^kWa9)t%4*2HT(MR3cABX9nn)uh%SO2D0;n*DPcP zag#51%|d1{CCpv3kQqWz=o&$;S;!1!bubpT4{k-hGdb4?0bL_xa;_1A$3d1Eex-1> zYZfviH1DqVjbICzO6os%%|d3RB6qhB=o%q2>JiCm*DPd4D`?j&WX33H*DPelDrna% zWX8Rx71C=KGULAyutu+0$V^CNS*~5PkeR5UU9*sxq@Z21keRID7QJR6v!8OdYZfw7 z6x`mS5kCXSOzk3^cXkk?&Qy&OaF<@Qkl9~Z*)B|Ib*DPdaDrna%WDdMguzU2H zh0H-a1+;4xG6#Pspk1?&In>8mTke{L%wbxPU9*rmyp3S(nuW{}3feUbnIjdnYZfxq z3feUbnc4eFUb|)?Ge`Ao*DPe_Drna%Wae3Y^_qpu{27whu35+|&;i@7S;!n^%{@Ax zYlKX#YXli-X^}F(*fk5ZBY|_S5wsWHkM!p9Lw4w8yh%Bcybj4q@K%n1F`zhxCz4MB zXy#}anwv|qNd8!osXj?Y%8y7QI8y-*R}` zgyPu_KqZl&wWfV2D!)RdIXrDZ@W?e9adC~1S;S^ae$v_VR%S2dhHG$RDH`}PMmc~D z!&s8ttWYwzv|-_;6D2wo)R zH3}UT{DtLROP%?pOM_R*bBjX92bU7JRiS0UL*#kAK44D>Hj>MYid!B`U+diSCdnZMQAd%hOn`-M_Gm4*{5%_)hdeerd zmi#_Fk%~NRQH}WiT1FaQzO*~?NZe~$CDvJT7itX8!2(neDc^>_$7maIRPdgl63K(n zyzLK$4&c*UHoH9eR#K|x`xLtrn;UW2kMTM_4JEr{a4LZ;v1hY{R@n}9UqWk!P&|I+ zPt%Ir%fh50atA^i9>4OZvRtjmRI5ljj`T&cyB2#dmq(m0Xyx@HhK_St_;Tm{Vuha= z7JlM57T*3G;;+^HL~nm=ig%JqU*lNoQrV2y;+Wn!P3>%PG>h$0NDbyW6zXcCC4hs0_zsGINeyR@- z*18Ter#9=$xvZ!7lotoFdb%Ug#BO4NTWWNrEi@mB`ZY&Cp}^>SJ;rM3!L4$m?A20p zT!O{8N3s2(E(x|X$4f{mms5t@smP`WU>iY>a%^Y290{-ZDfZ>4kGicxz#m2$Qb8Bf*5o{ufuQz>5` zSmi3(QkMdd=ke)MMEI9~Ys-E=P&>O_xrudoYt_s)RI~)$Pc`#0OH3XJRY=`mW~to? zie_GB$-e>EpSoPiD!lzOi~E2ze1ktP;Ag-VUp9wNtiL zpym>|D(xQOw+?Blw0nfZtAs^qJK{8_nFohTyDwCd>g>Lp4n-R^R@Su^x(DKWA$nd_ zh3qavzaEl38gB-P>XKEN#7ix7Z^Vb!Lw2E|uL;S17w6i49=A$1!ET!V%MrDYT+N3_ z(*n2GvW{=BI=UM5<@TiNsELeLKO=1jhQ=lZd=6f82-dEjBFkn4G_yYvo=SEK|4R56 zjttnT2j}0ryVxBp2fe(T{{=Lksdqa1N8jjxKOj zCjB86!f;N^RbrG>;(dtW4Stz&?b1g=wGq$iptAUfIjZwjID=93|z2fq#(`z{gy+6x5pxQ_qc2ePPg&?KMbmeYEVZlvf4uT`4LenX_iHXJtw5<>duOiiIu%+ z=x;-^mXT(WS>*%BC-aJ=H~2y-`2#hg5^JC4omHT(p}K>x_pU~?M~tZQ$k2#Bj2h90 z5hI#xtQK47K5>1)DNjKn?H6@QbpMPjF^LYf@Cit|-Y2L_R z*o$t#M8_!4@1k`nuXf&%*rnFGY?B-tkTwo;$y?6awJSR5V8KVA&K&O&Uow%dEMs^WrkXF5PotHW*D!nbzzylonv0TWdC5TIoHcag>`b6TmKW!Zw>}ZFZz~{F&BfRZ{O;jq!7# z5VPE0>yh<9D>p13m%iuAI&uqJAYR}?e2cCs=Tb;(xl3q+R2jQn#B2NDdKojq~;V0Kh}ViAJ|L-$$MzEVIXM@+2NNA2k!# z4?W`KEYp=cIH2{AM=!jbXp8iW^EJWWIIVMgcFdlVyHuutz2tCF=Zh$~#zh|^O!#>g zx<2u%u{EEkkBJKnwcNrNfLFf~1LB-ls^=b!d&Bt_cDqqN8!|mI{uP<70Iuv<{CE@3 z;Z`pGF0rr#8|TV&NFGy-E7LcO@;eK$&p#fS@|ce26TX`L>a6%2qH4Rz#O>X+yhkkD zkSlL^UU?e#Chjq+&n59!4zW=APR^s$IF`UhK>25anT+HG-rxazHK7$Bv3d?wHS_hFy!jf^#JKP z-Hz6tbAa@m6A0lr=>gJjZUHoOYYGex6g&Nfus8@(c>g7^V|h}{68sf_JHJ2y6drXW zyRpW1lU8hA;meN!EYo0xUjwXt@ZdUq@Zek@+*fA8hr~D)_E!wrZvu>FckK$vIk(xYmKx*#?vijv}= ztkB{=w9s7=JCbCzgTIiCHIWxu=&p&KT@TrLhTa*Ht&H7X51H3b3oW-0r%_+8hwNBG zZwbkci~SfSn-rq%G!j+O;ye{;9ENrx$FrC8SbMb@*ylr3kkqlxdk}4}hW3I?P#;7Z zCmk>N9HL{#siD2#bATQUNDb{pSt9+Cuo#-cgO-Md_IttiJU%oug*(qiJ)FkXs5E{O z=+vlQ_HAH4y|YYJUiLZg>ma||=PC=QF>0W%NExppuNvH|vVa>~@s>ALEUqjjZFs3w z`Y{XL8~!91WL{HG*$qQkf;3g*r+JRAG_IBg4>@>;kNt+3YX@i@yNN1}T^B`3@v8=^ zuCKJvwTL|zEndj}W#}J6veMYzD49%D_OhVLSb#>$tALnAza&mBWv4(Kj0L$M!UgY6K}-6 zTK87VocCVWup68%-C!ruhKggc)8*?8cLG*nunK#IR9O^WL#?j$FN+pCobUZLLzVM- z3*E*3qfu$cv)^qehfDk=D7)-MzytOoVcJ`W(K*<(k0rAG^zmd_J^-{sTmfnG)(?of z#Y)*3mNwk=s6)m{?&F5u6OxT|Ge}meGFk1aW zLq8jmo#*q}lUIz`NP#K8qlNC;h>cWbVEyGR;dZMmDr&Aw6$QM+gyC%IBk zc3F-eweHhh?L|2}4@Re+Gq9q?9j4XekXVHFO)@XtJs!%qd2;*+Sd}y0{J* z_vsoMx-=v^!)+v4ZCh7zpKv#%b0@p9TWA8;@aJOu;BP!P^5D(Wb4U1HU|41J$2On} z3Da657TfsJs%d2s*|kcXqkn%rWQz^GDI{y=zZWHwx?OJQ zuur(@kugG!VHCr%<#jafjcvw$+ZXcPUEqy*K(`AJ75xTzeQbPtk`uUvj>-Wc6ea z(obH&8>~0Hh>b#_F_$Dd<|hth5KoMk#KO^%SWt!_9xqsQ?1{)w&=G9?V?a=N0R@uj zT;YX`79FsnXtE!oZzX!3g&?)15DDsv z0>gW%4W0tYCT=TplqOSMQUIG8Sd{7qohF+Z*gTa%y_3xiEJ?jW;uZ#$rKUjUWJ?3f zQ|}UNW#FLHiBMs(wSkqX;bhg;zzL~8p+?De23Dosrqm?{&Pp9lAv@TehI++evZGu> z=$Gmhhso#_hso#_hso#_hsiou946~rahR-d{E#zu#bI&)W`u<8io;}`D-M$r+_exG zr&(QU5Y;=;;4LmSg774Rx4G0f!jla)?|J_Z&es1~%6|%XS^b1qaz}C-^w&K3AYD8L zmtnX}KExzX@+AFS>spdG>wPFGeRJ8^Co)F^1q z?SeBDH0O4~8U@X{U2vv?=G-n=tDrfz3!={Lg0mG{fA1fI=2g=&h3Kr%IXIAtMj3p4GM1aw(Fkc@b8Z(zo!bRb z=XOEPxg8IF2bT>Iz1kuC;PR=`CYxiy>uiK8sswA!?Sd<{*e#{nh1o*VG3*dN8&F_7 zgwH0kjw6fTEJ|P;!k>gV{{`kDeD*RK7-l0iatJ@T>L=jz5Pop&!FXzDciUp!P5j&i zTPYj+8^1#ju2V?;+y&Pwq<-##8x&GMcR|F@U2v1)W{RJ?AmZmP_$`YL58($9KX*aI z&s}h*a#<*T?t<+KEfGI=!CeY1l|%T!J&S~=9KsKFXj|0SA$+1lJ&{BB!M#hMAU%Yi zDZLsratJ@uk$mM4en!SkJA|LbAo8sQz2m@Ng?z=DN7ZcPyy8o z3C$df53@|n5wl<;2Al8@{-HTMMNvF!4`wTo&@!Iwau>q?bO=A&{Zggs`6j9U!y)`^ zpNE09L-^Ug4`NouaXs6QJ%mc+A^dEAriX{{vjcR@*F*T(f$TitA^hy1=Ruh}gr6Nu z33G?=vqLCK?ht-9dI&!|jJ4zagmMT!8$E=d9sZ7RwnO;Y$RYe}CH0>>gr6O$oa_*O zcGORj)ehljM=NND@UvqSv_tsWu?pHD{Oq_kS#thP58-FW_Y=?#;b$j|6VMLfXD2FX zhw!tL6tqM5*~tppA^hxq%GnO#XQwD=hw!sg7YJuNgrBWCLqI!(pWRJ(P~la)Av_tsWBNenm_}OX&?GS!;_A1G1hw!s=RL^z@KRZ`JJA|K|XZ6)X_}Tfq{~hgH zDg$tCzO$v7AXUa9m1y_30&t8{p=>uryXcvm)BofJ`l1%kUGE#;huAJDmO3cx(Ie&oPFNbI470-bN zD6Uj#*5Bq(B94HRH#~%Y9C#&?zX4S<$97jXIa=WE&++=#zvCl^@L$VO(njiVQc4s3 z=Nu)?rw%2`?1EI4pEjX*wgXV(b12$}qVg*=Cx@pE2p+lCATEdSvy0eF#h-M>VPx0f zyB&BXkW=^#zGsvp7}ug3{Gd=$&g3`vQ6W8r-{2>O^b~%BpP!O^C35b*!7mEUlr#Aa zekCNYLp+Ax0OxfDe%PgW5Wj(w#Y6NZm*P?U26}!xR{9T@;$i#-F~fi5Qap~|puq82 z8!P>%OYuN{gM{I~aj6LuI_X{){@=P359K#V8T}6~wYn?d!0aWyCslhk-scQ1;XzMPQBHh$Y|X2#Z$o^GElP@4kx)nf`Dhp>Fca9|2c zYrzVfDRc)-foalqrhu)R#U72PpV!(ghZ_C%vMCYk3-UJNB({XTwCxV&DIsk;Q1XKZ zf3{K{4oY}LLPE7fg|b>H6UjS((2o~l_VL0Q%6YCAt71>^O4dL-?sUDF=td&3M0*;$ zUVD6LP{XwraNs8s@xJ}Ze7kJRPbT7h_%hm-pG?HVPbMBied0qjPqsMB zGpIJtpxQixda|4j^3lOH&g%(s%5gR2XahN}9w+$5l=VF4O)>nrA^u#G^Cj41e43Vd zMy|}MQYOEMh|3odDyM!CQF05LVUv>rZZy6ZhxM14zE>J2%|3B42`|$K&fVmbjRtWl zzEhDaihqinDM@T7iD=-?@|{X?oNpFv;V#&|QEy3Qvf$Pz-en{*#k@C0v2s*1KhB6R zk|mm0e-xu?UMMCYYF;Q0fYRwuEjAFu0=#Mvc9nDFCpyME$|ol$DiYtkU^MF%XNKEt zyWdtdpR^E%ZEr+2{gmRi7+L726t~4VwDVI6u3Niv<8HTQd9^m{>$$A!;+hqoQoM*B zIeEmldi<*-mInHl%qc+r0>wX@YaS^v!hx zyYe2Sl%r|oy{G9(_>hBr-81@93*GJCqo9h;KvlFNzOQ)TytxP1ref8$GVAs0!J2i` zhN`l>r&_olnA721e`)Nl(o$=Y)*o9kU8SvV1~hF#kgh8{XWYxg|z^P0lm=zDjw4@_TyuQ5&l!2Wp$fcB`VxECJ8VxG4AABDv{7%Alr zmh!Z#H10#DYANZHoku5IdKUUATESu@4VJ@$PqEllIu94;+*t?3 z;j^5mzV3CRE&{yoQX~w&60vh2D6Vo~{_RTiWi-Du&Vy65;CC!^qgO_-|6;JTze*Ac&o~c9hMjiMsQFwHo0GMF1%84YOIAh#D zXeTXg57s)!A7`k6JPLDKhvUfF;amFbDaWluhZh@Y>C*;o1Y9O6Yvq$;Y~#15jitU@ z%kh+6t8lc1ooz46Y3DZsyNZ1QSM=deC;aSNGX&;Kv-t_c!+(p&^3xExACW(vjtJd5 z{({K3mk=5MHhy9o&p&&z9}qd<4Cjq6gkabQJ$)R%#~9ZZKjXVe z(uUK=^+#m*Nc^;21C~<|nQ$ES+cRPzd|J>w$IlJk3eZ4@@`xEp*?w8TF9u zHg?{0W$Oa})OyG^8QDD+x;x$0D4EE!h4Q@R9*&|!o~Bh=K&6GaUiG6WnQ+)m4!-|O z6g5#snqfeF9~lC4kb7;Kvi`|JTU*a1CdrsxC7pN`;Qn%KyGo8GJPlYo z`EW1jOyBL=zoP|2e_Fe ztD}_=-PCG;Tm4o>$wVt9h7K$04v92Gzb84O++)vUqy6PT6|})x=e>d>;;NLp<#~K8 z(k8EfJ-Zz-KCPYyyq}D8_r^$z1OAicyPbPu90m3}%XwolB;Fh2EO9d+Y0^`yq|Kr5 z561=}bKN?YnIKgbx|d@|)B!VV zmZ?8LK6x2}JHB;zw76uUjQ-w1s=1#J(eP_jcz#K!!NNiga5tW`FI0aUJcVSfi z*j*+WdQnK$zmO;B^F$GecN+SgkZgG2tx+-^#wgFY!l$Ds;ZS|B%Co^j*RAlgDA}|S zb*+)KL=$NJTjkYXBUTkugp+5}CW)$X;=nMIB|cu%e>9dVK+Has=KvIm=#OK$|>=5NvXN0d4YQKx&g43TTsS z&JS%;;bs?vHhGcY6BmXysqoQ&yNFF*TtFLCd)y@P9i)}fn=w2^~cZifNBK~CPwnr$rd#|8-J!F-Jo)eP&rC@qJ zWZMnBCnS5U;FKuYv=H^Bk=zWtR`|BO3Ts@A@s%T0w4W?=Ulsg5%2aC7zzk`ah3>k7 zZ=z&kvttasASC;`Am3*DUC7D%+X=DJEk^V09F^c(wEJZpLmPcFK^yJ80d^;!kH481 zfz~;huzU&qR)Ti*I$*V>cM@}eZ?iFcGQFQ5FV5H0_TCrzyMgDU>3!jb>AN>s{8R5q z&>SWKmfF5%qJ0%s%PfC_pii$(JVq%Z7SMep-U%Eh?0r+HyirdkgP#6uW%hPZbm*CF$%nXb2(yMsIB46 zRY^{=@FwVjC**a+xIkLtJ*4%=Ec}85Us4)h3|-21?(0RHhXeNd{gF?Ol{&YmFxaGnOH4VtHd>}TO4z?X@6t+k2Fk!k>2j9|Bg_(tL5C@*Q3 zw+x-M3cBlJ0qU1mq_j&1Lx*~BnM{BNLo1OPLl&i{XBu4xK()ieGl;C5p@#TE%&BueUQGyS?Nq{HGWUFxk zuMXZ(TrU`Q908=J&-)1tv#5Qg_y|O*@2}W{&g%9VLcFtp} zabuHbncVq`mW)YTTQ4xKS;(a72!}Ummr_RfO}6a)*U( zSz-Y)<>_=zQ-GHUDJnactiHTKZp=%m@ zp&qhXhCU}GYZ>GASsphT=C3n!SXq~ejBR6FjQ#s29jbO4@qNdtW=??UJR`1}xj}}i z+mNQSpBrQ_`G&B}er}Y(X85(Cu5OkA<~M*g3fTpgt3A2hVrmLS# zlGevqul{XfH<~D~cA~3ZhTcCU+n)GWJ!DC%Pq~He$wXUJt1h=ehCU=DdnPe9N+v2j z*U+Js+yjX`PTv0fu*CIFx?M(h{8FvMN>uLlUZFO7$tK93kVZS}mD~!89kdm18Bg^= z_VT1`8gn2Qk1-*<<@B5<;+64MO ztlz-oP{>np5pXl=B8Ojz)J+fwi$>gMS#zA$;yw%AgybA%uH9&n775XwRoYEXt|M9P zqR{}^wJ~&MNH#W^$I0t)C@XnD($yILTnn+U^Q^x&oz)*+fI)-Q^I`=nL?MKHDAyg^Vddb*+VWDfEtOnP- zY6)40Zepdo4$0rtLl&Y3gk+`3JL(||(T9g*9g}~El8HTr=zBu4PLUaQzqah*^L1Tl zx6$|~t9oum<&kpQ8jn<|d2}^6kFD9}t!|?}f4wwD@MgG9gj8cpD}pG6_+5vV7;iNXp&> z++_LAe^DW85xWJjeCNNoa0+Bw1$d+^8+}ozla&UEhfdI%OMC6JOo0ju-7$p&(f#t8 zb=o*X&9HDj@cQ66DQ_u7<2qT{!XEf$VGSA8PF99+vT~y_c|0udjl!FwWb(qlhaBE1 z6cK+i(pDz7+f(>XR74?LVCZu~vcDI)ibzd_Y@?y?3CX@JEQ^v&+iR$wEj$o-t?;V6 z3Ts>+Y%5PxEpD^W{am;@%2aAqf`_tuyKvg}htrcHLl`ZZj_H(=zc8B^o^)u+B1fF&B7tTYaKV{G1a&?ExtlUXlUWW9QCDn)Ef7u z^)jlV7G9d8z9NrWs~S_&!y~gwe7tcLmb4myVV7v2OwEQ+-^4 zuC^bfPxW!KoOaD`^{GBieC>P!NUX23l{D5uIDxzg-8Qeg3E9zxJ~t$rR`60iWZxRP z$tfzgJGS8KddLb= zGQDkcI|glD2aZf!&^QIK4!R=+zUYpyc}M$l`Yg9(HQMJA*B8KZ);3zcFfF??G>$Qn z`&PnxDbiSjUaIPWet9)luQcR&Vu&(i|Rq`CF%fw zZ9S-xN}$g4uZ^O_@JmnAaw{x!=lIXmLso6*4I$ZK{^#|Oy=LgIL$djPWAyyGhQH70 zT4=e2ZlOQ49LI(x(D#I7$NAgqA=_!_w?ney{Wt3& z3(-G>WGDE))I%1cC)Q|<-EzMZI!2yC)H2yXr}&liplXQv&_6ValD7NBn3kVm%y0GA z)I)Zlp-&6RKJ#y>hwMf}KNXVQ@9&P1$-wZvp_^G>cP~b^n)@BYqZ!R~`=!KazOu0I zUC!GuBper-$}oR4(k6*Bgr)^s(Q|hIu9U-e&1Ks|YC6go%(l?ADp&$Od1@TMBXw6A z`qq$aXhFpcq*si`4;N)QKzw5UOwUxMy=vjlD7ObT@^#GmyR5ABzdMxe?{Ug@8eo;} zQ#lAIvUM;9BQ11a#FOAtSGJXgJ~t$55S8s(yhOT3RJJ`vbN5=6@pmZq*_><-i)_E< zWP3PH*(Tf*%JyijY`!sQZ=riUenUO79ct*sAsL+3=Id=GEZc2R*>)MtMQ5pO8&U2& z>>R4z){AV@T}Qs(;oVtnjW$|7ZdSv2W_$4P%#FzG@M4+CNcW4pEs)<6fw zxCVM)cjpGh{tB5o%NE{XA?4vux_B58yS@Qlhf7g2aq%!T_82Hf6+;T0)=d6!3Y6B1TOoTsxy5HKz`KSrk6#piyg?Qv2#VYEvEfhA2Eb zkJjsVC+BC~w4t|{!o!cW4@Dz3Lz`ot5&+yLN_ONBi?lKDlKIH zI7i8f)@cZbRWC-#hGmQKvF#{`HzoF0qDlel$rafott4u4jFXeR_L5vRMC})ALsVX_ zDPXXjsC{Gm61AKR7xU*x{OGZnQ_fa-&a=>sip{IThSQQgWHT+cmZ&4f{`->AsnTj++xo1sLHiwDgZ(<~dXe4e46UE=e zC{Be_v29_Z_?sA`*|&#@;%{QCX5Semioc0*ntfN8DE=lU%n_bXg^9m#l0A`|%&FM3 zmYCrO5-Av^)G+JKDkRatZIP0SmHYt#{u+Fb+Roa*Y98NHc<#3B2dig_=X{{t!Rnd9 z0QF2^06kOe(_$;~f{dOi_A$>C2h%e}2FIBNL(deMffu7xdZyqfq2AMtF?4$uVuqh| z0CE2+B%o)CrPg#KFNJXU%NzzmdZviKK@I&cd8R0+^-NI^dZwTcij8EP=YyiK&-F-A z9~6Z>kAoJ(2Sp<4gCfyH?s*7(P$Zffs6HqX%?wl@6p7{rst<}p3j@^$MWUsF>VqQD z%0Tr&k!Wq8`k+X(HBfy}B-$CMJ}43;2C5H=L15)%#HqCO}R zlMFT=6p6_On-7Y_esT~pR=U%rvUsgcOqJ6dvC>^GRUHRhWj-;UG9MHP^Ffh#kSY-$ z6p4paJL-cX@vtgKeNZIwd{CT(47l5e_DEx)`Ag4YD(yp3bDk;agMv%-4aBgbt|ge1 zyWbICHxX_gGj{DfKY@J7j_Z)RkKFEHcnZ+s zSRyrP4ruu86CF#WCMy&VxKESXPobpef6cLL3Yj+4+Z|KWwg4#R?T)D`M!~|%knMK- zOEn?agW#Roe=SlP>g|rH*$)(=WPW9wn!_lv72^Zs)Ld52H^&mGd0MbKmPpN4XkPFL zXi^IlQpXahqwv5(z9q%H-7yt$ERkCDoD`srB~ptupE{OE9j(yG0S|AaB90|eQO6Rg zr6i4&nq!I7@d}z_iB#0FL@Mf7B6Xq?Z*swdRBzO=MCv5PUgd%xso$t$iB#0FMC#O1 z)IR4}BDL~u2%d8+ky^#N<{V3;R{vcR2Kbbu5uOSBcHBMCv>RH~GO5 zHv9PsZuWylWEFKRk-AW^Tl`>p3fQP)iPQ$gZu4Pk%e++7u|z8BSRxg5ERo7NmMDf} ziPUA^i(Ys7#oXvfUCvIJyWKH$#Xb-%ce`UM&#{E`e`J-8VU8u(fCAg+i)=E1+v%<} zixL=)B~q+2{spFE3HCA>21=0H=xkVMc)Mfjs)vA6#}cV)o5QfQyKQ*@{MgYCqB^%y zCG2lJK$f~rA$2T~x?Ul5ERniFA$2T~x>2F3U=g^bZc<1cOQddAXjZT^4cu>8bm&+j z6>%()xx<`wku8@OQh~nXlXGIY^Uxi6P_naTkO!bs4>S9M2UJLjwMp} zc87u*$AcDYfiJoSlDVRHu?6ZE-Gkp)(M3p!7sUg7ep56wi_dS0Mj@Uk8U}2#=sVV= z=pv+~i#j1CFdr4^(wo6fd{m@6Qbh4lk?zDH7^P*pJi*e|pi6i~XGobhK@8vXKSzZ# z-I*CwJ^w~3an(>XOQ@=m0IDLkZXe$9*f6{=@de1hkuuYdHph14Ae!mV^w3p9W`K^O z;eClT$Iw+nX3!r&nRC^U8B7Utt{O5!C`!&%!~f)ciJuB*bJb9LUt;kB-j_(d=Ug@X z+kJ^yHbSYnYRHUMFn3>~g1P$=70lh2*h})7tA@<@u>#hF_az=Apt)+uOjOWZHDo3! zXs#MElNH<&-j}GH%~eBYih|oa6mvl#Gj)Ye*a1WacWEyD!n|8{U_Az2r4l4VeWx1e&Xc z|C{>~X_3-p%~b>KNZ_2ShGsH5OF)Os<%eAjqu)PaQ;FnPAXkF2906lMaa2qs8v$tH zXcwBBb1fwIlVqw-l94hQaplCeRbq}O&G`fT;W<1julVzT0cv#)^>7X);s{82Lst#G zMCi?+YT?-KRt#gL1+Qljs2DVaI{1jI2EFo;+-W2AQkv)ufLES0pE{H%b5IUXn@~L4 z0jOCy6zxM%`4u`Lho=n)9=R??-0n+cGc}H9TfdB?q7gm8wCI5z9DrLOj>+iT0E%3B zW30#(FI|MSygp>lDdDpDM`0OIp}5R4U0;Qg!FICfr%=Xg8Ts^nI9Rpf3#4By(H#C^+L&SzhmpgqFTBO zS)WRMlXx-5aySXW{aDW*&dMwI;mW6XSHeS9@JlO2)mVm_3pL{ith2no54;4($H>bi=U$|>$JfHkr51x9boinlE37L7 zd;$CSbC^qLPR_9S@+$*gC#e+GDQ}ZY^?seJ) zicWj+)A49N=xQ7V?YI^CM4WAjKfM1Su>oiFoqMsK`l)JIy_?r-HU9yrhhe3ni&S$1 z_0#nsEK-UE$yDzwfMsGP7m;=1VbrEGk9#?N+uLrO_TQi!_XWY#IgV4vaXFHd;}o)Z z1z=Y>yCz`IN5TT85jz*4*KG$yKH60q)4MFZ9LOJX%ome+`$xg;GLkQzg6xY43Uhf! zxd&i(smu~G{|TViBOvu3+%9AKyoIopt_?#~yiVR@A0zoTlE3428`- z$vc;le7Us49wS}2aU=@IN1z~hAeZMv=6MoHsvCK|`3t}@5ntYCdhZH#Bkwcw0eU^J zVFArx>&A7|Maun3U_S+SHQA~v29>`r$8-%^7Oo^W0-4l=uq(Vr}IIO+sGz54*yyEA?~8H-BBqUfw!9Yysa zhi@%sa}&k=l$Y7-_7ZLE1s4iB-KZ8rfZaKPpQpgrA<64@1S|Qzt2VmxEqt0t?0snk z-y{9cOzOOf^!G~N-C(pcHmOqjKIyy{kf-Z-((IUm$~=rDHKhBghUQq(sF+iMt5Cl4 zF^laGUo$>mTIe2G4lccC1J(OL{CNF1MpjWJyVetP9x*k<^d)AHl|R)&_t?Er zstWnk@;<(Oq+)w)t2Obq$4{ z-@(4O5&N{ZkU623E@W2O0%pOLCgzbK{O>|0l#O>)ai;s5!b@XPUa5y)x#+*O=N)Lx7SUh!0PD_8sm;=b1?GEuw+M2&T#DA64>v1(4un%G3Kk$blSMRu1* zQ0(`lUxqO_){R7s8-a7I+Z^O-;x%=S<^0u!z4s>T#Uq}^xP`hkTQCo!*I!t_6-a7M z2~Rbet1NVXYq1f@EzZUdW~r^nafpVDV^<>mYJ1c?O%jGG`t{kya7J zmu`cp>Q4c*y1E6}4W_b>`JMAx4fU#8_MI2L(;@;;F~8$+mR$3%I5`!y;*ZX zhQD_11&+3l=zPJXifvZuE_)Ua9W;{G`C&@;GLlrfhgsxT1jP}PfK8rrUI~PKl+yVt znzdhs=k{YH90Uvs`!fr>T?#9*Li$^HC@9wD3cHPkU5q3x>^Aba&$!QrIplUb0L5JNYt`F1ENt8hVPUdxx`?0@CgAx1S=hN+*l|*rESf$63<~?6 zH9JWP+iQg!bGbIspFy!ZSJ-kE*7n7)u;mnZCP67oz;gkzuod|=lLhKsz@V^zHJdAi zEww^EweTZQ&`-A3Yz_-+{Zd%i92Pd6pcE$HIRIH0_slU*Q8mgYz@xyRyY*yYFS@-} zK=`oy0NC$QP%D`TzQBoKi{FE@^u8BZ?s950uyJ=v5^PvY=IvAHRmj%>=NqnonnDP(G!vgv8VK`+Z? z=_*FS!po5DRQ!i>aZ@(Ee+Q)4rfholK^SgiKOsGbQDoy*Lwc^fDB7lMdY%fUo3iQ1 zrffR0DVvUL%BCZmvgt)fNddYkn_jFubyGGS*_2HmBduGyDxeFq^l_xjdHYXCH)Ye& zP1$sGQ#KvllubuBWz*43*>rSMHXYrRO-DCn)2H$ok=v9_uUvu3rSMHXYrRO`oClwN2Ud8U<}rHhrdowkexltDtSlrlXs(>FB0xI=U&Fj&91P zqnonnbCt7g%BG{6vgzoiZ2AHvzRC~Qz`D}WP1*E%#hSPObaYcT9o>{oM>l2D(M{QO zWK%YM*=3?v+muaT&Q6%yluci8yI{@Rf4a`5EL%uAhHc8S0R^^ASvHw<9Qjn3MG1^e z*_#pPzrfs-WiOLW*+-EY*_2IRbue(cDVx6bKD_>GciVChDB01uDVyF(4dKxxo3iQa z6w*!E^z{nqrfm8Kg>+Lk9odvkM>b{Ckxkk3Z&`G>DVx4sxl{+wvh>KNY+B~XxOPUo z{ih?FvgydCZ2F#Ogr{uErgvyt)YzshQKFv6rfmA&=TL2IfVSv>^^*T>o3cUaSg?~# z*+AYPWm7f?H)XS3s#)59*p$t7XJ+hH^gNqX|6x-$+vjLtZBsVecTonzEk??0KiVAI zk(;vF{!9-yWwQfx6b=37(;UN1+3cWGK$+W=%?_r7xlP&Z5Q>u9l>MLdpTABx+oo)- z|9o!BW-F=p+@|cm`Op8YWVKD%>}Un|v=7e16f`?V!JPkm1#|xMU(^bP{_{T)&^BeW z6TT79Hf6ID6|_y+>?8$kQ#LzULEDtg?x&n>Q#LzALEDtgPHmE9jcijkTU9QgZOUf% zS5~$uo1I=QSlg7%&Q#DgWwQsa60B{?W)HeaK--kf9=uyX+my{7x>rEkl+7Nd1?Bwb z2Ms8dZOUekP|!AIvqvhJ^PjI^&VPP)$!nXk**U6b+my}DRWRp2-|8Fs&sVShwkexk zphKW-%Kn@Gd``1srLV((K4Y{<>9V#dOFI&{&Zg{*NN+Ab97QntZG=rFk{gh$`;E`! z2p9v3qhca?7l0OycA>f7_XYW?BvXBo48p%7uAJDmO3d-3Ie&ovF^6a670-7*pjsh8 zvmTN|i8umM-f&a)Mes@_2Y{-DW4l{16oa(DEkK~WXb5%ikxkh(IZE0{y_6>UZ8=Js zPaR5>`9=;;n@~L40jO_tDB6dj@+;I3sVc6x=4S&T7k4_+jPgI*gfIGSJPs9Ma4$d z$H?4Pwrn0})E&?ki(-$n`Qgu6F9vAyB#L&wb0wCmLv8M6`-Hil(cE5}DJ<$mS8hZV zwZvm`#4m)zOUd~aS7}6}YKf1}5$_I(t4aKZt1_bLwZzxti2q>3?c63(w{x!A$R=H- zuj8om??GN7b#Cj7zWr$=KTQ-`6;fWUIljh<1h+OjNS4*i(c@)o*~)I(O(k4r3MOMnVYqYehKyYiWv`H-O z4@lC|M6k1}$;fly| z5bTTP6IJLtEc6p3b&?gLcQ{(~{R6fuNXEQUUzn>z0aD+7fPt)#28s4D2bd>@527}3s${$VeAOEw|eC-SoMl*Z@q?$zV{b=vSbTZXP||+U{!7l zRZrfgs_8cugnD13ulGgzdS9fUl)EH-S2!Ay_`X~l8PjVceZ4j^eheNbg%=zFe7q$_ z@xluk#kG-fy*5%1dTk`5g~|AxkfLx00lh&I+$RA@#+&p>!;VrNo1istw*>YtYvQTr zvAI{1OwC|Wl-h?uN$M~LyS&uZ3~OBKK?(iT7YK1FB7XhUh4_u9Uc+zFi*q$D@dgzd zALJvYPYFs&_e1opWY5nK1iYv+Rpbr=2`7-es4|tis50mRji+)KRR+AMGL^fiGRRQu z+(nhaD;mAj}i7*1BXiz)+NRGG?MR2jSt=~B6i zDg$0riR1d+5cs9l!G5AWS1#bfU@^t$Y&BXMG^EVk3_LO57DK9sn=kb}IXHrO%UwT! z@^-(QV)bzo1zzKV&nQR*zVajfOc!(@{Qx&kU>w4}jqFCar9!{K1%0T_32u|Xn_a+* zDpL~;-r@pYRGFG&@HQ9lqRP}{gSWeYduyrv91VgW2?YE|AT?E_kKrSMpqk}X;lnWE zu@jy735}TAk=}+3%~KCjC0SlnnR-aI<7IhKW$Iy7PBP1jDpR|(wRutHKam~h)sR~* zpJcuESqb5pO8b!1!@^X4Brpd+(aj);-vxtCAA2dHX}Pm97Kgm+&uQ?Bm6MWsa$`1g2jlpab&4 zOUMVR;zrk(LzD(x`%*CvfYzlZfuWyTgx^@|TcpHOD-kb99S_RF)Mxljr}`nK20iKo z;A@J!czeLvHZ+6u&mbsjaQ#tWm|cr_gB!@3b(syK1~(Gnm-?!j6#zuitQUU?y!NRe zkN9Pv+@~MjSG@R0vYSo1_#YX)Ko%$3A{sAR&yBQfPY@Sv*eq;O{s9DwK8&G`*#XS) zQCR*;LLXlsgnqUSp+8?QP(1rL6khZEZ=b~tFOQ|#$MT=W8 znwCY;;&y46VU~-c#U*?NW|>?REpD$+Jj+GV;tmQWvs@G{E>$R#<)UbDM~dy27G=38 zTHJ{Yu_&75qG)mF6@u%R<)UbD7lj6S4Va}R2t^I;yvDNC+o*J3BsJkyZ=;T^RugXZ zHtNJq>!nX>gRQ?tU2mhj+=6Y?jaO$jsuz|GhU&DblN5kzxQ=tPo2G?&iLQUxbe zK>Z#GO&yC5v-~|r+yq4_vBWr-AO}qXuPr$$3ZzsN2B`K(NFRabEpip}5zop8^?fOp zR3D0V{ZzF{ysz-iAC;Pa;H&2U(IuLE>9oH!vmKE zef|RBTS^{zW}_UMNW8mh;S%QIn@s%wV(&Y^w5pE&@45Hg-MjBymR*)AZP}&6E-bw* zEWI~D1uGp^5s@M&MFce(8(3lo>|L=&(InU+iUp&=uGp}|f-P!n|KF5z%L|(FnS5XJ z56{EiJ7?z1nKNf*PJPd9OI6>8W-_U^R8B*uxCW|-FJv&K9f<6@yg$k8blG8?o#?iB zbMOo{iMNqd!r$#VgR_)BhoK-XMdQmF3rK~ysJwI|>1VB>a0z&2^G^s~$MlzizQqFU zWuMJpOZ~P68Nn`~T4h7c<_5DxheWNvp z1w+C9E)&a*CZ-A(FQ6I>5QXkmCNEwk3Oxgo(TQzVXn$5{-xsj?%3A1;8&7eQFyNB6 zN%;QU-FAHum0<-BWCfQkItqu?!rV7=28a60u9mi@t9t5J+aWS=ic!gB$TYX}?n|>Y=Q%q~p z*L>2~5vB;;ZVbg5FTPSZ^w+03#8AH-CnGCZrRd8nS+$u(WEmF0EFV*v_blxab4HS7 zn~f0YO{BGidgM6nN2nj?ZmO+SsZVo)*_O=BCa|5Vv^-$~9jL0uYPQR5_Wcty#XHCw z3dBHmD$V3;LHr5zzoM8xakdZXkgby`8XLDbr zxgNB+TbL|R#&WafI)Z~KPH2TSSEgDRt54H4LDTUn(%g4uilTSwv-4}lxfaD#&>4nr zsi!&uDV?UE6jp%C3U~x*T*`eJ*)ao+35dDxFUf-^b0%BFYuAZdz5|mh^R=i+RMdi< z#_7WGe-g$2djWPOmphQ+>nDJ^(MZD_bOr)7O{2S?N&VHq)ORd=-QYA2EM_g~`8z9&jG@8I^om;}X=U;~E^}RKGZ9H=xlrO25)&s!>6p0&C zi(E*W=u5*_a}fK-0v8jl-^zc&F<)t@J5F`(YmZ4bvy;ArYrEr=|QH|aX3VJ?RO}> z>3!6Gx8hHyDM;-92;O@B0e@})$DXg?JK;J-%QL$%OtTh62PmDsPdRwLW(n|I2+euD?NYh)WYM;k* z=hNsf4+}s%;?H%u)vN$XPqMLGuWI6S)Y@^n-PaL`Lnu<@daHC%s@q+ZIFt-2*Sk*> z2h;kKzm8_l#tf$QC;w~(X#L4QM*&)Ys@;Ih7i4s)MC~TT$e1BJTH?`>EZN}v`2-PQ zDBUh$o-p@7P$c(IxpI7(m<)Y_kPjGo2hvfbGrA21#?De$jc>pXT^oj;w5+w=w$0OG zQOjn7@W1DldDal-$AwcuyCO!QY_pJN(Uaz_$0v#Fm!K zox))l`532`-f(dhA^3)iLcZamFnPnp%RvAAH(U_YB74JyhtN}-j-}>~qgPD9ojhV& z1b`RL!y7K%q0|9LzP2!TY9oXqJ_nFHjb4$hHjW77CJs~m12-BE8w%l-0 zliTD1FL)NcVeUl>7^{b=GHe0fgUQ6Ng!fLurIR;Sy zt(v9Vj=(D^j22eY?PfCM2eh!7Zoh<~f`ArQ)8*$gR6n4F)pQ4eiUV3$O?SMFajp3( zowWXFyCarO2O*u$us5hi>op({?Rp6$%<)NxeR#{3N@W~V0W}T@^$itov(T9(GWslv>p>Ut5KA!tm%<(2GO~kA4NcsXB;-&4TM8QP4-gP0fO)EdW*uct^9~evk!yzh%yP^egBm z*e%U!!xn-n(tmjLE9fuC?ahLlF^vrdG(jI5-p3IP6i|9NTEl+g7LyikA4OFKEuR%^edPspdS4S_Wzi%dh{zepaD%U?R^}<+5EGqk>P^edQo2j|tJU%@O1*n0FUn61q{FlgBU%{^xwo0UEKMHa~cMvs1x z9Wfjp{Sq&%4=pv|Kg13Wn8L6x$M=vd1U*9ndIO4Lc#hv3Kz&1YA-VPF*I-U2^>H!? z55~K2qP7)cc6j;&{JaoPl~=roa%PBnB1Ew`43fMJROof!CATWnH`MOJCZq-Kg^(8+ zLI%D8-7LsIMgZj(Atl+!UMW@droc``E(!xi-f@*4}hKZj+ehg%zYH)X_@arA?O6}4ZS?RuvCMAgYraOmfr#03z^c0w ziJ}H1@S}2D@f0Qf;uaCQ@Ev?8ua>RnR+f4M(pq8pachcv^BjV3+4I&EIrO7|IlG+^SFWWa>p!GbU8U7a-d`0&@K^^%p`lLfy*>=}$KP z2%A|d7 z5@}>!Tn~$V-BKO?ShCpe#A_8P;$Qkf!`DFwb4e|Bi!)LJc%ZE+LH zHY9a&?L8ICMppke5vod`W>o4XqKy>6WNQ??#gZM7dUh{lk16^~OExq0O_GdF_FF|; zjhOusCd*U04yYv$8EQJ^FLp*Hc1d9Gs9O9;pU0!-uZP-v+an|XHPTukn!N3ChxaG! zxAO;D-{Cl4dL-Qt^)BN|kDQ9_6M5%~&R4dZ^l85Eegp0?eQ*tK%aFqC_F^PX`66on zn?&N>N;~FGQT1@h^C3>!O8ei)5?_ZjlK4iJD(eGmO>Ch`-^_j2RDz!(mI7Z-Fx}Cj zaQ27Tuc)4Zn%u@U97{0ms0nxyzzQ-{XB4t*_s&G&F=_j(x(Yz_ObN^C-jBh(4Aq=IUHE=43|CQ_khJS>ZVp{ z>FgODNg3(X#(F>E8O~iIVn=JO^PkMvn{w{hMJHF_><^dO{>b)C!BbtRz6=csvq#ro}8 zPVD6UL*UpC)+)QL`ot%FAKr_+7Hvy67bh&D{2nT1x%z>!`uc8BY~d&P&^4};khWjO zl8!(cNxFVDC=w-xfE#+;ek_&&tRGSmE1#IYM6O|We{`=wbw0=Njpoc|6^-VG6S!a|y#E9O&ju)Ch&3{X z*eHVr2RbsR7lKu0CA(2{I!DX9O`m36cOs4I%VWa(6}!_?t&dSSZLXy#`4rbz=j5bKwXY(1W&dd&C&f@6&LBn=2}0w7{$_3e+^A3UTB{^gSJKKBV(>-(B!=_%(t3^5r}=hv zl8W^;PO)n&)w?k{oR;Isj;i+MM9w<|vx5K|o*xB>WOwmiG)N)z(oME+ewejZ@1|2Znj1Nt|y zUB!ad{);MjL(^el=$I?MIqh6rA3KVNCWP6-$fcJq=83)u;Lp) zm>DU5pWU?YA~6H1fhu??6N@)0pXx{tjlson#a4#CH;$ zCJi=a{KMY^<|tCkaGM`6ctxF?G4%z2dTwh8>JrBGbX<)whk2_Wlp6J)4?8`&8SHc* zmSjwGuMF|J@--8_I~;|!fXYnp)`4)ZQE19T!fvfT&1COxqK%>uNA!ZRU~`oBOcK?n zt*W=DJ`GeX^0bP{Bk$rS>y*+q48BbiE6XW=pF+So8I5dL+RGjl8RtU{otxW6Jd4Bg zeMqB5Jj9=CpX|ZOxxFWwE@rN zQO*{ExdG2({7D{QZlYf?{4BxTpyx9@Fy(TCUck9G0HQUw3mK~Fi11=AVmj}bZ&wcF z9`;kWe-*Sm2=iM};YK%VQhApq-AHY*%bfwj%qFd%mBQVGf5ttB@T&lqEuuej@MqAt zak%32bi8?42-y-~AKfb2byUmd2X`}NjVyqD-J;uCvJc$XlVp7=6*WSiHK9|f%9XLI z$h%m>kxFS>*nE-bj(AQy09=O92BuTKgB)mx7{?~f+U7A4|1i|(hR`?$vvHh{v>tpk zZ?H@2kxu~bL`yZ_YUV&Qo6&A;S;c8{A{BH452!jSNyBYYj+gB^2VvVtmiexy0aYI) z(SYiDGQr;h=**+U8;J~`x$ew^#G3@F8cJ)Vo6rvCcRZkZO8I|cMY+2NvchAUv&A&|%~I0HlCmLSdqj4EL{^9+q&#S!uiMYBpa zcz6uwl>dtKzpuz&tcoA^ zv@}3fj_Z8h+D3u#T%}KtMp0nAp(g0%yEU}P-5>iFJ>3KI=Qg*Cv6r|!oU{5BWV z+!O9^M#D(Fb>FV+&0V3uN2KAqw61UfllSaEvQ6Um%|OZ6Z@cOHq=?5+cPF*)!zQQI zo{HjdT09>3M@70t&W$#@K9(bgPHI}_t%7n`4w3Z9}* zjzf_&RNl}- z4pdsz99}p8WQcZ0f$*PrpwgwE3}>BJjiOxo$#B;B^h#g(x(3hWSHA8+iv7yhN$7)q z68 z_;0`N?rkprp*_CxMJmy+e2I4KSH46!dw%8XbY#f!$tv>5Sg2m?E0jw1!Kq)^RQdt$ zHvsr&y*~eH*B?SZ&JXBUyZ+Eq*=(GEezoflJC`A!K44b~3-#oOx6E-U@^Cp#!ErPI zIggp!oD|`HgM{1`^jeTgm)r~JXFi%Kr!do1FB0n?JB67ZPQU&B`>(Vkrg{o9J+=o} z@F~pnIC{lN8z+z04#R&{0DosJJ-!iA^b}@#>UijjkL0AM(JQjiX`S@+?%?hI<5$}8 zDa_0(?WS@8|0!46k+p=UFw@J9Cc`Ve(FF7>-1Ks)Yj_GXz2XE0|9hn!ox+T+wBu8l z|KOE&bn-8K{yNsHp2AFDIELFqPhqAnqF;o1rFsf8ex=!VKCTk5^I{ox%*-UBr-_!VKEq$dH`E49Xv8NKRn}9R!k7 zm_f&P7$>JNgV-s|W0Br~`h7WtNg&$wX-JsklMr$kiArt2q}9L!brKTl8!DcYk+Ko* zLQDkZz?#E7H=C%7!sLINT>PJpt=2a8{tEVvY zIu~L(L~%W@3wa1BkxpUeb)|HB3Nx>p#C-czG;$t$3Nx=qGf;-7F!OqngyAX7yj~{rpGAU%bdcgXFG)l-;xhYF~tF!K%*P)}jzO%YH} zVdhPJhV$wv%)Du$XFY|PH(fwIg_$=)>ubM?_8#ZeQ5h~bP=n4^*2fd8nM^@Op?2ff4%>6D}p&>K(`!*l$}0Ptbq5J_WGBp=7g zq(07rly!I)PSm!-i5;H)08eKx1y7Y1JOvt{9t=?~6cGv0fI*Vip2DOX@pAlEL0{ic zyJye>myc8_h72JCpE!ltHl!pQ*(;@rJ~5;u^U0t@nRND2$|Rc*JhcN*boNqEWFLZx zSLmJ)Pd31K~S+7%gb{EWy5hcx>UX=J-fKK5H zATx!%@a`7o_kxuaK8g2~;};=M$}F1>Qa%N3miGfa1wi_sr&%$CAvfc=C;b#zk9+d# z9Yi&S41kV%-VCV8{Z!%(L;0P1K;D#B*#1b|i?NXQ990!uzpM>mIvbi{cpnm1IcG_* zp0lJ0O8lH4IvyB=@4wBLbCY)v^wD?=H7=K9dbU?NA zg6<&fCF^Q*Z;;cR4=eUC#HRU?3-Q^w9)|d|c)tjgJqIh03c;FByLQVSO~vqrO}A`9Hs^Ky?%71s5}NPHsnA0&B!hAvr$Qx{h>dq z4EtZ=UmTY3c`gZv655-oRH+@gW|h(%_)JK@Eu{ZrME_gje^0KNqIB(_4e7rL>F-`mocT}prQ-Y~+^pnv(3Q~f@se$vqiiNA zr%;@sKx;YiC8@|OsM!>py%Q5JVE;I?hU=7bP%GeQ^c>*Ud~mveBhuOV*kfS_uVpAN z?#oy~PF}?I?m~gLYF`xD)bywWDKg~gLdso5`1&H&W--V2X`L zV%tC!GDM%|qZoH=YEVCMsq)=w`PVglQ2&zJXx@@s`tv=gnB85Oq)*1#u`T^cNxrn) z+L<^FPj8O~d!D$~$9d9sDX0yTb~ME}@FziSNUZx966-#OM%~A7ZkgV9bpeABOj7YA z2_EUM;?)fJqYU`_3^*U<$MWyR>^65pX>&!~DXP^8uSpBJ1=ye6$C}+-u0e04wdPK6 zE{k|R;BxK==WGR>ZG&)ukbX&~%oyyy2ka47;UrJYlXJxn6fakG_{35_zy8=Fn;qicb^R-U% z8SaaXsG|*asuvOERbZ&_vqbp{!3#|_@SPXIn->`e0}0v}s(hTf*Tsg$VU25pw1Ns1 zxZe!IJ!w|GBFbSiUrT2Gd$Sm_#?;)4Ox4nzuJky2c1e<~3)#&U;&7Zfq5(KuOs#ho z{aJ%QU7d;Wv1{b33ek<~D23!x^Mrb!e_I__1e z(Hwo6gUyg68GFJ2wF+w;_|j>t5IA2a_?G2yf#tQ-JW9L{Cchg;e+J=C*WHk&+Z?=o ziCQuDHJTyJ*e`=S>GXajdf#%t!|YB<$`!KcB9+)|G4)`^F=@F-i?$Urw9Qm83%UrW}5o3?mAuxRD}C5aj^4#|h2*vj3V zf&ZGj(aL(*j3rGTt@XH2pC;Sw1=>s%RVvz&n;LG6L|X23l`dsjHA&ELgghI(d4h>8 z%`f2Pra}%V?9@Cv-;f&K2>I^9Sw&H6N0++fF7**{xFhT6QV+bAVD9KDTF1(QgaV=JPb5gR<)?H9&CnA=lk20nEP~5V$8`cJhwOa*jSt ztDJ+uIaX1wgGE~jOmU(PWj%U9S|ie~RaVEoE7Bf zxkz{&OE?EmOa2Yj4J=_xJ{5GM*d70dO5RzgTa>+{?9Dwno4`F&r>>%{6y}bk#0@BZ z=e(u?7I%U5mxp1bRnC#TNi{BSb&IHrnfmVX0!*X4UG+L!_c$H#H zD3$A}R8G4WsUtYmGpm%%&_4;gH$glQQ(s|s3bPy364m7I44mTq1uI!+0G6QtOJ!Ik z)jZ8333mX^)oh?Vd+5_#=*6qq<}h-&TRbyP@dxS?)BHuD2sg6`d97TmwDcjNukp+j zC7c~)xGKzWHD~BR8Opw-5z0q0B$%(n-|2H-P<$2U`jCeNzeE}-?n9TBcWweC_59ei ziYvRG+G2*VbUqNJ?bhdZWcYP+tGsERLlRLJal4NNnq?TKJgW6+RwUZJ>}qPpsXm+Ye5Lu5 zK2HLZ+ro0E_%u-aZCLI!pT@WN{(E0qEt&3=asrF;qaoWwHsuufZx6=J~ zw^X%WTX;|_Th*pqEDw?^`f=nKWNS!mP1Sb&qTHpxE`6vZto$rX?y5FEOEDHYW}fEYsUMHQHhwYS;|?8 zKF^YM@(1mO%%V40vd;byNwTh6P?6p|tmy7ji}%G3LVEZ#>e&xc$q1k)H`Hl>*#y5) zZpGThW^}?9B*Z?2a@Y?%r%h*Sje~u&MKe66PsAbzb9HfpgV;9iCsni?L&58-9J5^s zS9~hkcnjDbSapybUvkejs7$QsOCF}20jMvZdHtGuwjB)9FN6gyDF>c^{~_=^z?J+1 z5P>%noK405h55Db0C)_0qb`{ZwOrAcS~B1Na4%#Q{g5Tg z^=qS^F>b8+0@XtgeVTd+&6iE0fqxVEo%$-J8TDro^tX_FWP7W-hADFXFOf!`*f2#Q z`MQpP=yor_v>*2xVCjJyrJxaKONE^lG)mF@uQ{$uIn4X60@47$du}IL6}=f zx)WF;adC>Iz${=z<<)Qt(~Nl^3fjuo5IX@M4Ez%W^D=P>|B9ziSHNS7ffx8Kg8xLZ zPT&dM03Sj5OIfxD0CPL-P&IsQRn{^!4@*fg%?`4t?9W6~mjK^;pa))UQe~(|Z~pCx zybIHHO1Vj&=IGRe8BCXcF3e8S=O-c4J~5{9?hJZfsovISQ!HDF2!mtP^6m^O{z7<{ z>2q{QJtRgg@6MnKrRuBCWg+$O7`41h^=2vMS^6|fQkNrBTxS+_iIQv&nU0Dvm3L>* z14{LjKEDsC$Hu7T-5KUJ`tAwh#V4R&SOWZ=q`?O9y`iJg4j{cV@Fv6SRCb7Y|IxC z%oF)vu`&Jzu+wTQdBT}`iG&t4Cn|CnOihYBor~N_g}^sY=OVoxw#d`D$d-Vm$TPUe z;RJJ$XK;~o0XtkmuFKvsOl+YUYYA?Y2naB{c@J|A!Th@-f!`;1dl~`? zJfsg`_Gp3cB$z#3;Ew@UwdFx-HXUW7tr3YR*JM*YIHK@`;98ciO{MD$C_VsJp#v!f za(i~<&hjqvuyLR=FJ+$$!kCQ@rrnlJgnA;o>rOm{$(9%sd54wtgF+rac57v=dXwhA zQO&Eym+2Gs)wzRJ{MW4b!yqg*_SdZV)dWHDU$f$`0wjw63s-C_!K`?J{{+}+brTi% zsT8FP6Q+ce!>MVA(#sk_#(hgSv9S0Y|Y|< z=2|W2n9~XoJF?vBta6!;c` z*|r|ZBHL=-AGX1^^=Q^;(61txZA;)s3I2}!P2gGs&=aw(2`qLd@AH5YI}`X?z+z{Q zWlJ9{iEX=mG5);B;upkNXPvK_67Jew8SnY=r^bvF)FrgrvcAlzf?j?M*aB79bM z2}&(#jGgt8Li&@1KV5eN)s3zSZ#NLici1*NP6l~8o5!Q`>3yH<;T6abt&Mfvh-5L_ z*JCotyPEA%WZ{8Y%4v0AcW~(>MzmJj@?w3$YTpB?gs6^eM2jE`S#3u)qVowtlXhey z+6+jVw1SQ36@uA_1a=0I)%K+V+em>MwV-RG0uStD3v9&&mLd}s*oq4rLJ$gU#RXOa zk^)Ve0u6%<=MDjiW zxXMllbP7KNSmNCk86&7Gx$Ge$K+hwnD|rNUFTp&5x{60o!$$%hTMT{zzY4fF$6JAA z^mH}%9-~L;=qcx0ap<=CG}onW#I!$VVuLKIyOPlDK4Nk=#`pLef~DhXXJ2{5B?!ygP$-D%FSj zydb3heT-V(ok6GkAiU4h=kG)6M`G0S?hLwAsjk=O=OOjuF=}~txO&ekrBRDC4YL}; zAmi1usC*?E4!l(F=@?UacY1VJszLe`${jIEd50^W-xI3uA@O{plHa0F`2IVgV|3$i zejQANT(KL6^T!Ed$LPiZ{bRu57~Qjaz_n_PwvN#~i~7p0fSp#IfifMW$W2<*eNmAI zB7lo8mT{4jkco;c<06+4#6^~Ik?W}l_Qi58@_vHZ7t6WGw*Wh3qfrgx<(g>_Vv814 z*A@TI8RI&Q5g(*XmyfjcLs~ii(ALep40%og+?zjklFFsMXl}MQl#wyQ$mHby0kX%M ztrwlB>Zj;2maJ9o*F?4%xRRUkN2b?rShR{|+UCYMIb}yv2-_knJjF_Lj3*_33GsG@ zRrnoGsXL6dRrsAxRagpGs&Ky_R$+iLnraJu$UhH!Vg+**HYoaLOZJw3ACYBLVStJ= zRiEaa3T&|4nw}qbI zPXeFJD*T}6d@avh<{wXF8CAGk(f3=jE0a|SXmoL{%Z9X5X?~R@Rd^2K)rC7r6|Ui> z%9`WFc(38r&q;u!W4Okp0nKHArDM3xorX4gAFu@b>s=b`ViC(}wUBK0aVc`67InQo z;h~em61Q=QHTIPfw{eLb0XeNsBB>t|7MnEduOk+hge)Fp788`kgUsR>Vo}zVSTvLM z@-3RRlP?v&5ENOxZN-auVROU;5u=#b%g+HM6))!H?vDXWMN2%&NgoIjMU;A^h!zvE zUNaZVH_g4tn2{d@uqC?u79I^7c@#8`x{OZVfVFt;k9`{naYOl*2AR3byE9-5D3*p4 zBVrWtF1^!kP?^@=IUtOi4(-3+k|Wi8UZ1ABw|OsQ7X5`KJHUHANydvgfhx@^&+MC6 z$>ljk9jJr{XT?sdFR1yC6vbC*-Vypl^G^!(K9}`=B~(SxaxUxr5kO9>tEuf~>VZtn zdO*bD;*iA*X7RqVn87S+><1QQE76IWp)z8dqFHZ9i(Wc{qIacj{=qzCdmU*c#$X<@ z9lk#xwBKMJvi%;A4B3WcPeWtZIsh;a*#uqyScdt-cnWzh!92_txZWhdJggUZA;CPP z5%>*)dB`Jhqsgug?T2U6(r`4iACXOR(-g9*Hig%bieI%tjBympw?FdtU2qg?Gdi1o z4Rt!;0mTPlHq*!2-GQ;$p}gx>AE?af+3$fcW>sv!TL`r>d%$iy>p==J;5jiy@-Dr@ zO4YU1xtW(8V<w*^>{H2CL*= zb{9ctuuAS@2OMM@tZx=I*slrZ1{2sh7z*b;xQgdxw-L+@Ch#`|^Sn&p!w-SMa03b~ zjVJH711F6q@P~k9Ue-U0WJY5hFOg$_&db(n;|^+)ki)9#`iu z7YbwpC_V(_*JtrOZXCVeomGem#+r4|JvF4kw(7GV@M0$i#blIshsPw@+QfDAY1U-T zN-||KiymUhj>$S>FJu;dfF+xkbw`qnL)yWLw)SPt%!<`QjPqUO_eUM3z(RP%=XCBg*8>)dI77D-t5~Wo%F%K* zYZKdcWyjOV_gRr*iqiHe5GmdP&$mJ;o?$75O%*AgVJX%Dl2ZSeD7B7qu$;{E$x`2> zK)PAOxzgHu4ITl{6EW2gzr2To@efFok?1`h4daGQL+yDadap;rxGMqk80~Ro_L4qv z)#?=RiVtvTH2S8ZKec4XxHy=LbG8N`4%x$b z=qqFM3#I76wdC1oB?#$K3~vW16?X&-KslcA(SVNU=Il?8RL)RN%%&Q8ZWi{WoKz~S zdfp=A^-Ix;@Mdj($Q`ircxqmaVa zUu4VuI&P_x+t|Zl;W~Voy1o7)yRL$A{Y7>?1?BpSZ2T6D*q6%n7ugLIl~E-AF;X{vx}Hf^z*uc2foA`ityh1?BpSY+M-w`Q1{v{vx}%xt&3|{vx}j znZ{Dh&!x)-vfC=SD3`uppWWWfXYA74L(xdt9nBDcUg;{6OW&{0t}qiBUTtzefOfMx znR~!Hy#6A)n<2{xufNFdXO=PjT9aFm4fu4<>HGD$^!@tmF)Y1@@7L!}A@RqVr?~uw^!khJJN#==kNVl0NhN&!MfSa- z9l8D@`#w>QTz`?hWg5i8m%y>hatt!yr+|R@V?9(ac1B7i`{2~qY${!U@iu^bGAuU- zZ#m7Wsx|qeUVc?=SWf|8CsDv3^(r_W>T?}`84NYgA3hRjzD~j)F^wf~f;&(jf22UE zfObawQ3CmnOAh6aCZ>h*Q7?ZieY&|8ebmbzN3Sqh{LmFItMQ)&*N=MnmAgv7cTF%6R^gu@;0Gpngk)YV;BFIKM8s? z6YyL&m`l|^UBC<6pooai5bzQ=SWSvOQ^1XGurF2NECH`{g9R?YwE|x2!qgVvyO+`m zH@d-QB0fjJn?Ow9xdPto23x71^M-JfZE|a2an|*o~#)yJX?)7`RS?yp~!_9fhZ^)SwLO^%B&CaXb~xFs_&Q6W-}R!*sm_ zc^z|gA#~BnV2>Nb+_}^ymz+WRqn|AA^f&wk4{^VZry)PwkFS^TFC$r9T<1c+di5_C zXiz}gm;Mz34GVe_qbmg(6?kOtR|zyOs0KIxYJnyO&8ShX5ol5{pD1sjqV4q({!N0L z5^N=&Hw!c)xRCPQBG8=RH7b3RKy$^RZWU;LEjlXi-=2m^;tB$8n>(a!R_XN;gktq1 zu9xudtN}4j~xLm&CdZE-4Iow})XtI0qY@VBlC_*+*6v2R_a z+fimvl^su1e9J-sf9tAX3KH~NSLuow01D+>SLx2v0>n&&yL1;a98&(m65Q?4mD24k z3+ZlTC~m3TvXJghHf3*FNcUI(%J7zjbWf5nyk#NXi$n=;SxEP$I)&f5O7{^^zjc+a z6i~l)mF~NmIqNM8>3)LU(ySI#lCEmVjJGypgGl!mq<-rvJ>W{ts<$kp2MVaSETjhs zsJASn2MegTETo4#EESSl7ScmsW>9ZgNDupnLA_-mJzPM&Wg$I6K)q!lJyJluWg$IE zIO{D7>CpmiDyc=sAJb#<^GG*(%R+iw8wT~3h4gq~rME1k_npjGy=5UiQ9!+AA-(@% z#_BB#=>s+}sJASnCqK%d-m;KB=sgDYmWA}eQjp%VkUk`ZehZ_S(qKFGdeVmqsJASn z4--&tSx8S2P;XgCPi@Y5^_GS7G|{u(vXGuGpx&~Oo}u-XTNctYdvRX9Wg$IF;+WpD zke;p0E#JCIXMF35ERy|9Z&@HaVmQ2Ip`IZI^o7#;{D&ZftY5;Wa{N<~ECjy`3Fr+d z3S~L|^#B?evJ1&gLm(t?<784FCnMzzybC94TOp<(P@nz)|9Oa~$_xH9V1TNP1j#xf zM6oywlDzd*=mHkH1E?ApYIk81(t_7vAulq7419f>VAQ7`29(P}O0to?QmW`zg_LAI z8I<7kREQ^=5InU5P#=dVvJXMUE0jj6RGn;q@yOK{?|jQbx|*74pTxJW76aL^8rAQ?H9_}3wK6aEqVAbQ15#B=;AyfnRwvb14a z*{I}phW6q#*P)vxiaB3v%GYbU>ontirq)6{C9|NdzzF%74wYXRsqm0o$8N^Cdczm;n<$vJ)z zQo?EKbx^uaQ|UFFrhbJg&@lPuoTlEx1^lN>Q;VR+*fjM~R4z76rMkwZsV^}2-)ZX4 zJxzU&^%_o7$q8fA)E^l8BhyrBp&BQ{BlOloYCwi{T1rjEa8ucyc+bL^mVS+Q`p{Nf#xTsrL%$l@o6b#rfDfH1pfH6bOEs8v~)g(iZU%FLy4xPlpak>CG^>8DcMjo zEnNl5*tC=+j7>{Plz((ux|TWr$h5Q#Gybt@>1~`foR$h0PD=$0r=i0R9J=6(%Fm+r=yQgwY#thX;I9PAulq7418i*dTK~XHnLYr75(;*lFTQA z5@o&;;>jiiPwfEIcOi=GLs0Pw)kmsmg=~QF$khYyIxVGUN={4H0IAbbe%;%&^ii5) z-e!!Qc^$1YFU(8tL3Wv!-fHNbC#GZY(v;33nd{|-lvNp1w#PB=Y#q)_uQOyxsTr7> z=3}OBuH%ieR)9MP+!?kmu+ zzqtCpCIuV*t=lLJdAMVs`~UX^P+$(l-$EjAYIT7(rE(8lfs~@b*b{Y%4o8Y9`V27v z+o{h0D9)XCHPAsLyywoROSWhPH6Fyd3j`{Vsc)J;DNs8Vl=xUhDtZpPB2LkE1VU5v zG{S~k)DC~WqV`Bh718otR#7dyXBSPudrlFpo%%(mASJh`0p8O^WAHa9`VN2d9Qlqw zP}Pc9^TuxxZ~PX;H-3ZRhXTzr>L9W48zeS`4IX(#CHvj$Tn& zCy&^khySWb{*FK}z8WcYWaBrOx}KKAXuBhrMz6?L7ds)r^ggUGnNbHbNb7E?Z2Sg^ zjo%=#@f+BU-@3H%8zeS^2H%M;$2FZ=zAi41y97om?&ZvWBzeVN38FjFn>Ke|dgB4FQ zs59yyx$zq$H-3W?L}uOi4U!wb!5YEp#&2+CC3nA8x-ypg18zeV= zgXG3ye*A5`9M?xQtX{H+qA_ zMsIM1;AEpWxKbe5=nWDZy+LB5H@HS9WurH^fr_>>>L9Vv8zeS*gTzK}ut~VcMsIMd zK=bR;MsIL?4J@@|y2)*EhqQ&AQ4@;QlbBHlchXi(?NnZaYT%33BiNcE8j!k0G;H;X z&PGbAD23peRn!~fuk4}$c+V;71FT>46|lKQXCozD)B-7iQ=j*YYm}Z2b`5CHxJGjl zu>tKF*N{&V)K2BMx`s;QJ>w$YGcJnl8Rxg7%%CcJf~a`UxQO?Ri(-4m`4#j5fI6~g zoZop9N~cJf--T?B+L3~2epgDjlk@y;5=CWlp5L9!(N50udu#z^I62SnNfL&W^ZZ^U zN;o;sPwpA#C-;o=lY7SbeW~-pY!$O)tkvg-d&YI4lKd*tdw4T{et%LHZmMq<+>CxQ zf54}lRVU~90|nH{dHx^)b#k6RSU{be=MO1DbD=^qInN(Tn^lO~ax;Jaus#gx>1}DDxglz^A8hHC+GQ71k}lS{?rAWS10HB(?riYInSRipia*7XJ~z8a-Ki) z6wa%Y^ZZ#70(EkpKU_CZKWRdK$IyomhVmO?f%f;{?Aia?P5IaP_ z=V4PhKFv#opa%3N1oQ?JMa3NdD-aYIvI{}d(J3T1Kth2b^>H#%I^tb8QQImflu<}Q z1^59Wo+>YRnmYhBEks=wqF5XTN!~&gx`>5d0jdH+?XJbp8_|N-O?ZeHAMS}Sq&;Ig z-%gZ|hLmI@8JvVtMgJ_MB=gCj1gFMGl`_dD1W)Y%RAq=F`w&#TLX$!~*#P5_i#`>= zlk@y)Y9{v~8Yp+9;dR5r_VJUT)4TKeRp#l`MB&}}xfnbf?ElrmS>vYFbbIY^8i6;( zm4YMa8zjY9Ks37_jGEnrKe%2ldPiyT+uVnM@~_|KUit&g@lW8}be(gNMXobmMX!z8 zGzD%IH2?{~qok$_9J7W~a5Fu>wCDz;rk2{FRVMr1lcTlOTg~u9_<6h=brFp07^D0nW z9`e{sJYETTY$hJgES6&+@wlIP%-6i@^jQjuT_KMx#N!|&i5y#q$4cVSzJjVU&X|pw z?@fJP2JAtF_?Z=-3>a&O!3#($n@?95jpZ`G1zb*@CSY>jrn7M`)0JqUUn`Rr^oj3` z#to^XIgm;{#F*VmFt7Fis+BVU9BvIuJ(x>9&T<{BL^Je>&vwSSR$NHh=wjw8`ZRsQ zDt3ZpJCDljOf`QH9Gy;PH_myq3S!Pk)d$34Nzyg z)v^ieqE$7nQsmovn=*U|;I7X?7aNuHhC0I8G&5Uj;ios7a8jcC>mZs=a%`C zKve@sxN#)J7p$!Qy4Gp=n~&nk8kk3tw4;X1^>*toUj|--wJ%dnkvG@-+$Nqwloc1E zin-obnm8!_Bb7n%AE^wA|43yJEzj1}AE^wYAF0&TAE`LC(Dt~ub6mJqdjWi%f03ec zuh>US>d&h|XinisU=D}84*l^~Q9{Mh^t!eqy{^G)YC3s$CnCr~_tb^boNW2kN{+t- zfWMql@fAn8Qr>+5vIfRg^N2( zU$AIi`Gg0wWqz=oDlZnp(#58~QE$BA6>-0f?s63{2-vR4Zz$Q!YXTcQ4ffbwwy6}R}69yCeN7R zMUzT~6>>pYwjgX~dHCWi)tJ~7F&8MWcD+RQIuRKx zA!Q;->(w!>@pP#tcXW5FV(ibR43_6bt5vYdj8MdCo)-V`f9szOlexKwq2E`MlU9h zBIP&ZmO~Skg!T&Oid>{rT|^BKzX#=NDcC~WQo)v5B7xxDDh8qiav-)>!M2GP7tCu`mn5d*kOSa^nac8)Yi{?|pT4;>QNRXHoz zO)IEi_XvwoaabIOx}Gt4IRT&SqnKtLD2|T15qy>0NaGl36(5g?dvaCWhN_0SA%;4vMG#QykFtL%FseN+)|X+i$^6xZkqQj-Ctt!0}%&QUCSxIiT&4>Pin) zFKG$V>!A0tVqhhlIwtgAk`nbi)O&^fOC!a{dZxz81hs@SDlr3a#&~BKc^ll)vLth( zzAe`BB}qew^>0MnJi?-9i`tlQE%#C&#{uf&RNrI?)s$VJm=Zpdj^bR3Qapy&D`gl#z89DWyW?&`jLZMI9R)mi(wo%z?PW>l*qWT&Phqf}gr6l_h;X^>wNb@tA*c=VU!6u%45bbch;}t3(JyKF>$z4u>QgRXT5_;K$Ro^h$LYju3!3tJ+9XF5RGt}$c8 z7g23^!batu5xs7hiIpaOMZL%naG;O+iUyj(0p_oE98n|j^e65jY&hJ;vF?K!;YUYv zQOQJRI}8>i?h3%!?m|7IMZC6AxjZG3V9OICa+lVTmdM4dBPgS`p^h@bcssQurTeSn zxtMPtf_KzSjT$iK*@OVto;T;(j7 z-P?{M9&R(|_iDHr4{e-RFgCx3QO6l3CMS`xkfF7U1{O34VWTDklhH(3Bx{_9o#Uh6 z|CK?;zuw@IHuXG0r8XFj3NhusBz^d?N(>NFnFJiqTHLx9CsLjyqIM$!j>{vTX zGS$pMILe3-w~7Tg?)(u~DTwjHk}RQ6iSdC24{X`w)W#4PGfghC&!4cZy{~*)yP$FL zk1tNf7yNSVO5#5}!LtM2ns&fjAWN3gXD63fijqUx+EHktXj#WDvD8gkTfK-3{c{w- z&`VQA_p!mSVbbNLb8i&2D0*k=+?&LGT8PM&v|%Glf`#zvN`xt)rA$~1HL_ifjQU89 zV-&E66ZMg(5)DkP%>rz-_df8i^v7y-V5#BC+D;g-Z2uk~j>59+U{pJ7I~-NnVoQ+} z2rKO3VJGR4V?D4=&Y~$>*SHF!?zmf|M&j6AE3dBBBQk8l+C@plVl^f1dA*ZinU^QA zs$z!+SW%JHuYPeK#L{Gx&2jpN_7F7^k2&nBiYj7Yyj8>?21U(?n;oM@#1(k~4>^X$ z7j1@V3sF_j%J|^2t%`W?hzKtm5&!qcCoSP91xe>Ew4vhXSSXFhpr6I1qtQdWqmDT? z*&MCT*zgVzOQ+Vk2}^@*#lp=)OX8S~j)A7fI_%#N&t@ z8WjKI>+u=EHr9_&aO@J_^Xh5=-o%o+iOs>y=z4@2#2)t-lW~ zlcTq=`u%qayK#doC|B`mCly=0p$$U8Vj{KTy;M;|PP?<%u?jQ9);cg@jAC3}GTLbQ zoEuI!+vRh%(*}F?kNZTb#UV->7Ge238n*M0fX73$gl1rm zVqx()YkND8qNQ^?_=5{&j>aOnBz%XKp1E8e6%rSwvp8lK>ws}zvTj8^L(-q9?ihKA z0(m2t+KMK-RG*k1Q8dA*E8ag=#+)DaWjBYlm9RC8BMVSpVtbfYWBNzNB7PVffBlm> ztDk*IGC36bS=Z?8^aab1)RV+VL-A44-@jgC4{6w?N9m~4nh+Dj)$tcDCSHLpWNXhh zvz;JwB(T$C2552|+AM7xhkY4FLS#*LFG>vrZp9?ggq$M;?Me|FNJq|YT?$lD6lovH z6(z=ZrjW5b?zIt>U3QF0m1W0Rsjzf%IV%Lf_*o2CdgrM4xCheKvMPYQg;w5UAn#bI zb8%PMJ?1`e6Wh6Iy`%081@?+m#Wi7(duuqM4CI`VP!Ua~(vZqQV>h0)1$eS#yHM+< zO4{E>tpQP7qDCAjVFp{yGT|C*gU1jV5e$vG>tQw&#U?=1q%{4}aWx4wGAw{&&Zsc- zqT-`tI%4dYDDVGDsIa5nXgkl2p?`F%yQNH>qW$h@;*^XcKi6sqHB)>oM9y#hT&p3G zDN4L(M)89C;Akl~HgbsdzPvpbMTH*=5$ZGOceSn6TCoqYw|IfmB%!Oqxa@Ydx>0Pw zHi`iV4I)N7rl6||-4%SI%{f#i%8ISI3?bMTJH>>6Nz)iN7Cz!z*)VFmS`v+Mn1@|0 zQ3s?=?O6Co9O0rCj-Nk4`1qSbL`*DHu`)vqEJfdB#ON230$HN<6cpJ%a$KBDvGHPH zn95OZki-iP6Id;=VdJO5geo8&CQ$T9ND_-0qrzf1F8rSh6j50CoA-cJ*89Vb~G>Q}Ud}e&i0B4C!dok&abi*Tsuz@l|f()Fx z+wnU_2~qdj({``P^P6c1MC1HENeAJ5X}sJvGKg+=Qmsu~kT3Bofs}=g=_0jf`8| z)oxR<>%}(yqlF@rD5SA12lz>3{HHUykM*=`R$DpGoholt?H0pAev5I3(oEu}M9~ z>yaO0v`&VH$vlEfJdVdBB88dQ41~w-_yU#<0mJM_V|XMICihw$jhlAVuHdK`ODJ`; zm5Aca7#nZKMuBQvxEO#aIzDQGNSpg6BiIBR*(Szo6_M{3@sPN+KTMf^FU9IQfCAMd z$Y>`Tka2PZ{=3Aj&<5C^|L(=f`0(am`RkTFT8zgNcCbVigyz7W+TQ%o9x+opqpjPR z>6Tj4q6vi#`7xmo-@#FOY47mR8V@P#PMVHT?Bb<@_Kc*0b{K^S8rhniP$-REw6ru_ zJG(xCNE>A=j}6b+E-`qbki@%f(NeFLJAh+sQIvBzc)j(vuZVyMp3*WR*l2p$~B=`bu2jj`0q~C0kj1(Pd3EmBV({z z&mjMIY2kmLAQv?|8w~q>Y!8M!ENVv^2$;(LdPsfL&I{Q>BjcmFVa)jK>`?8=hCBr` zPtZo;G-7B&p9a%-=!2|#{!UiRJ8_JWj4E>lC2n+y(4k zV^Q*~>`!d=?JXef6AwrYDInROsl}pJHvj%h1-hp+RlXnv-H% zXjuSdu_4y$wu+DL_#{fycVY2Cj(5Zs;jq~kGZF6iVkU%>C{bDDGv$^&mgD02c z+Q_IYl3rp@HAc=EANua)SE4Zwi~WR#1c~>Sh}b;7kNVlY@2+EkAtp12cDsRxmXzLy zHt)35Xm=fMj8VP}->Yc2irsW-TPz^Rh8)K9RB3X+K~#iDl2|klf1_ms?Mh@D9wU2B zKwJL1oR1-Q!?DEwu)Vd|w427Y;egc!dUiG&<{5~cK4y#O-XpdUNM>rsxweN%jB>$+ zMjO$O<17_(+H#dTfxCxi}c(&5p+#@zzaxL<%Q`(5YnAKY_h$%%KS@osNlxyFQWQ#g+#Xo=YtH zDGNTP8JnL|6GfZub{ad-76@;a=?d?p5u+h=zy|a2aNv$;fGu}Y50?5c6Hm^JF%y>n zfki&qA>chT3CWm!M>_k7?%1x=Q^9}lfzhA+3{K1cEaz8i;sF0Y+EiDY+}qC!*qbwV z#WIF~Ok-V};sOlQp2D$Ff!!Yy+P!T6#HO_IF10-ASeadRWPbe919o!h+3Z4`iV{s@ zIWXYf6I$v=mn^H?@l!SI-MV9z+%Si$?77gUB5*+M6HYI&4H}0JJX6_qJrZ zbmYL%7P)mrxU}u72ZwHqm9ALVOxm{{Gfd;(#WzFsaU1;IOHe;GWR7?DKf?tpp%G); z74@Ba&d;PrNv;vu`I*kGY-g=tNoGf5e=0=j(Eu_28v@v6%g7V{+t|q;vPM7kif_tN zsQB9tL+&kN*~ZoggUPt``Fn3{qJq=g##S)i*zjQ6)c<~s*_B5X1$JUuD5p?sB^|oR z9zm%c_r^NOZTHyEE@&69o;?s;Kk41L>o}U`@Q?TY(F1ETZE6aghtnn`k$s@CXz(dN z*o#m3B#S+y#(ghMX?66-gJ!juM0l_(B;@I+8m*An@h40pIxx<&@@SN6dsPh(w99VC zsmNv*h!dS`{8TGw{z@+z2D1c@*rBy3!bKM*WemmR{VhtggK4#M9!wJ#d(I`k*G{^? zVzKnZ@dIky3&eX|1Ug)lM0}`uXm?#l+4`ftWFHH*dt8!aVEtWT6Qi`q@vN+2I2)o>~Vd9_+DN1Rj99? z5AEgu5S!%*l0~##Qv6F_!5C2_fEdK66_IKY-={UHavN{s6y%jNSMlP=G&~YRS2H7XouR?*4y}lXgW}#$C zYbckZdd-cSLQ-4$xPjB16q2c+4vQBq=ukax`rO4cW_G|QY8E<)6ev#;aBF6V+0&=1 z7IBH@yv0k)X3tyPp-Xw^^3Ik+BD3QhIkkG8=w{)f8P!L1DxX6dU$|&N^}N}gEiiQv z-f+n$NM_8Og?A4Z{T@4i=0Zz=oOs2DIv|66T~vMKOi4sj5(Ds^TfJ}*Wx~Iy$1Ipn zEzH2enG33?&XsrEc^y*7%i{SnrY<60_&0mzqNC6m1_Zi zX#7QPcf#MZ(jS3@Cym?O_|4wF;h4^YkM(lhQ;qRALaN;>Rs;J;%I$Bwt5>XNhJHDc zUN`sv(`K6t$TY{ z9FGt3A)%lTPjmRGQ2&8g3&Qr_jq`;)-$ zEypkZ%&YV1WG~mRb+4C3nZc)T_pbDUS^mlXFT7x_0BgST0>5}o@5)2GTxycLmTgAv zr&8_!v*CqX{AMru)oWh3Rn#m)|*a!6by4`J?=KI z?;YnCuiJJaINW+1m4AcpmKbmCv2J^Vw1-!cR$lP@&7T3fdO3@DyXRIC@`>eyyu@=` z7=NVqq4Vh@C;tAVhk^KV8LNH04;5|PcptURi%Up_S9oZ-k2X#A+WTwQAoZ5z)W92D zDsq#z9x1mTM{W3S3Ov2n9e)x@38{g(^f;eTf68(@Q{m?wM~3;Qto2@cwb#-w_Zp(* z3UBl~j9Ylq;eIouUa@V{<1e~hi`MwFulCY6ddDt;QJ#3>5pGEx|LB9fnr<2Kd2^{x zj&M~DWB~ZmQYz%CoJkuV2ee};SNNWsasZDA<^4+eJEpv!D7~5>bG;jc;3XxviV0rI znY3n`5}YjrpDV%XOz=4qamOGDx z-F4g!pubJIkH7;e{UYye7!T5+DsHwce~0(5<8O9*6|I?BIk|UbN53!7FCEKnv_9MI zZoD(xPrc`sPx9_C{v`iczr&+GS@#3Sl6HTaO#%=^UbHUfQ@nq?l=No2vt73>;XYbH z>U-F@6$IV8k^;kt)XadsT~4@fa-d=$hS%l$~A&TdC@u(#yX zD<*F^W`;i|{;rercl4J3Q0Is~>aX^V+9RTO+)Iy$e$w`c)MZMK_!IpgzVCnz zR(r^w?i3;03=9aO-fv6qD(WB|?`y}@+pz7s8@ynVw`5k|^SwK>M)-&QVVQUAq^rDR z%ezkY59~FnV)&Zexy>7ZWIQ^u@oW4;{CmfG$FBEkPa1Og zXf(uU$4OssXUgqiuJn%U^CW!j$eYd|)qAuTtR9J!5$GH87J>AlWgKpHrQF_R6#%J6 zTj#kOjd5Gon&&NDKZyPx^Z%H855Opkt#5pv=cEiRAOXa3HGo}60)mBX!lEcK@1;lp{CYtqk1!y-7X3H_CQf6159$?478u138HMZhknb(!Z%}!b`M0W zC)uKS=dH-&^?$%*$Ft%T4w0e)RU1YDM8$}(JXtuF|X)h)h_O~xMnG}!ro6K3y(U|YzZRSCKASg;LvakLWEC5 zFh;p&aP4@C)Od93C;@x0l@gqElbsTh=yosNFP!2V>TahvD|I(hTsU zr;kIwz<#(VO{v*)YW2O;ytXQU1_fw|=mJytxkh)Uac^33XWBgPNZ46QgZ10FKiBad zHx5m}`;;~93D6MT^d;8#e<~yVPpO{d%pYZH@+VTke?j>!$M;`A@BTp1TE$zq2`0K* z3%m2JD#x(cAu&B;I!AWy<)G~FY)}YlQJaGm;nb*!`K<$v^qz4&7bWzJDFzLjLs?b| zsjE*Ohkd32!cz}X2ne4B)|0Zm2NHTs>!sfiimVS|eo%62^6I|T!r@7aK6#cBYYX&l zp`H^HRtCh)TMy}sXwG$wLcw)gLu`KZKTwW;incyOHO6Hxf*~#Uj zX5n;N^NJ@y{^!sXeTYWsU=#qgBnL}gqxGbxb8x?8eac9ms8xYU2TFf5Bb;tdB8Qo7 z=Ed_k345t1_K2B3C8R~KqF3^2=?xR8UlaVD%oTO-)_BsA7hwOu=Kclfq_0!4Ea!(D zZrp0Un$oSdXcs;x{0oRX8kOkYk}WjZE{drxx2~UppDn4eN2>;f7cS~hE!rl0U@1aJ z(I(l^4H^@x!?_qYjaF$`=f9h3m(K2)zCK+Piw}RIr8&ZFl-7kSA7+$wSgSqHJ9bP3JrWiKgT13FvV3oVLG*BY))e_{%K8when05paA(2u|=9Fq!3Xn z)?6<-Aoi177H*pAnHqydY$n% zhoKI%YMWFhNUq>pa{9noIBR=BAfBr+PM^&+Mq=OeeftK9cpl7^ZsJ$T{H^G;4yxM(W)*99n&j;tC(@(uS(ZSpD><@-HG)#T@jc^qMfIeu(4t(0 zZIfCg71KM}&V1S$Xa!G5uV$M)(X<6#ESOokuof(xiO)BLxGfJ;Cu}gWgCS5Qg-r58dRZL_Wyg8i}25(E)Dw*$tCg8Fu z#Y~~#G;hKtH-h0-r*k=^GQ^ramy^J|6Y!tTeiENzo&5A`X*IP3^QX z2lFn%kVLwqbVfmHjigqw_Yob zAL|*@!}HkPkI{s(Nu|^rZMB0#v|}8*>dmn9PJ#04rqe*@zjYb1KJ*fc@B#(x|7=Bq zP+vv`+gJ>3tdG4=;*6hc;l*sC2<^(V?dU1Z_mSw}Srx6{(CRD=5lP}|ksLh>L|$}= zj>1oB%!6VkX2d#NTuaHkLSDtN19NLk%&5F7m9u+HPy*C^h(&;?`CK109%)@e^0l{9wpR0#N& znEU_j>29QPzi@_+OtbI=n`ob|wZ{@DDk@q-DM|Q&L{4AlltG?{U=-AONNb_cyHxn!NH)%P`(g=P}GR zqO~|tL7{>%Wgw_VmSVHNGb!!ba;f9`4$8lVLT;2b_E?G>b&{uDtwEkf=x8o)uB)}i zz^ZvYmOhU33aQom0O`;oQz;XSK|ZcNTvYN;7YNxWDgX$UgoU#E^%8>A5KgWnVI6VT z8gzQ%DKSBC^KazVk7mu|lsdW^4bK!|a^pS#1Eg*`g#rCrQO7wp^{+_Ag}N$b)KT1^ zG~ZAIk0>Ai+G_JCm#Emt%M%RKbPHpXiJlAqBe)XG*0fF-uYXOKYQ&FO)<$sMbY8O` z2iSjID%Q})xnA4rtbuw>3gpnc-o-$_D3xYDF<-BgumvPqZ>D+JjkXOx@bz{P4>pT6 zna8HrtF9i`G^BmCZ7)q?MbX0yS=`6~Ia1F1S=XJKXd~M8W%bT1 zsYCf)=e<9X=~-zGi*yjr2*?`-tv3uWZ3irMJjMzQ!FtgTXRsvpJYhv7h}DRASB=L; z0`p9-u$0*hYGW5HEA~loHBpX92_M8chC0L}&;p4!KnW+F9#bP&mGy~~tNy9$oiw_! zni749lo>t)3A<&&n>wpXCb+4cl_of;ot-8m^%x{>9OPl`Q@AJ>H`7ErU0s%M^yVd{ z<9ShseFLTJkvgasb>>s{vE`@DE(e%zZO-hQLPZrf2fP9dyXV+K6AX)Y#_-fn~)+Wijo{53(oFi+Po> ziPqxRe(qN>Ua%mxJ)4D?sz5V^R2K7YT}Ku>e$9?0G(Q`f3txcG;aFvJ%z}QagB~9G zJRLN7=@v6RmnX%$H>E*rZV)oA0^v;HTo|eHan*I<^`J1_likE77g)Y;-km){6Kd3Rlf?R2E zovG{6o;6bi4>6AEom%%A%o>V2fL4y!0r&78^i&?05r|^Uk&Y8d{RR&M0FdS}Ngifu zqSg@`y%>Pc`Mn2fA>9k-i=ZM-(ZdmyJa<;nhpwm-#Zk72o1=>K``{RW{I@bs3I+2Q zu&uAo!wwhOGfuaJMzP-OdQKbXjA~f`qbBLnjwY zloQdq31##yDAXbFzP>}$!w0)D%6ck~G5rT9DkjvHpFH>DkD|+WlP9XuhIDxmNf@6W(k&YL@|IH|_R7oR~Q%6k>&te?2(Ohd_0}$X;BjxO5mx$68;zIj8+?D z%v9_Z%^(fC^JuD196zN#6yoCvwNq7|UBrks4`MdY52&iyY=?Zu-zjpjB5n?nQZuq%a~a}0ISRG6Sd7=)s*n9gxMi>hX0e-1lUMeTX>``N`xkPn+E zv+(ojygw8-Wd`G!ygF#Q{teCUo#A9PQ;)i0x)RpBl?fCk?8n4pa7-gvQ{hOWTkSN| zO}9FW#F?r!;4)yuqbr5A{PDe?iuh={fwu!VMl`Jx-BAAgEYbRGg{! zJznZ%uX=_GoM0SwH5JYw=~UsWgxq4K=7peXYi*^pq^aB&>c-cK(Aa2^oJY^W!3J9B zBbbL|{BuB9a#jlmOrC<5=+D!6INs9O#~_eCkaEYT#P+d3T0Mi;Z!L+kavEGMW{EW8 zUuuzf6#whPX#z3#6p6biRaA>oV8rG@G#T~wlaDA0oFv5ze8as zqCKt`Uj)KZm=Aro`T+i(WUN^8&d-eU8?mcG^(iKaE1}7m!a(0hprsP%8wvCz_@qEO z52}eAzskzyVMw4h5Z$4>ffk1SK;YZ#UG7A+A=sEX;ght5^6q5Jf&Hf(u==%nla$&%T=E$pNubn7*9#w zhB-*}Vzyidzbe=`9evu%)k2jv+^3(_J{IZ-qS?~q4jg}2viFf#&|)SYVtUx&%ovk zX0U(GW-;NJKqU@-B)LO)6G-83`E(YpHyfe15DK8(S8*C>;1p)I;iANt178B^SF>C$ zu(t#C7_f~{Vlr#5{&)0&sFpv$cImB|T;o4A-O60SA(>@=0IyK+jnlpQ_1OOQ%kA#~ zsQKS2yiXdNIrgu-P87@}-dXBhGQoddDAZpXC>?BOSV|JP!oOFMhj&* zDBGGHJ!fj1EB&qkv$LPI+a$wtGSsyg|FvZxTpgz z*gDPhd9IgAHP~j$XsIro{Y11wI0lnhl)-1iT~4=_kv+V;ym(zX{iBS+DzAoCK9u&t zrBA0#6PN(jw1pf6YSJ3I26(f;0l-WyCq1Jl(czrxyECyH7EWaS-kCO{ES(l2zBb2w zPX_O#GXC>6wdK@SwscY@^%iC}ER7!~&YX%KYwHd6t~MHW94mHXt8Df>H2XA~Js-{9 z2Y-aH`y67I7{<`0uwNLR%QQd3qU&dxPn7oUMeV|)X}s`}N3W%PWc~$(cwiRl%JOc8 z*)y}B$HuOG`$_^Yzb3_e*CucsLF~QPW z46o0f3QMTmvk1fz|M`LrYfWx{{gW#vh`0neR3RVY91n8Z~9pT@Nu}RdHl;N@9^m zj24q3VrT1}+eY_KO`omb+>V|NcGJO3kfFYX;&##9!SpXfzlWRpekZi}SghC|a^(m>#+H=#BhcXh zTBGSw*FU=@hfb$3abFTrxoa_S$y?+~qh@CCf>`{_UvAL6;5CvYr}1vC8NbfWBKcTy zy4YL<2gzz!6)80wMjlFa)5?q_l(-Xa(iOQZ^T4yLiKr|>+>;q_6S`pF(YlFXr~;sl zu3io;Q`#o|hAWH?EEEe|Ll4jxQ8(^b@!68q0s1xoj)l{-81k8TO)NmkueTDF*kFV; z6&lSXJh`#WnDLADxejvsJZN9-1?}6Akwp2yz7lFtJtni?17NUS3 zHLp>?dM=>8gJ$mF^@XRCcgm7Wa_dg9k9g}+I+f#OqLSs-TVp4iAU!G1g699NyBZV( z_jHZClr7_bL1MeS9m_AIU7(+6ybJQCx9^CB_dFAwWA}v5Oulw7X2tm0zyZYdiv_FU1rgr3MT(;3|> ze}C5PcRd2e!bWq!f}hj9Us0>d19UfhjKp5Dfft|?GkmlhMI5D&vaR&~3^z30cIdpl z@I9)9-;tGGq_Vs4;AWTlqf3gmkQ3X&uQO_CNG;vwq#;Rgzc=qGrM0>!g!*?!G!1r( zcWGBnEmi8mdwMcm@5Rhn7unL1=^sg=9eSTcFXWWL(uI9)B+Hg|QC+&CpDP)SHAC?- zCIRx0ol13dji$jdJA<}jFJwPd_A@#xnZ{?}!@)jBj2O>giM|Z2A^gJX*fSBW&kKhF z(}`a*e(iO5@B#criAHul3=oE3A6D&}3$bt7;ev?`bUD?{fMO`muP*;0iR2& zXv4as5@}F7Vqz{@DY=hG!)%H4lszI$)>NI6^Ndwh+GXSP8SkIE^ z(+jB1Yttdk=#g#wG{nV9ohD2}Ue+ZIS)F60kMzp^w&a_)De9W@LwYuUL$TOKf>sU?!M!$tU&_?4WRz+G3f(~=wmG7a>61VN!`7$ zH26*x(-pOgY^lX`cM`=~>8@}Zk0lGA-e*Csjx#70Yawj|IeQZ!j3qg&baObE)k?Yp zvS#Qp5n2NHIe9*2odpP?_dfW-_sSIGY!0Zd?38YHI1(d3y?nHgg!wq7u%Q9IrQ~#i zpfjeIfri?V%`I-om@{Pj=AP-vs|g|~y%6A}z0*-$Kv`7+ZO7C0055()g9GeC@nEI5 z0=(mTPPltGIVBt_!+{pqZATNhxjqHh7e1l~d4q6tq1h_H_+t&&>W zXVXx4WgHT1?t=o$a(syu(gzI=6mU$V4gv0BKJ)=Ix zQh!o&ve!*2DDFReG|f5=oD80?0s&2~qvvrD?UYNjcRX(!HY9-^wP`b-O?wM2NVJU; zlUWuCqaAn#q4|(q{Frf5D){%L58xPxLa@arfv7zXqVh3kd*Kw$i@^miyoj}EuS&g9 zjV8TFq%(L8NH51TvX8Ozk-8+k^Hqp?R`v?Tc~9BW`{Se=8tcYEHwXO+gf7s{^fNZ1 zFQI9oXW>HHoB7D55I(4f6dj6WO>aTBFWo2S8bt_<7Q4H`|xmu+bDiAc|v5wTrq zJi8ohF?_zal0ICc0l=NEJ{q7-h!x5u^|CIk{ywkH^Xk#hnfE zm<44)QW3Pb6=(9rhv<1J#Kp~y-!nwtxN`7fX>4DB zc#9YEkJ3&sp((Je zU;usalJL>7dbVcd%)6dk&Arc7VwMPuv^2y{8PYaelkm>uXO6y+9XEz??5*78p`7U!`*1eT4&?MmFK;B$r?4xRI)DLsF#g)O^*y+J z8)t9prJES>?`K>K6J>m`0CjL^S0JVVftTem)%SzY0ffrsV7@O0vs?})uuM|9ucgEJ zd%=2NhTD+Irl5jARz>aCYIVLBp&Kq|&`o?P+uypsOmL1$o;)$u&mdnYg*UnfK%MMI zYyK-m^M7@Az-Y?Il+^L*_2>Nmu;s+J@2$3+O{BG@EgIzWJ%PT^HRaF^4>TBM@XpW$ z)4S=ldZ)J2dUeAP|Dx-8D7MtUbfAI&g6}R;awUulZcR<*-qsYZbehzvqIMCsUb*h6! z({&k)#h(e3C_0{+3odHO1{#SFSNBW?H-C!H83#DH&eVuO4Se?dYuaM_>zQW-^)C)W8k}Z_& z^LJvAGEl;|r<*3mr~mVO3Yg<0Y;krR$kOj<57kGC10R&LM>_Jn3KmSfP6M$UpOD3W zC!?Q4G+@4Bb{2;n5u!WMXrc{<_s2WZ0f?wlUbV1`0i42F1m2#R>5b~hU=>HVL*45& zVMYf^7s)El&)}hZf*SV#r)t$;otz;DYkmNF5EipJt$bL|4cF5hUY>(jSO%m0IMyzz zXn(GEDB?bu!7ar0akEw=+QL;Tw~`e!!;$na3H~vI+xRS!Z>y~S3E4v!F>6H!Ie>@Q zt<9)DUtJZkx(U=TAgd2sP|io`61U5n;Ese1^fa^&Vg#aJkoK+jgF$F)z)~xXF(<%f zZx56?Pi7`XIXrYKxNPVtR8hSb$A(K-c^G1MaQG`Ie!_|zbRTxLtB`XXa<(g@=;{ZC zQ$Oe;Gm~uPG)D+HkHJr$aprJ_#Lo4MZwT(s;MKBT*xwSXALvGpI4K@llTfPrp*9-k+4*$2TZ+Lms#yu5kgJm8fG~6`hk^T^x-4{+mpDLX?AE2nNDrfW?rC zpitE8W6wBru7{6D;yrb@!b`zuKlO#ms95##Os*IEW_O%O9JU2uv$Gk=eh&mQcxKp& zeo-Eeh<`GQx4dmxI4%$6agdv?aq?!zQM^sP4g<c)OH?wvP-_p&h8~AvbaU-gV=fHaF#uvN`lOIaJoE0*;^@9&i$J~(0Ib%lGRxi z@}3Qx1`Ohb9s!?yH@h03?ek={Yl7I()7=I4jk;ext104V#>6sYnWEf zpUwmIQveUtF>$ZJ0ddhOHGk|&vt!HYD!16sx3n)V$}oR~2`K}%R#LK=uCmf$DDYMX zhRcwXKFzj9rO$$SA3Hj;Rc5J8V=DM*U^PpJY2{x#&k|X&@*gM;+WiQOnLiW#0H# zb~O}@OsXFXg3`EyupQuX*T9g4IB*vCYUo29hLOj8A>3aOy@0La@MnRtzt&WTOIhFx z3pTvgR95_NKs}W$sUUcH9KsGegP6}iS#<|kA_tNIGNcF8dR+6LnsI5 zPYYr9;caTQB$Ho)<~WAVayU*QYK8Qg!{O8<1eQyA_~iim!eoSY$tihLUS@*Lj~Dy_ z>A(FF%Q&in$ut*-A^@Btxd_xL^Zqp5 zuHOt!@!3|)HD39DiEFH98ynvk)reEPkejSOUGSIw34eV-#w8D*8VqFYUvQAy?=v{a zvS4tKA2A2{jPQm2^v`&MeJp4Gu^8SdDZGa&kqNmLh$HusOr|J@bq z7`wXbwAs+UA$eXNGY{Y3fOsrW0SZ*fjI!$~FD7uQOi`bdWqgApF?_f+UuOBmWF0)R zSd8Gitm@z@ly}v%TNOwkqq(nQUh(y)!}Szu!GN)46Haoqc}$~?&)Zu z9*U_w()!`A4gON_cL)BM;f0|dknqFc9cvVmxG)x=5+%RXffwsYu4X@YEfGU6!Hja2 zndRByOD;wnr5);E*LpdA2QRluv)>1@Y`J6<{(OD1L!45e_&%`9a2S(B-U@r+bTLhUjW%+$qe3-z9n-u0t=*$ z=Bzy9vQfGQp3&9C5KqVk;!YKid6r_opCPxFU*wHN0k{W91`orn5Q+QLomRiYfd(O^ zg8Cf?Ek2PJoprLQPpftxHv)F5%@t-TMNJUXamUy5P@)FF34>Ah8i3gZ3Sn+;o{;0$>Dvr9$1@Xl-gUSz=VPZ6 zzr2>#%S<0OGg_7mzjMT{bMi7g(hSvmXc~{_dZ%pPiDq*BJmq9;KhX?SoR`xqC(He~ zk)#<~D8_wAUCYjl!%%&Z#+syOn}c^O!!1r%V;eS?0r&a7r#|4a_m~*#+)mc1{N9ZB zmsET2QHWaTWG>(g*I&$+#hJzA)TwI{kh0UoovduiojeU4lSqzBqZVaD%k_`7P`zV& z+6(9K0E&fmlZ!ZL3Xi}>_Yde6(Xjw}0LVO23U$TJ1Ruaz=whbuOjGnflJBum{h#gP z{?AnXhc5=hNnCqeMO_8Tv;xco65fQDA7FPj<*p~&*3T+RrfA_kGY)%sI4yy7^Con^ z##HNpMZ+vd*9XB2S6bGxN^|`#PZDBA_mV0wj zDM(kcE(OggDo;j!2&R~2(1*Yp_M`VrkS>wq z^J$D0U|wZ1SXM}T34HsTeet+cNdJBs&qhrP%sBQNI0f;&NZX+85pBPq_i!`|to^3E zN`wGhjw>f{{Zk8cU9|bKRQ|<%Nt-i(iX*04xW3P%T(*{({*GuOXw!yBm*b5?G?nF6 z0PM|{PRiEV$kKX~s$iEfB#Ar#%1Vp_iFZ$Vo`zly718ajs*!My(etrx% z6zKti{vZ)+MN4M}Oz3qET=;^m3>iwN$r|fI)Qqi^Jw74FW3sSwtGKly(sxx;>l52(h3!I&^#(q-R?K1a@3<1$mub zNi!RS_Hc8EEUCO=J!w4OGl2whhz%n1b1LgapJ)Lr0L&SQ6Ga@NYjL?F&IsKE$@~~~ zv_s#^Oh?i#IsZpfdH%cbp7^-~^7&wg%|^avm1xC+e6S3R58^!<;bT5!X$f?LI5f5( zf$o7f9;jaun4J>M2ip>FW*bs@q~2>$DW92Jc^?-<%$8b#whNfxz7HEbqBk%K;nvoA$|NVf7 zgdw~zrW|LI(6m}6o{=YG)PIxEr}(l7Mwxl(65`)Y7*QF^^3_!phY1I*ao#A)IS`R6X7J8-o<#KoxWcuj z?p7cZ>-lgokkpd>08xdTHK9g?{)8*kFmUfnZ|w~@^9ZRvoJM*n!c7Cqs3)Uh^>m5L zn*!u+v12+*;INk?W!rS#9p4VXJa3LF$K6aYCesaS2rt@r;1ehlw@R1BG3uNvDcZMm!8cF~=2Y*wSBhC(xH!=NNg&tR- zb28(+07&M5Jgw3es`o`v(AXbY-`EXdV!Lze~G z!CaM9{fwAR)0r|o7Rc%no{e*?Ez#%$DQ3p-miv7+kIzSZRowFq=&EbjZnR8Z68C)o zv?n_P3I#4KLS(hXrW#-qW@DS0=iN=%Y5pa7XUJ~&0&mBaowOskZVUL2LO#ff?ONqN zBXh4*xxZDpi)HT5W$t3+{*H4m53Ym51QcV9bFY?a=O3VkMs-gsMY0b{CH8n_Z1rKi zEzd&k?}9E-_q#Ip8!GoZD)-wmca_ZjHgfOa+}neUx@XCjCy5@SBZYV&q_jp=k7df^ z`dZsAWOI934v^X5&Q6B;2YJY6V~HqHVZ&=Jo7w7w)4eqy5=l7p+Iker!MM z1K}c^gwrzcNW-mkp;QN#P|VZwnLOuD36|=0I+4Mb7C>bm?1nnz5fQ$SI#nXR1ggpa zdWcOoGnjDw76@Yk z@KJ43k1_Y4@J%rr`9d*PhSF91D38ki3Tw{aYT?4UJ->CUv6|w4s+;(aOj?(`Hzg%y zyt<|H4>qlod4@(}A!(uM#U*|{#09@(tHnJ-l$HcXA^F#B^bHS-PQpD*wz7FSFo$YR0eK+6JX}$Mj2)t0Jo2MMex9PWqmM6fky(|;kL!}Cp z!`ZL8-ECo(m^}<7Li26;{lvizvMJ)qsacME8_qt6FkD|$`1k=FFu&uR=yC36Pcfxd!)?t;{YBlLsfE1~ z%Be|ZasP2O{aG-6H&<>3D>ZdvZJqR{{K`~oJLQOF?uVL(v8lVc*|Dbldg@b%Yf6*g zGd5M+ByHyMR_&E=(Sq7z5bvnBv7&JZZ`-%QACk|%$6+@?usi6+Of*mI9xaBW;&W1K zI7eqC@J~6ssOLbKNsv^B#{tY1~0{v_URlfwEAl-Fzl!t(PD;R|d~=P3^R z+>IVRGG%Jo_)LWn7hyqT8~=Zyp~clQ6(&KUoo1@wjP zynq-Q0jkb*uDNxFJj_wYzZl!dN$kA(iR z40Q7p-|aTpDh7)3{z2gU$2m;}r4c`n<34ok5k=a zn9^tERX0_vofRq6R=R_{BsYs%>Fzkmi#@ImfMq#Sy$ECwX57QWCw26@+6>7TrF5Mg z&L%|(V-k9nbWU*1sS@7+{&!i(6I=*FXL6)y@jZ+LVj|pE`R>d$%1E_E7rw_L=n*q@ zNO}RF-^ojypM)ZsV%gWFM7{-Kxz5JUV{+?yQimSJ;fS@ZM%0(e?6g*g&;o}5hWbf1 zbv^Fi6qBH;Dx9(x+=eK63~tr%v;)9eh1m>&(0)lT*Gt;DU*3qjRi2f2L(){-ZOxVK z)^EBRBg`XFE^Uq<%KZbn$#$c|_`2_i>nQ`y0|QF&0M#!ayWj!KfTFAn7i*rPR%S}E zC-kl2y9K9( zQZbI}YM>|#JH;Kc$ z#Y|IqIMs%_RKq^90>SU4nKp*6W$40mWU1U{a5ozE+cb_S$*a_F1dE*`*fjX{-_3cX zIVbjr{D0(xmps)~W-QumeAif8UuarbG)z)Y2a47g9O^2URzJC~6jNEc4@bKuW7%BI zIB;CBOk~IX5?JoisS0jc7~kr2$Y-=1PEj^Gnr^4!CT5YpW#CigRbm)Ged#=>Df)77 zib>&gwVje+PIFRH7+r0)Qj(9ZuXIqNo!TbR#5#}zEB|!HfykHuJr`LgLVd;un4pTP z71MK2WGX91u4H}m8}M`a73X?A;G}wr$Q+0(kUITq2>|r zPHT-4e#}tD7#}Np=ZcsL5mTT?w?NA88I0o#h4M=HQFSp8E6a2x$D{pKqWy&{X3V{H(|=KzBLk{%w1g4mTcf?8HD zjLy6}bF#SOy%D#*MP1yq31=^N1ml!3DBX@=bYxSekM;(0N2mhQ#|OdOj|`l=ML#;p zemu`E*{I_cmOdX6mgnLYJz#nKA(~cAty#)^Ub^$V7^IhK+Kq#|IDGL^K*Ize`}fkX`Z=i$s-3PTVj16I@DNWqv{O8^ zFvj+Py@3rswNhgghPq)dvE7ZEvy*7ANuC+vct!feey`$=?8PF6 zbz9r=l>9l zkBxL?w0wabAo~rTT@3ac{{XckLt2cs3O!4k1BWy-v*w7e$YA>Zwty2fbZ0ufjsw1k z_*Ce<;NM^S)r3_=w8`lHqkN5W79th6e~ z4b8Bt8ba;}Tz(k_O?HRia;k2VQL|@HZO%U0sOu4SYK2P z>pw^odQz9ohWHhM`Y5c7T3QLCVJB>WNLeA*OdaX>G3T>ZV#gRB%B__K7z$={{<{A(N=8HXl4CR3L3wTubTL;HCOik9NK z0=zQ|a?R~vl^m>uXa87y$X<=xN+%Mo<_SA2()X2%=>zT>kUYJwEsmbbEvq;^Bxcqy z^5RVJms%mM!s0)s)xq%s$HiM%*P!anlKWdt%=5sQFoV~|KRJXWdT`q>$1OUDKPa68 zmh+952pyBh9~LVYgYRUPYJQ!-T#>%(aip)yb?aUY49K-1%z*suN6ZxilHTo)`j3m= zX7^txKpLcv|47!oeXVmP>&G7o*_0%sBd&)DwaAGND$pABc*edwFlfNdPuPfX5Dl zn>476lsQ(jD|ly4e?iLmb9HF@pa_MPk9%ZYv@}y(>)0jEq*Iig+A;ZsvoKO|%O1{) zZf1WbFSh1F8tglOIWph-6|07<6jn?3g8Z_x6kH?tK2ly)+tejnU-SN2j9U+1K-^rc zIyCIGrVr+&Hcz(vV`lwDsJz;8Esm)XERAeC2$%8-u9U6Nw7T;r#8b}?whTLSd)ElM zmL<`Ba@AH6+jix_r_IunXbC$viDAjO(LF6W$}zD7n*0cw%F_rduk?s|O1ebO7Htso zrCjZB#l&g1hwS=|ZQvJH31Zf%(nCq`PBzoH!h?t6maut19WDEf-x`RJTe>$Q1h$Ps zY(@Nyc$;#|7l?cwQVUhFo!|P@KjEIf=a%snTR2S)Kt6kKtbU*tdcE63H%9?Y_F?E9 z33L@7&iO8dEg%{#ZmG|J!3-o@96t)H7#*I*yZt{ykU2KF3yvaVi-wR0w#J!EE#VHJ z-_FeH(o|+vKWPEBi<#9m($4%@3mu1$z^n@EEZcDCb@~sVEUtWctmgc_qVD{z^&&+$Nk_o8}!OZ)4 z?emh?UMubT`$812T_L>$Rt57FR#0#`z300=Y;zTLy(dGOmiO>kdlXt6$a^Q;EYiJw z&laoCxSnwi`q_k8-I{VX0VfnJx!rPmZWtOf!~#Ef#-7)ei+L41uvX(r{ZQQT6AGVK zAJ>#oUyv*Z%wnBnCvZXo7wFop=fLhdAWO%gmi~|6p%eukR%X${Abo)L&|9`a=MoYI zBKkA9r=yXjL14iSH*vOBNaDPj9GrY?u6GSx6@k;3lai}Hg zq!xJ76HTgS-OY|2JKlkuR2B-~CEr&4)~j&O@dirf<@s3(BgvYUxMokWm>;W>pKA$A zMUCh%Vi15nNWnG@uvc43Dk1864L+&I7CMoXD#2cHIj`$F`=gc+1x z2GIugv9NXBmPg0-=kEI?tGWn=PqAq3fRAt<38&z&*dNPeJlcgNPxP`pQ?UnRrV1Ao z;&^*>Ny2aM{DgOW-2~3WAu4zDhiwr|Kg#=93Uprw1OEj4z}mnE!ozX9=r>w`VEnYdQZv~Q`my_5hqjS2p6{C2Axc0 z6Lnz=xPozjalEiaA&xJ#ECct6xF4iDMG;&mS~?#(BfdYYq+PCG)g2ZBK>=cDxNph>gG zIwmCaOkaYRhM=lvo!p#**otsbA;K%2+}4A5pIdWIUMcX7`Xn|hT|Z*cOJF6v>& z;XmpjnO%z$?=57V*CHpDs>;mg5!M+c74io4PwAQWaWgCHlh!Jyz$4A1CPp^k^JY@J zyIq34iGc9*rx9#wI-!6UHiNV?8+S}juGAyi;6y_&ER|L`QpI!g(+r;Ok zlt;R3(XsiRBtc7%e*eg9$USSWFxVhs#0-ew?KOCFGTaZ#xEoSa@`I4L$?@<;jOkg$ zM_%Vh8*^=DrgEug zpi!*lQa4_r)H!@b4}c!KxYRFXsT)+Ohg7LA$Wr&pQeQx+PftUs--rCMt-Fm<-$M3s z_>!WnPRsiESvK2dVH+CZ*t`MfZ3+>DxQI2(lpFGxFrP!C`Q~jj=+{8*jzdgrx)P{*Qyrp8Yz^G=$`6Evl&4@fam>nE`qH2wBk!{HAB}c-U936$tf@U? z7N3XmN{_8CnsSr9(s%Yaj;9wxTm3o2F&MyUDNbmA1HH^r4YVc=Y8bp>P!gNd2C+Hc zRCf3=7kBbpQ~R*K{iJulOIpm`@YZF^7aZ#0?xW()HvuIP5&6TvFLfbyro3JFa8t;# z2(5N;-~R=ltlG1mY$$mX$5#`B4@t#EXSo}F# zA^O1}5NNSxRPXU6Ie497HM+Ms^`d8BNPbe;JLt}`F7aqY#xI@*J~9$yWt6VZ3@ zQiOBsEt-BcN|2qomPE zw`U|KRk0u4UA)65g6dDJUBnw=XAV1R^_wtCd?H*oefoEgk}GZWsI-*-Uxz2YwJ|&! z;T5y~xl-75Fcz;F?}sq1VJVeX^nYMb{!S;NKNv?%qsKc(kD8KvsR3$a?SvJw-o)uQ zW}>N=v3AzK7T8cX!0dTB1iRpJ^2YYd%fED`!atm6fNkq*o~JvjZC#@A@{wVe_~F;5 z*h8ai8!_VZr1Xos@}UO8tHnAFiBWpij;t{{FHghGX8YluoXR$vis?9RCfpz|UTw$H zSBj`z37;P(qD~wsF2eBvykhnF(lpUwxWKJzC_{R`zYg6}Q3)SHC^-h|D{Nh$NVP?s z9&{AH)A=6zc9k^2iW^dqW2w{+d}9LX5a!jm+dfR>;~Z#5Fuo&`sDl|t8)%owzGF3u zq4U&b{b$(oVjRMARQMc+Cy3RsCseb}VYk#`S83Hpk8ORxa&3P=?vhb2vw@xiOn31L zBYLQkwTIo22REJ}&Z|k~;bEznSgqA755I)rQ|}1GOvGx{P1jv&&6*xfeYESH3XNDp zlya4U^xd?!_jFx9B<{Bnd;(Sz$5P|O5L`dEd8LTlP2)9@jx<&e+iZB-gr-fGbqu&v z9TEIK$1&=AOhhsa?2mTo7JiS4=;BNrJ2qm}0tMqOhx6K`;n)tlNN{dauOmdsYogGHgtYcgFcbDB&i|6!3&J&bUlkTCh= zPmjFLBEuJ_cb2gxQ;L!PyAi%Oq}4pVPe}U$dLSgMPYAr_Rf5?Mf4xOM{rhSa0r-1E z+TR-zc5lcn^8)7wEWj1N$W{;(8*+Ewkdya%f)(5i>c^DQ(;}a&Mn8Iov?|aig|zoj zf^7Na`pfYr@#lXHH_r%vxLl5JWwzkkWcopVn@mh%S$n`8RnpNNanHB~Ay3B=k&8NhW$OZbm zfPz2|8s+b2d~XG}$$+yK;3dj&A(8WR6~Xf)$Kzg$jM!|%qumR+-Iyt!r2A#NdxzZJ zCnV7mTo5!5ejM`SuV-H$iww_D(Iz=h{dt~Z2l>RFJN`HOx0zJWxge1-nC4EzmvGHzds8@AzRBnKH{r zSN-=P&1o0e5jdX25VeBaN5z78&KBUya5ESZj=kqM_Chivh=Yaw+=H@g~p( z|I2^Jf`f z`Klqkem*$AX{1W;c~Lr#H>X>S^hHLxAD^+8;6$jg?*Z5H5a{s;8`>9R<29FH){Q#t+%=(H4F(Js`hLrvBJH8jpMY zXp2noOuXq)RZoDdP0=kA;9D8-7nc)unfOQ}9#bMe z{(3D&{1q3)CmQjw7sXc?@!c7>kU#=c4%SMtt-|@usl~-oGyW?Tk0# zLk)O2?-+*^BfhT@e=*%qC)-GF;ZQ-G3AJsi@09)a>oN|nfzO06rv&rG&Rz?%(t z%s&&6`t*u%Dm_aT$M_-FrN3Q~<5jx)mh`uP`7}wakjD>~V!$mk$}#u@Ae)Nt_rKKy zRnq+ioIgI%h#zUh*Z0?mUtq-h>9Xu^0rNqUHp_j0$0vTGDt~?hyrg%`dVmADV87`T zV)3&D_5CvA zlT|&9@txxnjd){tP23KSPch0OL;Kb`TX$6qF$$A`JKuy^Uv#m)8mbFJ|Mv#|GHu%iA2~BmzZPW zWYanM{U>m_776i}aCr(Y?=tn8Q3|*3$V>(IfdPl{zL>w4QVT{}@%gfL#TRh??QWG{ zeam)BeDU|opD!-DG z6}Q(nWG4AL!Sai0kH4K^b5;Hf70vVXFqmc87mlj0$AG)W*ti?l(?}kdV^#v`%P-*i z^KW0M>KW9~&jvbeaO{4$pifBrU*b2fv!_}?elgxqdCF*)T4xLJ0*8SR${}iTC-C9^ zO8BQO95k(w-;m!~?Cm$7=CN?QK(B|}XTZ4)IElZF__ywe=kgMlTO>qWfC8)^m&UYr zJ}uA(glxxl^{0B4abRZruNs`Jio1X>=Jc>)i%emaDS!OylE<0TEhQF?Ha*cW&VQuq zj8PA;oZK+Z{`~vasQi1clEo-Gq~v+bk{_c^wrapA1@~uk$kN(m^1qU5x&oKu!0};Q zRec7TtdEa0;(5&Y!{sx)V2~y1#sDL4XG;t;qR0 zgmQd%{WF~DEepO(rbY7GuWy(~^TD)+6$CsGatrnwPhkBxml*KefBsxt?{*`-OeKRp zTv#vD5w17oZHt8P*DLv78w*=1qaUu-I|{BwMc40xe*V&ocrNdV0q1Ab>gh=Rs*~KS z+6x-UczwT8cBy)PXsAbyr+=IhcdPWNM#ok87il}@>zAcZoK3U?o*q%<1R3@C-GVpyU z69ncB2ZUIdCYueol(P!1bK`aUkRhi@eZxg77y0<(&tLMBMTXZj&M()!lJhRgUBB-A z?TkOK@;@R=X-wy^`5>-4{E4UiTcIV#L2_!1H`^eB@QCeZLv;R>r!1o}dLV zDnfx?daN`@}Gw`l}^?-(*hRZ9tR>2L|RDqIzi4Tcqj)-IY?KEAd;QAQ#`s;}| z;_K~J%>N+2pYQU+oxEP&&>mtUWLlS+?jTtA-u{Yx~`{p%d%g#QHlkaw$jMc9asI>}D*t>#fbg&L zdVa&tnPMIF4XM!m>%6+rI`?+C0=jpZvdcxx`;rc7M6%B9;+3r+7?~OD*jrmMoAF^MARQ~arYS^Xd8^gs9 zR&eVLI7v?)U_HFDZ~5C}8mi!aZCED_`$Z!>?h{gB@V=_v`uxLG{w0n3(`di)Yd9E# z6>6#c{{ECCs{BI?1c4ucCwN3i;sTl%$g9skU#3SDT)N7ReF>e!$6wA&BYm$XqwD2D z5By;)jo{+Ps&cX$!!;Tge|`Is6r7*$lk^nj@cQHN4NF$(?JijV%msk&kp3kFY?t?d zlqMoeeLF1^RlWsA{RaPD@2}(U&%Q|t?wB9W*f;$9jvsFO6a}}@fRpnKeBynBE9P~} z?VXvT;QafBKYyVS-=lGUX`hOd`Tg^}Z<@;At$}W+{iEJHK>80E*6_X|B}RGv_0%d; z4kEV zAI{|Y&)|;yhj82T|GYeZ|4L>nxZM}@?~?lmc)W)G{TUs$-vqvBo+_6#)p4$m<7duS z@g^f)?l;mN0)MFa0S~etiR9a$$7H_EVvHM)lgz(B<-gg;4<{#PVHhhV z1r;GAAPyjEP*4vS~C4@7>O@|UO{zAwS@j9wA#V0?sjbC#bgQZ9RMl-s6yS}xl0%nNtQY-5V$Zhl{s zJ4of~$D2@YeJ<@m{$qb4_wsy$KL1vDTEFjxL%@shR@96OcWyc?w}LP?Zt`MyM6}72mN)js^&*~M87=WsLx-c`h$N$KN;UoqMmIZigp~Vde|@X zdBca}^Fwmana^qZ{7w3Nu^ctvZ0`kl2T%?hsAr4H9i?)?UW6|7JgLtwpdLAbko6|? z;20wKP0BU;k!a8M@-uxZw~v;;P5O@u5udtX+n#@g-}Y+ELG_orbXW`hu<%XW;hVR^ zpSB%7+YY}}`QNJ_#D9`+_VHNmuP7hhmzukKvy?a3`-%AcL@lqbb57$r#q@kK%1x-A zmJ4?buRQSiR(*cDK4)A>oQLv%DyE;VjzRm!I^d!mW%r=_ut{Y zyfB*!C;sXW@srrU^z$+Odgag1ep37-&$sDwyg(fOi+;j*dErjtEc27pUy%Po)b|@L zkM6TC<$Pg#{uF)#GTnMzOs~m9yAyt!@&`sUO58VMx`yjxy1(diDW9Zk_)_H0RG$5z z*elPM>hljwivl`6XWYkxNRTUSjC#&hIr!mHnJ=Ro-n|K4puE&;(0fCa|B=i4x#(p( zwT3e{8BW9g#N0SJLt5J1{MDHLwrEzVweB26^gpT3m#F^GFV?-^LOZgXqMm#Iw|0De zsvV&nVGD!)ds3h8qWTwMTP^fZYB%jD-5mAsy-@g1{ejJa=kN#f+3?Mnp8jWCv0q?4 zJ$Xx%yGrdrK9@;7=?o9^y9>js)|9_;Qd0EK67__!ReRp z*n&S*eVM!?eJLh4v}@yCQGTt~Tg!zzb)aJORL6gZNV&oM(x=@JsKF`PKIu!jCF{U{pV)-;n25>hlNn zIrXjoan$#V9KZA@k-sO$H{Tce2XcJF{gMB5jxYVRSbm%Ge^Pnr55&%`Ka27wO^XlM zk4e19^M;?t=X2BnQ~d4k%V8YAZf#ftM?F&il78ufG5ymTFK1m2p(VSI#%a(+FF2lZ z4@bGNDf#Gb&wFJ3gi$N(KF9qYrrY+bn65M}<_r7k=i5l9>(ux-#HHA^?bk8gJCzS{ zjP=5WIBd$m(f-ljM7de2KlBgnyN@!0DYxa3D0f-CKJ3%W`n;)5U-sK5_ZI4#IzI^g zbf}l#MLG7Ce1fr9VV{PinrwKW*_m zRs8p)K7UKjZkB8Gk1;*x$yl8SNtWwLef~p@#}FU-UaNSB_HTJ|YPpzxtMb=Riw5M= z%Qzu+u74_~zlZHZzQ^gtD_HW;hi}VTe%arm{EMSn4AAkj^C*KuFA6>w~5cNKv z-1;5=XL8$iigMf!4(I7O1mOE3R-T=sT)tgxRG#gM@vuetsr_vIygsfDq^qslma#ZH zZF+HjnezGkmMVYt4$-lQzwn;w)Ck;Y(ZCPTXz#`s#r!t;e5GzbKkgu7(Hh2|s3qpZ z_!!+irsuqZ{ZlvxlPx(75Z3q7mqhu8G!EEqMN~|n+-VI_j{65^$zBoOH@a|VKR4Un zc|y}km_O*p;8+TU|3t6wS1SKG<(YqYSsI+w`}Xv%L3(~geW0d)1+K!c*Uy2ERG#Df zbLsmY((yB5rW1X_Z&Q8Dhx+)uVXx?)?L9y3d7h6x&+{ww`D`r@)|UY>|0nf1`+xW` zyx%0{75hi`j`qD=(@VSvzd`xkcZd%VFZ|9+c5=f$F20}$^J$U4@}*JFxb_brzUyby zx?{{p%``u$Z%N9=eYy< z=KZ2w^Hu(g1szSZn~p!~xTB6MZSMnP`ILBk#mLx16Ml=kRBK&W3qQ+Un;h@!Elm!O z4=f+<>uu^;(LILW=pLBBSI|+~9))B`G}hNWgsPGBD`#H<@mt)eG%fP!N*IrTK~{QX<;^d;hYxvg1=`Fbm_dwzQIBK z&CrqMV}0Wg5;MD3_0{@@drRSW!iT#Dk#zY$Z*4-94T%vD#jlC?4R)`cj7e6H4NUaa z@S_QRrCHVX`7QHHwV=Iwa$=;`Hzqtj+8-W)hHmwRWD*k8;DUkSI-R9j&*WIGXJl}4 zXc$@d_N_wJwc2R+#EOy_D#Z?&mxhqA*0*M00=!bABdhzyM*91sfD~t_du(OjSdc9Z zjE}|#JtM;tVM_s&5!&#mzEJRvSOIHrO|;ZXF-(>lx@DkZKFw59YuJrFpI8 zO6wf2ixr_RYIHv2CdZ{D!~FxxgKOuu&TA?44?uPIP^g>9f!8C_e#?>2N#4kBsySoh#y z-(V?gUwB6Qtoid>+Cw>{a)TE_2u_rw4r(DPgoV=)v%R&^iLp}8#2`W#UarYcK$M0j zhoE#h45-z{j|o1GHg=Cqz$iTFEoqI#+rcT8)|GHhOSN@&ORc?S)|}Fck)gicfw9u? zNC?D|cw(q;V#SC!cVcX9Efi6Lq<>&da99jV#1>*$e2n<^YD7UsM}`rzY-HX22oG&( z^A@1}pwjzC#)c5f@R&HP)<4+2eEe8Z6`I}XY6-$xOc;$aw}hz2Pwm6G6CoIS`^J05 z21X}F#!4fj6WwEomC0f0CXV%rkOms+5Lw{I{pl5{i28b8CPc6RYI;hwk-^>?3_)|1 zCXZ+l;Z@y(lYJ!!tSXJHLO+Bi5Mma8AqCYJ>T*>N64wTXN9qW`sSfE2;l2ugV`Bu) zs-YoEt&a7S21kaMH-&$s4{KS}T0uRPTPn4V1?8+|cByw{a@k;CXp-pm#4)i0kxoav zA`Zbfeq%jo1=68pv)U`cF6c%hKuMy~>g?H6IlgwB4b6km+!uc&q}11kupdIPP-|#W zgMH|Pyw&4R6rpeJ4)!dY?2o-u??|m@ZO8bVt-B8EUM*1mK&(myi)5Rl%Xc<1DtN5_<*Zm@fzXNCHDc4c0zT$wfd z>{^z=T4_oX-qA^(A-~`vmp!vu&hR%nFjB@E3xOvwGN-jX>-2dob4sY8p}rw#6crNM zeLWZ%`i7)^AdbhS^ObNEk4}#2_!Nd^sTA#U&S`H&J?T&o+>Vj6CQW4m9f25o;_=c} z!ruZApY>qCD!G{p7A|Nht$^bZRG~h#FF*jZGV7X**&ggdSV|)aU2TYnq4D}Iw$wk0 zAK;njhZzt-4_-o0_e_ipO0Us3HddNgRYS+tgK-I6t<`0zwhCk4U>~~*8Fa@dSl((& zd+XfArP=f6oZebN2O_Gp+m!Afd0`Yof#+tmjxauE^|OgE60l*{q`Zmi#BbwkhpqNw zXMj4H97QC{Scr+k=n$&Pf-m$u(wE5}8(S0IxVn3ACHjDol>>+l6fgF~(m|u2mU@yp zL?=3WzIa1M$%&EW%Ln^bO~k=DW-Ei1*v#1znm0;_USdL$*J`0Hp|nGodc+jb8@YHe zQ{xbz69bu3gpv-9jEs&;ZAfJ-TRWkndR@J@EQld1<76->v~o1UZp5y4e-z7F7u3*G z*2=TbE@fG3zzBVbc#MA9B;cJ%^r*rG(*r{I*H#S3ACi%-wze>fW2y;-@j6(rwj{Zr z9o4ck<_9bZ<}WO_mV&yGQEHfdW@}b!X`fYYAtU2-?abEjr))6(n5LHYc8Wl0Ef$B& zyoIyoWV6m_k%VrKxQh~@Ey`nBRz;+0F(h@ZWl^OzYtEeZkUqqsBu9LQ369hN2HGAb z30BTqn9Izhd+NP3f7YT9RiQ7T0<#!O7Sv!=nNOmnplNCQoW&)C)G_kUu_eq}$1wg& zDbW!P^h-}NE~bqP_l_gnCPpUUwXwcYEGT@st|bk+#Zi(TBdU~hWM#aJmR5&QmTW~l z48$QH>6>+OUa!Z(=k$)bb4xuVL!*Ozh|kh0Z4nrsW0A#HS;cfuU`81Y zA#;&M(fbkMy}{?Pzp7Pd&Fg59)kiIi7Lrz`+R}kh&%iKRNPU`x9hI!3Qf~!(DFch7 zloeS>+KUlFjHQ|o9C9NCNB5Fb+Iq%@$NW-1eyXb$D6E&mEM;Zi+SMat zvfPzlovWc8g(b(@wXrRQ*3QLOZCE_zI%EZekx~^R!O-~fl39dejEvij) zFUJtef>T^7Vytg@TsVia#av}cZ>E(bT;eVM7T0RccP!C)Qi?mb5SIkps%Fvm&E;k$=n zI!vCxKou0C+|h^XG31P(LD}J&k&?JBcm@9ILq{ZT!h=anfv|HRn=6CC-(sot6~0aj z&BbTsp|Rly3OSgd6zKC26XDuBvKni;x~IoZxjSyk-SJcIPMC6cVr=1c`A&H}GKv|9 zKeSdaStBY%7I9K%2&!6kFHi+_B-ZU=Wj#4I9M_UIFOxPWa~;GA{*AjFx>E(cnBI=8 zmIoqcGbHKrJk9bEtlCXqm{-U{S@)qCVg(;i@8r;^?N5acH+JHfr;Sa7j<|nvxTl19 zXPsD>t)f{=V(Be{rv4GEHAA-|H7dEBH;MJ701R$eqpk^6U284Fc5JCgz(|9K6Ng5z zs-Em$g(X9IO)s1jlZDQ5T&8+KiOhW=MJ-rlV>(VN&=&hjz2g&D6SkJ43V`v^?j9|1 z*ba~|gNdbng!DL8bu}ms%8?Zo07x;4(oU3y(YeN3>Dz*T;C0DM*0n3J86dLQNElrq z$;Ab@?;adoA*)^hba>HdEGWhJI7`H%WrN*VL89EU*&$C>^bMjL0EoMLvW*$s$^v1n z+ghF+#UeiR195h&Gk@5GGN2L8osW%y(_0q=Fb5k0LX_J9P_S_^IkM%a;lsfqq!@wM;^XIFw?m=6U;luO5}`HN8N{Q2Qg>zOT!0+8$4wIPs4 z0niL&w%-RRde^R%xgwmqcJ1i$k% zV`(eu#*V>1VQh-eLa!qoEL6uFkhe^durdfkpJ;`Al#W1D$WR4?$Gh=w*guv_AF2%5 zVUrEZvEhlCGJl*HgPz)0?U;TBuPlW1Px#mTrzw}ehFgq$=BnN}h_+M31zek~b$r)$^N2F7b|#Adwch>5Ua zylis0Y-`F)2bg=f>PaBvFSUSdqS`w0sPcJGECv-Y{>$lkuMJAPplVqhU-? z(D)JVve$x2!Nhfne?rMZOoq8(EGKdsSu4rW#^e&bw0v-6S@&S57i{)QW58y?aIfpG zNslMT3z*no<6Krop;~2fu1LBo6b?z31+QTW0`Z`8d^OHUR!Et(I$~OLdM`FTXn7RH z1f~!3I5=?jnX}r1Qq0zv157B5k4$1yQ=SC3xcfrpnA8q>W`g9e&5CraM?tx2?GXW) zPBK#D8XSj$hz3a$CN(&131R4&+bv5Gw&^k8i3lPcwlYmkt2%7h zk49i*2;tm6IEf8Z)y~j8?$}rZVGS3YDGSWFvkLcMzX%IzCPF{Q2$9l-?o5`N0ibsc zwxFGOK3>WmQBt$@h{S;vlos}^kllUhy``F__C#7zbi2djSi{#njy~-klKmnqcW@*l z%Tes|!ONluTPFBd^Ot3!tk2PRmU_ZCN=6D2W@9QL)re5VS}k-OkwvrA$Cxn6Afk6+ zuBsSwNz4kdF@k!)j_Lp!k)@Hd8JW_e@5RzW>5!A;Qj44ZI0{%5Mu}sv0#cdYF*$g& z1U;*W>vl%;sdPBVI}ALru;K8aF5j@@SYOs~n5A2UVV|AaMHeR1ybW`#;1Du#6=ZhF z2qFcUqGVM++%I!fIU)&pN0(w>xfV`c@yDyjqVL1g)i{TQIHvzNJzCD3u%A)y@j8OCnjB6 zUF=|egJW!MDlXyDQ*vYMOXf_P+G-(u>7OYw`X-x(1Xvv>0c7G3?w}Z?3i^=<6ykY*2Hp;>o785T|w7U02`c<~q(gF4<@r zz_e!>dJ4sO7S~q1Xs$9Ccq#QHd;biLogvuN?6r|mfDNK z0BZnAcM^xy1CijKrd;J~0Yg8`w?mAD2u;RPrtjOpJ7+ z70ceRzsCKgUZp6jCqcREkmI#J;R?O7|`XkM=fbtGXZ1xtgincRX4~; zgvVYo^oL>mrWmpmrD4d6ohDvP>1hdP+ZhU1md@478eGq`v18y}Xh)Mh=g8YTtqWma zc?GH(yFijA_iv zY^!Z52xE@>a;gtv??+MTGBC-Ib~;uH=7wDtKAt+v)v#GIgcFG6G6Sh|atzE^BU9t0 zuAi5MFBVUjqQ`L|p9RA(R3ppC&Ux zsk1hO7ModJ_)KE5h(#Ce(hk$^y~CXxJbh$jf=z5hPUR(2CgecQdqjoAkUbZdk7LP; z7(@qY4PGl*haNNrP2XBS8jBCdMzNn^4-vAZ7Bj zWq8G4q=q~_w-_NI@|cDZBzG4WEL@04CsBbyyk zDA_pPY?YLdl$(Wo`h zR^lcaje*}ra0-Qf0tK>XQk|i%ZV5AZ=~=QE5_m^qxiup659oC<>$Zdv+16vq;=5Z0 zy*|8>I*j$5m=WI6FnMjD5|L=7p}m}rxkZK-%JRLGY*Z}A3ysUd5RbV8q-V+L!`#Wi zL9y_3Z1Tl7Yh{-Y%l&*V?0fK3He_2`d~lFJnim3Tyxh`;vq_1M0FEsU^oK(aYQxAv zhz%K@w~O^g>$*q#Wog7Rb!ov)7W+jLozgYUmW5L2MC>^nTHimmh9#3^7WaMOd%PMl zyV5>SHaq?72bi;Bye@SD*_G zZ(#}nsn8>g4xw|!th>KoGA-ey!*CUq>Xo2fHfH6eK6%d!=eEJVk+3&|$MEp@u=Wh{ z2yIpp#ZFof8=_!5MUbtPx1!K}%F90if<~(hFFusdoHb|RIpL&1gir!LF|KD2r$Bh7 zSpZ3<^5PfX0gd{?G%D=cVIC^7!ByjtmgPW%@(A|J`#SN+LbH?>wrp9QTkGy|gcDSyow;i6%x2BjKMCwnW1eDU#~upaGQ*5(n8~1H32f(3vIU18ZPuj}|f} zo>Hff59NnFdhH306 z2p8FP3grk7`n!h)2G^oN!hCs=CS)4&g7n}3y0h^xFGise4Cp@bW-cbGA?rD_XXE`w zO^P#8>`Mk^E7;q`4CBiaC^IJ1C`ibER8~;(stjHS9>Yl`-s;C*-q_FpwvW|+xS$&q z%fxtChJ0nZ60*+lE@n%mv?8d1-cUXnAqQpcTIYJaA|YFNW}K8TdL!tWJ#S&lBAoBx zJ-WDG6$>WsmSb}~>P5FB>BHT$?~p=Xc^pFZR@Sfj%v!XY9?q=wv5zYC33SWJ z)Q7jn*{LcUV;0BX*cp`VU8WDwh*P8<9O;s)pN!D1@vs!H_2C#?-k}d(l)Zuw8+spC znBr+lL{EkdMag;;2O$zKF*!Q{y+!qerLN!BJ1vBRKGKfPT?B`R*+!fu)#(r25Kbqi zqA$AYsL zEIem{-JeyNb%uOXG5_q=R@W240jr_VkC^s)hr{rx_JWYnNdjD_b<&67||nL**LTkD>iyiHUQ&IR9@7AQDb#0L7Yz@8*DkY;`s5ry0U3Z z<0XyIN5)xzG%ko^LLn<8=9=$VQ0TfGvRspe%R~26XJ=9i)SXh1B7HX?BpUvY_BVC)*pm9sG^@Om`o)HNFvEe@ef6#MjC_o?oX z64muQAugs&K%lLz9a{-C-eG;xt0k@4|EzaRuJ^f=WJCPKzjSR9_J^;PAI1s(ve?jC zE3NqYuZ9l+=ko7A*Cy7Ct-;zG?^0tOkGBlu^c+V6P=~pt>>pqyA%7@3oDAc0GFj5& zY(U=Tu}5|mr=qzk)oiP+?UjyM^Oy?3Ox5U6!a-J?+;$IQ&{=_fghA|AQN#|AG>iDb z#t_~kTCSp)rAFhX7;Woy(^T(r*+8@h_H7j$A+MG*YaR$<`wZ{&%iBt|_~H^4Y+5v| zMdpdqVh_fIVe=wT~7yg@8su-68`~rSjiN6V% z6No=5Amp@Cd-6<)-Ss-Hw1^PDIuZVxRytRaT~1RpN3T+H;z{S46x!u9MYOb_g(+w>|WC%(wJ2I5PdYb4&_Tods+ z{k|Xi=y#REZ!0LeTCeaL;jfMAB>at09fYq|<hgz5?PB>?Qj;qDb+|s4(sXvYL=hEED^&^k+gH;{Wx{PL4XM;A zWm+)Dva1rZc+h28D_F16{1e$B6qI|rUcogGU*udP@g>eR5nt-u3}XJwpM(c7e-ajW#_%Ho|7gYZ1^|yciO|PIXRe12OQ5&c>g}-rX z_HOa%H}wjsM-@Kf)Q-DHse|+YoZ<`7+b>8vKTl2b*8qdA6xZQSv?n8^;B6sA9w0zg%_CPtDjKl)l+5lJnK@d z3swM(_^sB(Uh?A|_zx88plpt>re3bnrQhGz67Ht1bT3y^msV4kR`*g@&N7!)qf-C( zA@y6MI&E4h+fU(TPVKF(JiuI>PEnB%jH6<+I9T0PmF+IpVUE6Vtk!u_m4WrW8%b%MfKPMxi=%c*xO+_Ql-mN5_> zw8oh+Af9#VG7W?qEg&+s#KWxFWekXuwXMPW&nf(xQ=iaq`MQNoc7uk^cdR95X^dp6 zwb^~rT4Hv$!bhC?lfvCCk}?L>zd6OGyUHn#w20V2zQbz2lf5CkLNt7Kecr}8+4Zrl zLFz(vrFVfDyFT`NkYclAzXxiYI-Jc96#F@La-i7Hu>wG`1+tR^#ZHZ`1;tK{t_4NU zaI689_ss1(MCKvAqMpy(F;WZl3TjB4GbH-%kB zW!n_~!>G1b=@0b3N3WRf|48A>Ul4Nv#h)#m^L1kLJl78(qv}BR$mvmY~r2Pl*)uFjH<8={MuEE>uj{=K@&X>}z=rTt9T2JK(o z=l#rA6mD^f(7T>47Vu&#VAm?`7rnYNLRQ0&>_$rhwonXE}rtW4GIjmoqc>}R6VvmK!@r~_{=P!)DBG26LTbulDxCQ6q3YsQHPxJo-gI*CeU}Q z`?Id>U<=9)mSwLi5m=U}E_bl`+_~>uSDRZ3a|c_HJJ^EU!4~8WHlO=mY1!{A%pGh& z?qCaY2V0Ok*nIA<(A*j2@cj1*V}52q%tMkf{{@eEu)O<{vWS_P#C5d^$$}DrEhrJ# zf)atvm*|;XA2O{}qmet?Fj8Z_?{y?|=<)>F@E?^(fSa?RSJC;^&*nu~Ujws5&;5>sH}~eAK)u7d240)jJF> z$s^0V<8)PyY_^nmQ`ciaK|#fUW$-azqFOevIzu6wHI-M<3&;4vHy!K@3^jyEblm7i!ATzItvtncXbT_EUSy1XVkzu zb}*6UU0ufl4ZLF?8)bRN?l!W#V`mgu-mxE!EbrJwMV5DU9Tsfh9XqZl%R6>Wk>wrx zsL1lJt|NfneAu3vhV8b(u-#e|wh(35UaQ?0G6%~$ZaE@4ww8EP7oZR=2vD#L(7L(> z%et*A6WDy29?vamkoiL;_IT`2VCNq;t>Yb6@IjO}>>NSfS7JHO^zQnh5^p-Z@KDOT zXX{0Ivx7Hpi1O|>oi&F1c|$*i{PS)KB)xR8kHYtrcyqauv825Fq;83X^t`DXupim9 zj(6M=3!=Q?oDNzc$~!j2Aj%uo9mt1DtU5NtAjuoH!yw6YiY%jw^%q%IU|sIeo6r3!J)T~m2jxin<<0AO zx6+Q!JFZap&=kQPb>pcn6C}@Pa-C-K5l{Q2&FgsgHP3{~sTS5iknvHM3D|rlH)gYJfF#}n#rLaf7fkZ$Gh3K*6CoNGKi1~1Q|Sa znSjk_!diarJ<|(x%bl$so3HtqJE(l)1eI@upz@6kRKB5rVp&)UP*)q4SJtmL}YeSYPJWp3(*8r@L0x~CgB8IvWmF7yi){9M;T zDnA^6O5ISjl+PECrKwwrUR$^n1$(`YXbfC54X~^)S{GT4VjQ9(n-A*9=HoiD`OuDR zKDr~D5AevcUh5hy?EN2j;ERPG0DDvF0k8!g09)Vzumv6fTi^k(1s(uf-~q4&9spb5 z0kHoA4}77}17J6$9spb50k8!g09)Vzumv6fTi^k(1s(uf-~q4&9sv74@W3^N9sv98 z)B|7(JOH-917Hh00JgvbU<*6|w!i~m3p@a}zyn~n=Yi)wNWLK%y6lBBHh-ME=F0~v zUpP>G+BdVW29+-ss3F}k@}Vm^!{y=h`)rG}<68>9V^o$e@OpiH=&gFiHu7}h>z!*L z{;qS4#CPu>waT%@_Vj;C)8Um)!gpvg48s>IyveEUDSDBKbQ9L)pHEd{`95R$R|qdM zO;zUqHK+2LcGX9(Gm*Bl6%IPJUg0fH(9yVsnh-6V@O+~>Z&mmmr+QT@wHGu*>OR#{RS3t8>Z0!NIhE>8 zVw}2ZEM7e%yxSBl`M$z^)mWTl?U&e{gng>Jow~ney35qPr|B-Ix|43^y{h05Q`DZ1 zGWw>@APjlr+s%YV4h6bd`$sGDX!?QPNu5RY8X- z%2@q%x>+O-twhm)D%g-Jy2B}2G~0~KE>(EFQ+fYy(MONzRoW}@X5HN`Y)ee|A{9bg zBHUPi)* zm8J^*(-gH4o@$D!siGv1`GWQ7mZ~#Nl{j)&mEOxzEy@>lCw+9NiDWYr9`6+Mnsh3! z=qWAYOcNZXb+RJ5-t zs-}vP8oFOCdD=>HMJF-OVDQl@;jUh|U5S|sW+F*d(x}Z=;Ou(}w;I(+_-CUs!UL={ zoyR9`;q2ThHB*sxH*-4iInFf@^SBsw)kwU=<(i0V&dnfR>RdB%uX8hrchys8bgJ9T zPy!k}tO?Pn67HpETp0Qq6;f5fXh=opnW73IQ|(x~;+kZ~fa%V+bZvx82j|dSyQaz7 zne1g|cP+I$>FHBNNn3xRDx@}<@gAYvxAiLA9DP;tY`qHiM#aCXbnYm;#5An_o}Dw&@0^AK+NKxsy43U&5x~&s~d<}o*z&GHzd;|pSFhqH;`ck(K>QKs8i_yVTodu9 zoSQ-XS?8LGKkwX3V!knoA!36rzTIpZ{0mJ8#}i&;uclTnSNK(@2yZp2gRsZG*{c#x z8r4Bav#^2d=0rrJHk(lu!biM-4aC>03FxlYuj37kkq(e}ceNr2@@9V}MUa?g2SMI! zs1qb+A%fuZ7o&~ExZ6tBNl3S2HBNYm`L>faaFbJnEFBUO(yW4xIQ4BijpF$pCjZQi zA18q#WFF|?2tB)G)sSYlh}oT|pQ^#}FCl)jeX-qkgF>1D7ys)mAJyVp&O-9$2l`MH z65p&Z1BcYSVKs{&F>5&p@`lwcg2XIr5X@J3fzg}S6&SsFU4em{*A*DEd0j!q>DkO@ z)m!hf_~@eJzV1{$Q%v>pS%S)ESTG@EIsNPDGE4at%dVVeS5C7lr`aXF`hRM3DR~3F zt;kuo6*=p+WLnB!59qdNs}T+w)porW% zDF&zOPFBtjsT+Q2y+`(9HSXnRWcD8lOZFaocA3KKonkgSs!P#(ux<`FDx0lvfm5um z>z&H`;OVrwE?w8C@@!jZKbIC&*QH5yHR!u@GxdtrIGy-2deU0B{$au~O^Csb@K8;M z9`bbx`;6)!yuheV!nYgMK}Z`4dRtmBVir`G-H0iwQ1_)ySQ%@zFA&=OyM7$VquQ7!j#j(Bm+lkR6C8z%z|oaL6sK#$tSFd! zS<#ZN=0Pp}FD-I2mi{CwY9~w2Mo`e(Z&c|A^eX)b@w~mGvBm9K6a3w>>mYn&ub2+m zJ)>|}RRxOhAfq}6nc;KT`4`KsLPbZIqDtPmEZrY0RU6@{W@Pz(g}-o$cDqI8=hxj% z-G4GgOHxH?@1@2uHJTgY)267FDoTcpn^l^k==0K9_iifmxT)@VV zQRfowq;4-LZG%2Kz(hKZQh1D0s?si$eyxdQ^A+|ObuQugMrChOc%f0}67t6f3ykD0 z&?hZb$CniH-Hw8YNK>^@!H#xpyx7X5;A^Vb-)BiGmRdHMIT_#C*})ViLso-6+EcI6 z9Ee})Tm$ib&NUJ*cdjXKIQ73$WePl!ic~1wZ>f?)t29*`Q}tS^r1c-9j}9@B#3Q5n z=sdlOM~Ek!Yam|ZTqE&a&Ltk9{tHy5z$2+hh0>cWRrNN7{*p#g-1RDQp{2^0>bpjj z^L2KyKKg`-WH%|i#VNkh!D~Sa*{ae%)~mRZ_&(J+Mom#0nFE-s}TJR~O+6Z}^jAaVpJua0G zHX7w$!?aWPM=e!572WC7_7u^Bn6eOVu%c91x^Fne>^@|=7ZLi2c+#|PQ3Vf}q9ueY z?2U{X;iyqd2ty1L7I?w6`ymKnL| zT!pl(V7awT-SsQIO5-N}wQ~)`zjLmUc=Hs!6N{y%EEf`0Np^wBdWk}X$_YwTDx zsX3mwzRfeAPJFR*4aDzsu95iN&NUHV?%WLG4bC+af7H2|#HZ_4A9|%LwRO5bXz({Q zAv{62P|qA?IW&zok1C0?_0x!_dj{L4@rJpIpl25mGu_qBeJg|y*_mf2)t_uzsmVZ1 zMV~P1+X(rCVhB?zI>Hns!x9y3Gezx$zc!0%R5Z&JC2Nv5sPyTUY6;;PMs<8i;Wnd| z(6~34?xdZ5Pu=wkOI4+}PqI`=Nb-Q$9g;DxnU*SXNT!e4^(rlpnCGqF8i*IUTqE&f z=bDJSoSQ*>r*qBuP@x^mRHmS%rXm$ef5K9AeNrJaD>yOfQ;`*xDoax({(YlL^Bfq9 z`7~7$xmz{Wy?Pb@60g+r3Gr{#tDEvxGu=;JHQ^&hl?k6TDw$^dQXf$i6GOsBEma5M-f9xIxb{^@D+`Xa zPg9XuUXba;r#sg`-0EB-@$JqfPN4n;Dg*x#_8V0uTx(Q?aGg;}b8XW{OpW3ZPFSiA z!YxK6(ahgmW}XEz?>B2|Hz!%=Y*mr_^eWL!yuTiB6-D=xD*sQt7KC7vCO^p4Oea3f zxd!4_JJ(2jxN}X!M>scw_(G#+wj?)55WDwur z9L1C=l}Mbd47^ARZ_AoDt)J3#cbkdoJ;ytrn^gJ3Ie*M zdn(+r64k`qZ7OOjOt+8i$s}t)e&94mMMO36t9|{NSj}{;u9}cLK?qrXljIvxWx}s` zLPF+O5bMoq%JFW}bmBKUmzc?PC#ejaOW0ymnXuKU4#JvIW$xZ*s2Du&$8Mc|56Z(_q1c8EiGPItU*zs!I4*qdE-Q0-EuF^(sCmewT9%#QZ6A$Tbr4 z3lwlo?(B%D|DA3E;SY?e{!k$`74$UR4!FlsmD5y7Ps7h#rs*nKibu@PZNN1U@9uJq z#N*B-#iRaRR0dH^=)aj?U7$~?si1iKs>nQ3R8CVR#apk^Z`G?5kN92AH4wkYxkln6 ze6`=4)Sk+}Q?Do<;U2bX521O%0&!}X;ZPQ1CCXyJvULU<(uTm$((|kiAY4vZ{l3lD_ELS` zL@I<#4RZ*8Y*I<~X{riSdG^UNXqzhB-#h%gg%o+z@~#m6%cyLcDsV-Kg-qoli8)QG zWoY~6P=x0>1%Gz#Rhs%x(~|MKR9Bps$5e+|sx3;ts-Xo*Uusjp`tz@`8!LS5%r`@}jV5s$@)M_7ugd_#ERsujHJa-$C*q zQOZxuk3oyd&vcL5Am2fFpzUav4_8P{1vQ&0s!-9Prl^`KN@|jy^|fg#DG70ha}C6u z&NUMAP@|~C)W6KE>LBF#23?q>rTR%x@j4@Z^AoGQI)z~Q4$xo zs5C{P=mDiXRno&ZtN$kTT2POvNK!+oNRrX!Wv?NVZv_TbPuKsorI&+OJJgC7swd75TMZr6CYM z>Rbcy6V5df|Jk`F;-{UPK|HKSVaTI7?_b*Cf7_{p@HKXV)^)hTvz+2*oh@2DtJFQ;8dBp!oM1obf9UfHm3TUrAl@I z(p2qC^^B!Trh-@Kqo++I85qvjNB=O9sqAl}8fM&bp|HEmD-ZYonS%Iu_%PB4)wkKS9I$}6VACKKs6SmB{Yl?l%0h z->51f^DkKDEK%vTmMV$T4f^N`y^05j`JK0TfS70b;2MdC^n4jy;sNTv-c0Bq+|Q1s z%Y?5ms)O(>qsoLGMs*OQR;=pj;(3Z<{GR7oG1rfOrV%Pm#XKcA?NPBM|ib64u4&*)V=M|_oY4a8SF z*GPP=b4|q8IX8p&dgq#nZ*XoV@nYS7EF4R()C6DCYk`YXkqV`KqqnP~xiGha7k+Nm zJZ`nTvNTmvS9hrN!M2TBCY)hZMtGJ{3(gh zld+T{e=w0`twj-Tfn%|{y^J0-Ey;R_TKHR<1@F6-c8Gd+(yLSj@sZ9Y#bmlYRi>b^ zP~;URk`$97FEf#(m=t-ji6q6O$iXI(d_~!;k6v#gNv&l1Xvy=7tT2(JM5FqM8}{N) z;!o%^aEU*e?ro+zF_0pcnn;rSmHOx^&uKdG51dPKXS!=mb&@+p__LA))1j@Jnp@ve zcH)b4FSDrZjBMSdEfvJlQkA(xucDfGFP*o`YYbFn*QJU$qChtx(?M6G$tz$o4kjoq zVO_Gsk4*MiRU>B&3YiR_3VwKoTWvRlu^osUPxX5~i&OwFL zRIq`UDq2iM%S_RdR8cZQ9jDSf{*)g=Bj$@dSg;dPqlgi|*D}lq`Rb2|{Zt>blM;@2 z7YpC)& zM#!IA6K%vh`Er|(5h`LD(sp4Kz1_m2jrDnpReRfiDBRU5rH%FURj2M$c&}5ere5=Z z8>_@mtlIc$#tkmT8d+ejZmTIAaO&R`{=un3)OGxAN%R#1O3`hg=&fgU`>bFhu$y|s zXKq!tB{m#cfT@(Xkt*8=-Cl46^+okRU5#GoMB**pwB{1i*&;`Loq8FyI+vI=fR2uk zF)K-l=`Kl1{37*)$Pv#p?uxm@ES1Pv6e-}OowW{`6NoSMR@p+#dWX4$G)IyWvnpY3 z3-L$IwACjN-(lPpEyQ)^o{%?plM;+It85&W@a}F^B5atrn97#&dFq5RjG)Lr! z-Lxy_(rn@rtqHfEtdK>Kq{P>F zKQ)`!i?y07UMt-_ihiV5^o(p%OjfWJ(xhG5L3)J+(}}t953YfjzwQXGk@y%-+eCbV zb2Erfa;};9ROev*%LW`IH*M(mkF(44A( znN~Ch%&iESP8{<5$=aBVTde57@)i{IX=(oLRP2L5MRH?zQl^;tb*kUDGy& zUZLrT&LgEg%vZJ4fMmykb8f~595bDmqZK%cS*b}JrcA*igCYl+NV349$o?jhELbU` ze^n5xnPkDbQB&WjS1AMWU!0>RWlANcyF(e2;Vy*_I%VPt(o_|u`mLo(N}8r>W2$YI zDk*%Ls-3Csvs8&c;G^*09(omj5NFOcsRqC3vsdaB{ve!dRI(q$+>S7j3gOvCC5@e_ z4l|LY@Dyn@k))(7O`D2HNr^k0Yas4)u90|=&AZZ*XX@`Z6FLY#XH@lSh168gNm9`p zEmei7Zg40OegMhu7P;axklneHl<1HlKS~s2cnzsdR2vr`;tOxDyT~; zI^R-NnCfq`GRJ0UzNqC*5DpQdgoz&&0RhptwmrC&m5DL~pm*i4~ zx>Syt71pKJL$}w*bmBhe8i)s-Yb0J|3x|TbRQdDtDs`#Q|6oe>OG;5wQC+IY`KGAC zRJS{o)QUdhUu1>nnCezbl{Ac{`iPcFT`I+O9A*eq5ufq2llM&gHURaH=zDnF@L)Ft7)Mpb{TkeZ6>QbpcksVawR zzO(dNu*wB z(e&UNi1~&pxJKe9T&{_j8w8M>LCjwO0oP3Y7f(Br_(t6eXgch1u~PLc}$7Uv0XRIVcq!YKq#Z z=uMWYjfy_)R6ZW4=qOXvPDQIMRXY`Zz^S|K04S%Xx_J-%BSMX zov(J0A1Qp=sA@hHUtHT^m-s|Nk$5NP8i=`*0y%qejhiCinuvGxv@?jg=K;B9;+MMI zOyUpj8f_`;&}i_hG$De3@DzJXXUQ8B9%sMSu;fIA4?6Wrh0L&^t4g!0FuU1q`gG!E z%{MfA-q0BFEitnWf_9??7r`AB)3(Bngx2{xe{F={HUCs;$BE{j>Zi5j_nR}SG~)M0 zwGq;Wf+-3upi?kyAiT#cDANMIn1xv(E#Pl&fT9JSUD8qYs!oc+E8kd0SG8E&fE-Hw zm;UNBUm^Uanc7KHXPUD*Y3k3+GoAS?3yw@@sLpSh?k?)~_tLtk`{$;+i@Mzn$=g)} zs`E0vB48ScsS&QZW*u+nFA=2vI(J=DrkYPMks4Dmvw|NdIaOUd<}Pj`WsSd4S4mCG00^n`rMgn%`!jk)!s*0UIoCjZwR4Tc*ErWi`~~M`5P#9R zW@7%X3-X;wy#GtAn#o_r<%#$A8o-sG9!X{(JQb>iY_*9h7U0h`RSQ zMU_-{g}T3Dx+|&fWR6MQ52_Qep82gRc*YbZGXbXhj-_h9Tj5rx2%l9-Dv_AQMeSd5 zLB7C`YJ%I;0wmm(m}Nr3OE2IJO%_4oU9Gwx$eTtbMUc48luHv+{;a0(ubZGNB;47m zqwB>Af8!Kk#k|-_*kx1~AuA6DZG`VMsZPSr8I|3t@P%3+ykxnDLYAlCz003yXgAc z$}4Kpy!aP9q>T~t&x3$#ApVfbWA=HaZVb9gDm%);Bkhay5&x)&Q2J!XIH51?9+)UzI_Kro6GU;Zvm+4APz`r|!{*|z@R}_Q2jl_M*p?i7e zExg%TGY~=I{VY=m@`jd(pqmg;YDlHPkcI+7o-8t?p}>$QiwtSV8^Tds^($NM0n#KF*Q;VZZ@h)_@Ghcd^I5< zP2WSyQ!pjFR*S#N6m>GY&zRkvb2T0&^@{$Vj^E34cg|P%A*Z(I^+C&S3E_u~s$Qqi z|JcY9!b8lc8lfAtgpkIez=SU|qn5Dt&T)#+O|KEMjtdr!7izWjsJ#e-kFVnm-H3QO zh4`oSg1qs+R?@~gWI2LD-rVF3^%UYetU{6<2FBL=Jw+L}SotDI zTxVJO4lc7jTC+vMv+Ss zN5UDzEHE;?WF2o->31(g)I?v(2AZoJKrq z{_G+=*J5?`wi0g`pqR-KveGfuZ7cDHzQC&Tv>xpy=nCP8>57n;H=nTz>LT1^u0kff zVeP>fLOMY5*j`2Q?-yYadX<{?MeE6`gx@i$M))tIs?*h;1I*Ma;ekfg2oEtT%MYe7 zf+NGe)&MlW#k)(nkeDX|hD+}*OP(x|(f`klh*W(>mqF;Bj(w4^+Zg7e|VHhFd_KH#$ zD~u6li+LV%t_zI3BHqtXAiWUr(|YKN-e3#mlIu~*pf@0F*QFLb$Jdpps=%4lc(^I5 z5Y~M>nJP+}6czcWw{3*8&7v|D4e1&e&i$&wH|RSQpynuiNL^4+1!;Ee%(rRb&8QmfbN?oLG^w3Q3!}YtM$$N11|yGD zi)a}r8b`}O(KuQLiWcyCih?)j6+RzTQnL68xi zX;hW(;d7q=M*U8o{?Vk$`)R1W!lEZ*ATf&S0*W8qz=-`dpghK^gmiUX*sZWg%m~Mf zs@|p0E28>{*3GLdG^&Kh8kG^U+K?k*w@VRvrB+#~SD93mke^S%UxZ%uRYI@Vq$?}w zs|N?`ReB)e&pOwvb?g^?#$P@|2ShljkFY#FUm-OWtXOHlah9q=$louMzBEykjHs!i zHYyr5-Ce1oJ`4Lh7 z&1O{x;dFbYqPmMhYJxZOicV9J)AcHb63=n2fq1TSjl^d-*F=1#b2Es~aju#8QavM+ zp-x{Ka1&`}BQf^^Hd{3#sf^p3j<1a1vgHdI|gUo_9!gGx3B3xxu8%_V9QC)=h8&xKpWu<8& zJjbXm!uySCBV^fOJ>g5t4Q)*d4>78X(EqeZ8~yeslWHSmfm^2qJq1QdHWPoG!@#`}5s7&=9Q(yA+4k#*Mtbt;< z(eI#sueI?p=E;r&2I@d`2G3T0yKknQN;?FqOOw3ORknc?5m+Q2kaNB?eU#rScCc@oqI?=V4 zLaHigi%i8Ia>vXvO_fYGKdsrm%VumN}WuvNu=NOeOQ^*&$VHe?mOTASge{lj**D3WPtB7jq z(xfT(tFzvm`tJ^>I@DPoGXJ#^-e^?zEroO~n%s_R)DdQSTb7vK_A90S;!@T|6!5c- zkC{sKMGU2yfitu|zm9JLQ>qyT>wow@&e|-_-8sScM7+77T@HjvG zxLDzhPQ7TaSh0KPRYn4Zd%hw*0!4U&QMH%uAD`0okg^a_z(0htUTX|p^_#U0zHW6< zCcNFKjPPEg+6f;ts!aG>qY7%QjWzawRbo5g@m3dYgr_(~$iD`PW=8mLCY2FhYg8K{ z>lei)(Y83oWq$M3Hd04neJ52&J>On3PeT62e54VIe~dZm^d zRj2DtI*l)=w;wRC7r40X9?hAhEHHAVM#abU8a9o2GpyQiaJqGbH+!nmAjuoHwIIox zOX?+gL$3r$-h8@Vk~gfbAjuoHftb7c?r^;ZN#3xAf+TO~(jdtjHl84vuf=h7%s16U z%y4N7(-lm5`EeFKiFQfIRLJdtd}bv!)>|#NC24L;^2z$u#;;o?Uh%*PZ|+tSLp9-R ztX@}tuf!YoEE4mEfeK^4SK^JA0FrrISc09krNh(PMtJiXBXLm48}^z(k~cH}8E-A| zhHWHB@`hC#BzeQC4U)WZy9HdY)eEB>r9eaLsY74b4*foP}*y~(POZnz^Ltc>aXUhPI{`KVhU=3 zO#-#RICzeVd4Wy_cC-0G$4rHsClqWQ{9n51Upg;4(<~?xo^4b+p&M1?%wKA+%Qgp> z=l#nVyVHjKY8qozPM18pYN|V#*PNi!r&DYi)v;V5XITG_oq3dIx7sWy6P|BWJE0p@ zRlnMXKsCGg(s>qqc z>P!~=f9*_8(LK9rsylJ!UOIK(Pp|M?i$c!o{~tSZSIw@&EGQE$GOC@>jVf{``!yE) zf9*^zZalkcsylJ!|7GuYx{jS3Ls69f^Fg9u^#1=hNHpn|&mp$;lWZpQ9Q=3{k;OkT zHG&6R_p!a4#J{OXB|ow?Y3f6^uccWZ9j{j@81Z7~(pu7Iuh(mV z&-hCwe9J&o6LUMdsO;RAK3+E?gGq{i?p$h;K08&fFp2PoMwJPFWK;(sl^1j#{9AI| z85Wy}-=_1jqOvgEzgXQ$x>xZwauuc7P$2IiHUSEl^flakIWM1)_Rp%n5KAd=aM$f zbh|J;{(QBB;fcNlaI!*bDu_`kddd`4 z2#+*H)l^YZe*Ph=^W5s`#FNf75U+Kvk(hrnsi?%%&%Y0bR!R7n8_JDWYAWdXPSZVi zt{lbX#B=l+xCY|6&NUMAZ#s#g#H}tjgZM1xnu+WF(A7-hd-MZNY&vbpS9NJbmH1C7 zRQXc`2iP`B^(P8{<nKjUz-Q}o;uP7#*Oa~a`|Mz!U$V+E}= zMdkGhnMXk%xQ{A4&=j{59&J=cc#cu+=PK+pDw|N~&TOYMUt~4jUR94RH_x>bzQw4F z@QX&Z=L_7R*x_0ZYasr%bB)B`ajuE@Zs%qYAANB22l7b9 z<5Atb)f&BEkl(5_JBACmFSf@HC?;gqIt&==};m z?bOb?SGkAnSuWaN;g0*pLZd)?D?GrdqZOXy)L9BEPW34qacaH7cRBSjg`ackYYMkG zb+5t)o%(~qzd7~7{i4--=oQuGb zPW^@O<>u8FE8NGaLlrhVHA~^yPIW5mcWSl5E1V+ygi)0*DZI(3MT9>vsxnPC{nqOh zF8q?h-x$?FxUU^cEFwJIs1Cx{8MTP8)2I%@bw(|EyTT1leOlqW_3a4Q^#O$&UTI#v zR^iv2I-)5)?ba(2jw$@GQ{Pv((}AV%ANn)GlZ>hmo@G=!;kiat2v-}`PWX1CvhOJT zsZomvUvdzQs1VLJs)Mj%RE4m|s1CyQMpXzm8P!4fL!&B$4;$4{(jM?&y<$N}$j%Wx zQ?%LteT(*Ei>$*b6JB7{;zP8Dy7o{;W9-4L==kRoKM&q>N=g**ue-s&Kwj3l-M=fIzoW=eg9l!V8^xhr$my#owLyyi@%BiCdkz zUE#e>{Z!%aoO(jxKbaty{ZQdAo%)T!r=5C6A-|l55xeO} z*8EH!6u*=|Rv&?St->>$ny0YSscwbmIkigRMNYk2;m4f1QsG9YZc_Mdr|wqBkKbVz zKf(U9OZ`sWTNWa;i(=kW)NVdYe-hEBugCA6Mv~ zS7$dUb-PP_N8wMMdQjmLPCcox^wJoODA3Ld_i<`Jg|BhyXoYWZYL3E+Q;QW2I5n*B zElypi@O@5wSm8BJU9WJHQ+Fu*u~R=&_^4BVQ21X?P18@V_pnc|vzID7)TzT2p6t|V z3NxoV6!tmwMuq1)wNByXPQ72@RZe|D;muCnrtpVO{Y2q!oO(>*Gfq9La5w!)0#xmu z3J-GXFomym>J)|ZoN80p?Nq|r@pE1Zl`{v@L{JOQTSJ< z{;tqhY+1Tu%hDBFmaf>cbj6mXE4D0Mv1RFsElXEyS-N7&(iK~luGq44#g?Tjwk%z- zWn8g+k_)g>_F09#h|AJNT$V24vUCxbrHi;MUBqSSA}&i8aTynJpR%=B#ueL-oZ|9q zN1GdG(-pqVsdPz|ahmK)s*FpjYizolapru#Q@>F7d#C=a@Lx{tq-(LgZF!dMtMJuM z9i{N~PR&+Wc50Er6;2H)yvQl8{=VeY_Z9x!sXr_Hn^U{!lAyuXh}ppkU*puN3g08Qou_b(Q|S^kOP8S8m@Yni37Xxm6LBsK3tltcq*Lt8Hq~ywPvM`OB7D-Qwm7o+ zzv&93i&O8{*i5yHQ}1gmvbqTGF)HJ7;~b9$#@|+3TXYdVXjH~^6cNH8kH?p$gP5c(lSmMco6xxwj?&T z=SWqzB23re+0EK!9`?5LYlVMt>K_VUu8{!e=1WT>x#55X{TelrodhUaz{UtFujol7 z{;F5mRVL;eK1KWEOt-_dn6M!JD6+GORJND9izLnVg_`=srX_1o_!_73icix=v-B#( zB5rZ6fq0&Cjl|z^u4#Mv+f@d|C;Ws__7fx2RB%2)L+k#!7=M`L8>T4n8&ma}NE;zP zN3IZ zPJ$q)fJ_nynV1A+N&qpS2y#IN5hEZ13WiZ8HK0raiVT8^5)lLuF5fy;?{BSs&OW8r zd%x#p7*TvuC>?RXP==?SK(bU*%!*>Piph(f{$LjQ>)U?H*tK|Ga3Z$E5DNO z>%ePWH)#TgoJ#tE7r(7)=N8}>+-tZvu(P%61hskBz-IYqo>Jpt)%JN3*S@6JU;ZofFEv;ERK+fUgR!3jR)TH88*UWu@x_^V4O< z^@G13zGK1s@vRqy25bHsYHDP|ziR6TSo2<#f{vhWkv-sL0I^QOXDczHLUN-CHLPYbt zY*x|pHF@$Tq)l_+mR^-6@P|$%bFWA5z%5Q|%Ltgiz0VIvFn_{pToufhB^Xx&zc=*y zz?IuUsi;X))<{bm`LRj07 zjrHRds0ui;GsRJPb)ZsMN^Qx6rsDibu`Tz&_$sJL!0-5rat+`ePE7*R3DW}71^dG+ z;F|tERRc(8O8$I{Joyu8J6?dtMxj>#Q(Jp21xPAZ<`ux?VrD>c$j!RdlM31OG>{QC zw_Y%jZ4giM(WY*>A(+^0LpB)U+z?E1ZNp-A`>PHI`e*n{ECol+n$EmK-o^q2Wke^JmiCm1u zuZ8iuU-^6je_HQ&8Q0&NrKJhmS z^R9=RxR?@N#a~XF_))>gU}CqfM7XlQR!_q<(r}G5TypP4hvPld0pJh3E3LG0$<#$? zH}{$VjK7W^0wQRu5-@6#OpC)+wjXdP}ZsI-@m9el}Hr&pDMnFNjjf zR6*!;_i6%fbZS3@R`z%J_A8D!LgCd&y^?{$>tv5L06fR3i5Cfm_QX^>nS*GD*95$l z^nN5)bG)v|PqXEt1itWJj0k@5lXru_IUX&UZ+PwMCQaa1ol0iXCE9hV+B`?_^}$uZ zKM1Z0{$X%6@Q;G)1OGU*$XKkt$hQ z5&FI|u$2w?BNv*K3MF>~U(}_>nQHC){>@ozd@tS#y+QES(RRPq#%~p}?cAdRkbQ?N z940%<^LkIVMY4$H&t3{rC68mqONgKHu|qo*%2J`^6!$d=@hf5_&+xbiKY7$s6!3k) zRSJFW!S7%EWgI47l}yR?a%z&SB43j?-xz0oxJ1+~E?h6B$8(p5WRf36;75Y1fS(Gk z3U0+yj9NGSXFY{M;AZ~7qP4joN+n}WqI^A;6$!6BTqwD5#_M$t-vDmvUKv8WI@JJ1 zM#-dEUDkM)+)GcE$rQDDb-{-QR{_rtE~zA1Q)OezN3qzL9O))a;7LwpCkqm+5x11#c2u1w0|RBuTW^ zlZ_P@_(`XdB=OqCO_C%hYu90F^Gbs62rfwozcXBV5O}LoNkR*?>+w+T1^4Lrhh>l~ zQuw{-(u2Tvo$4CxWj9H>Pf)%~H19r`FDx%h2*1_*q0Jz$FK{_K@UK6y0ocB8rv z-X*vyc%R^E;E(IB()5!16=II}v<89k7_h}-v9At-5GaMX`m!mCgz%hP5-`ZFZsgj9@(69?76AzOc z+@t|q$zx?eV%jVN?i*IX!<=dWBgtfPkil%vU;wzG3ylyighsm6d#(#j0P=^a_A&qv zL7Qd3&`v+JQYI&=%~vV#dBIh{7X()Ye>1omm@hjwy*}_IVb>47EV!}YYjmq$`bo!Z zIh>=`<`9rSB(+DUM@j;zlBcN%o#{f!9Kz&!H)#Ol`?5!fb*ew17y(}FR09|pCF4xE zQ$5^3aVlU!8aBwlLp|0okj%^q7~zwt@P@)}??M@Hyi-l!!A>O;|8)uRoW>4d;J)sa zEZy_9>pHdhOa${R$G8gkuCS|u?+LC3{%LT1;CqAX2R|6xSa7DB+R~Xw!q3XlW+HHT zJsz=qd%%1LyxoOfGK&oYGwGj#Rlh}V0Eu66e4zb9 z*G^_5CQrD@1mK$@EN}*M*wEzdDsny@!>_*>2JTUf@&%_^MN_ zG|A-2&}5ZwirRdFf)5O?0zN#rD)_eGYQ^zJ{}9>Od;)&csienvebG&tz+0V4`m#W~ z?ogZe1^n~iD&U2|RlyGhR|E5R<)+sMej)7o!GDQoQ;Ujq=pnf$pL^h|S}{(Y3SK*wdd0)%Ou3njOX0CZ9EaL`H{rmKlF~%b(Gf+~0B) zzoiP1j|F(!;40u9f~$ge3a$p;CAdEDM}zAJ?;YG&@YcH2u!WCra*>raBz&?c8z11Q zdbDAFkN2=K-^sZzO=E+5hPEXee7}!X_oZoUyseAtwj~>@>)N($$;POxACGi}(yW_g zeU?m2?3rn7TjxeXxg( zTb-Qy)HF8uVA9I=U=JGvwVpneX@ByD0imR9DKAJ@tu?3ceW>4=Jzkhh!6Zqby$ins|hI@ni4JL|&7L-b~y*Nh12(< z{t~ho{q8h2W;;3cl^!-2oVKNprocEYc)O_07h&*j!BxO}23G~|6b7Pn}jctqbCb*U=V^p6Yr7-GaqV^1{eFW=46$i~6x(v8Uu9BXp)U9JiU6c8lO}ZzTnlEz_9NTH;u4&Avf>w(Cp7u*c40_F>nEbl7#Q(;#F?;l(r_%p%vgAWgGEcn1RI_cTmnWM9^ z=WBn$-N94US|xzDht}5M|JI*a*_69%Ivbm+enTZ}6!{())G2~D2ld{SIvVk{14Hy_ zmilB`d%v$^b+lTeemq9O^@q7eZ7%q;pz7-GT(xFZtb|c-YwssCo6chCUX zQ*z+0PSvLi-s03SkZ-N9t7*QP;#Ie**ECB%sMf52BTfxpCwQR`_3%lnc8boBTV7K% zp7Gn}!{5}1F@?>FLEO*>aroncgF%f5GUv@P2DyXMp&nqUzwJYvF|O-*NwajsGDd7S z?{miV{-yUhJ5+s+FC57DgZ}H7=Jv)6(5H9CjNdmXid3t1dSj=^Jp|_kb*Es|FQa}G zt>kG;TA2w{W^=Dhi?v{VuS_egOpD4)@yfKA4Oe+(T7Oeze&z>$i^}|va`d#)%CxA= zI^O5jBS2pZTIur8N|%Qgm5FK8`uB5YB9(a|7W;niA7T{&en`iTEzJF34oEvETr!=F z`Y1#2{(j&!ibrhQmt&T%lgWdCIe6QKY^S)c)`0fnmYdi6+3JYGFm7e;uqE_&uW(dehwe89VD@xuuFb7cEkPX)9+z`y#(>7#- zr8+k(9(OnBK;kOOZcBl`_G7FLT+SD%5#Y8?)mgVV7uzZ8A38&Ct7Or8Uhv#e2EQ?ahvWexBF zUv)=-9H?fsqK;LrBrOTx#=bV!KPnj4X(K&4(l|uTajGbe5u<*qe$H|Wkx;ct`^4_=Ny>vZVR%(5}g}@S)tp8Y_LSOyKTq@t6pvhX1v>mY;YRS4Z$o@Z9_Je(R|1a!AzI7 zAsa`HG6XY;+J#Jzow~P&4Q^pAtDBPh z*|uddkG3UAkx|={4UX)#B^!)M+ma2kZd(>>Lfu*2ZGm73=WsQOb$VN0c1O4br^BaUS9DWBD~l`ot<~6bmWeHfI#0z`|-22N?^iQLL>jtVXf6vWgnTvBWBB z6bA#Vs8LLN%4-x8ob}NtmPqPsRI$q2YpIN<1a(f3QRSiUXfiPYIYkrnR9?3!Em5O8cBVCD>Jc-pj8l8jlIC?Kk_4T?OMQK^^$Tu$m*t(*ir8 z178j+;HZn1l4}nxL!$5v3crp|?*`MGy9&ExWqLmnR!r}u{Sub7yQjg$I$w5YjvOjno{zdLe|wap zE;RVoH?!gd^P;YYjpFFp-!F|DOq;F!s-lrjn?~_aVcJ~f({J~%>WKpf)Um$0 z*MTwA8ACnlAq2zo|BHtZEIIbXfmJ7t#Eg=1J7896NwZ=}IsI^wu@k}L)9+B3_|e5S z$p*8(O)^(mW!fZ54fC;07Bg;>-Ll!x$7zTK?}4CR5hN2^bBcKwRm{SuVh%=i%V5+4 zMjpD+M|%{OY7eK{$pbTLJ?eo^s_l<*sGhx0kZQMzd9R{nU~69vlB0+4qmB#0KjKSG z27J<~I&g8<&VXZ_ssp1;Sz4yDiCgT-dy}pV6iw*Ls8LGq5r?O1F=lbLf%{={XY`*8 z+^BShE z^#3{o?m{e_C*$XV7LQ2&cS((!$!S@#v@98ADS7A{8T?P?C}sJNCm`2c^ZbN71mtco zUthF0z72N>$m!dxfT#Njc?ihq+pIQKwWEVR<+)%rt8O!I)JmL|cqlFL5Z@xak|&h` z>4IIo0FQO6Az-AQrK$T89;tU-!vEtbOX{N@qQ9(x81)c6P0H8jNGe&Mxd407$GyST zR&==Ql09nSnKz?24z_a4n^7EzHpzpnFY9`VA|92<2Q7rS8>G2@kIT$R;HjXcUPh`12qD#)yg85}EZ3SbwjXs_no zPDbS!$tHmS z&>=3=N`;c?{fw;nQ|P=T;McUzxC)qe{*0@F_tdKa#?^WX|IlCLSq&B}dNBE?n>2wH zf1Pk>CBfmK4ihAP$yH&h-9URq7iyvXsi0Erq;z$4`*YF#Uhp;kQm(zFR{V$KbUE6K z6TtYBz~S{JfmF#_p9(b*;!D2l*hz(w!zmSNAhfE#{M$-}lA6qy^=s~x3^yh>xyck@ zc%w3n!0-%^_w6MS`W74Y|itAhDcBlAtx zOZ0yvKbzpd<^6@PEd4{Z>}c&hH>@ra{BBVEQPR(XdQ9-oK^4c3q^|O$n!uynt3?KM zuVf;;Pu5$iwZD=*s2J>71^J^|v-u1d|NLr75=70-z%xCmIyH!->cxQ6^+@;1C?ejr z6i`GeXcR@Hf<{rq2$xPpy^*(^znPaD=N0W*No_vKz-t6o0r%=PKC`P8dxzEr5f1oO zr!wIAP7MMPx85b%ip!TN<#~enrY7Sm;4zVB6})C}HSoH@^?_@_^@Bec+*t7Kdf(7i zwAnfl6MGZ1$WW@3dMmeyl(Q{1^2hhOK+Rr(Amo?GQ>< z9rE7XlWYKg<3hu!P;&7@FGqEaAC8Rh$v6)xEQQI(8B9>S1U_vR8`LH@1f$cLgPJLpq`vU;Mwp8Tv* z!26uafDbq|2t>T3Qpd^qe)noUEx4k8kkJCJ=~Qxma#W6;IKlxcTf$@6_+3nhkQc3Ajp(a8LT)UMDC0A#} z*eSB^1>YB3(i8kL*;r42KXEFVt$6L_CQTr(ot9iUER^-bYV%P6KNegC{A6%d@Na{w zfqx%dA9yW2i!Hq-CJ~;;Tc?35`oo2xl?0~+HAC>dt8`|B-EplfxMNV`1j(V~zKjfd z^`zHMDys@^7*uiE;YG4`*AJZGUfGsPa;oQ@k@w|6@e^s0WcKgF4G?Yx7iv)^9!c21 z6Yf1;lNM#-N88LQEmJb9(+*D{gZN3jVKSKRNez<$za(cjk7Tg3CpDaAkeq94nn!!7 z&F3uma6O~WuTpd~7bV2;3v#qM2K>|*X3wNg3nEqW5(Yx2xlr>Q!3%?mz#W7aF>R^< zclIZF*?7Tk1+}s=+RBs6z9hJtKUvC76Z}O`YpNR2m1IJtNez%x=HW)tnk26tq(T!A zB78nj#iBf~deLpOsT`)R&roYuHgk?=<8oz{8~$FHuIC&-Kz6OlkaLuTdgNR&kyi?d z*!1KD*S)+D!xVxn%<6ZAB#bKNU{o;$qly{uTYi)xfB1R)G}VBBZSog|kZrOUv}36% z(DOD~49t%S64(|;s=cdseTc443kt|=v>6Q~U(>p$kgZY0T#ZT#lw7TEt6D^!^&g1( zsam^%E%xqZ4eTmmLhsHBszivc%<&G_UlROVQ14fT_wmCn$$>b#{Htt2a^%TJrhgpC$B;T028Ox^72kF$o%l_P#-_r7$1$ zaLI}F51M_E+E?dS*YAV0f)8ZU^HQKK~4FcoSdG|q)aQlXKe&>~sCsx~hd z_>JHy;C}>H1^+X+8u;zt`oKLKcA{I1e(*BEjRhaRNyjcPp}uV;(k9^z~9H@VIE^QWl3r|7uD>bDa!4uUWz)=&vf3>^(R+mQv|2rtYE$y9fjJt6o?P)q8Djh79~aRX7? zt2OFE!TCYmBDgT9Ukfe@>Mg;Fe=0q=hG2hC#|ZKxO&0M{Q7?zp-vw9j&wvNV2yPk_ zzr?e5P%Xhjf;vXtANi8t_nUoxEgp)aDCvp!S#c`8r)d$np)rN zoO{V)-4ecAjy6GB`k3$l|F|$&{pcn?IAg^D{&x9Ji2P^;qi83=mu9lDoj$9|4Z*(? z*EVE>{By%%`b2%$)6agX1o*x%yO0D<^B!e~=|jeO{$XSGjv#-@YM(l7p?ugx%{ zAA$`5XNJ{0!5f_#;@8`w@X2ZHXPQ1SjfR1%d*L(SC!87vGQ(_88H<~}&l!-S*^~q_ z56uyHkymDz$}ly}3K+9=7|3ijD~vkcJvyI0LMGk0AB3W2$hQP$^8)87r0j|^-9hIcpa~#ZE5=6EH+N? zp45RHHf=jL?(n%#XW%(-+IDPkyx6rYkO67ivC;2CQ3ulTwq3FEbb=(!X!a~NSfy=! zt$}B#Mr}hj&J~#(f|+}5LpE5>b3^c#lycjU4VKB=5Im|QvuAbd$n!d2P3_H@YO!qlC^`D( zDCxP;{a*0bf~$bP9$Xc?lRmdDD;@gZk)M?gxQ%}(-U4px)F2S?lBW>=kmf&KyWTH> z54%u?(8QpC@dZ$gPiya)Va1jb;RNcDnu3$6m*Gq@^vpWtfXeS_-**MsW^H-j4s-cg?v z+Ep{((;R7&@Ju<{v;ltAKdl_TP!K6Qc0!_q5W3WbnpX%u5Y)HzLFTn;?Q*-D&{X$o z03R1+^S=V-kJ4;ydS)gYV+Q5ynt6j9M)$lGRKq3NQyF>RjQp8OFT}iz%FWS z#`l6h7F-3qcW_lO?;MzK4ZLsI^?~cb^@Bed+*t6h^f79_GA-UM;bY`zD--aS{<-p` zUkM^rb{t4xjtey}7UXYgZ5cx7Mb~cbp!9ZATeet9h|KIB8u*fSS+T0%+azuW!AmpP zAYyI^CUo17jp@oGHw4q~w&A}o;Q-m)>ctoVMll9}4|y?0sL#_u0ZH1v3>-*WC6{JQ zL^81j2e_IpB&~z1f{AM#e0~NSgvkxTYx&CoX2`~&B6CA9U1O-|tBP7M}?(qgraRK~b#eb24AYsVuvx&F3rl#^7qjobWqCHa1=7 z3gTu|H?O_jYYH%g_D_ZOFA5zcfiJ4H8@;JBgmKTgi^N?#%I*eX^0Y4NY#31%$mVwU zo7xM$JGct?f#9m(v-Bc}9qtQ4S%?6S%h8GjJi6Agu{G$6f@e522t>W)Ix^L6qP?>V zWoUmVsGh>V9knaG>W7IsO0CUc1nvt8_>fbLM+JNRO_^*r!RShINVeofvNooB+#?Rf zoO?kWk~!B?_%|Y(QK^&6Dv=bh>Qpj|=V%u%!{x^en75UTtAM{Qd*iC$<<{?HXIy_F z&mQ!ziWGn!@t1O1z#W_#1R`ECH{OuuKU};1VF_&SLK#96g91)*s_|*TIZkDF2}TCV zkS-?^V%ShkXo*8H=MEKzWX=^UwX$qRrA{*EYL`t=AaA0TEKC&*$4W6Qz2J$#>5*Tg zf!~_4F=^o5PGz4EYz7q)9W+_H_E($FDewWoRluJOt_nUhxElC~;QGMF2GZQhG;nc0&X_%k#|0b0<$KOY_XqJhL>< zEX^}Z^GuGwG|vg-`Ek#4m^^p&JcogdwB5UPI8sSg*YLS6ZhoE_a8 zD!)NHk@jlAxOUF$gCh=ql$SlFC)(ud5)T&X`Zb#&lY)7 zM4Pf{Qmr(pWPZ-pF@C<WL-_}f|#rIM3RD%3=13l|zn zg@%gdeLo5l)4g2;Ja98A7W`u zli+?bk!kzM$Tr%*zfFEZ? z;N^m=fL98x3jY44K3|?GCfY-QO$gL82t3oN>}N1i00@zWtyREH zw&-}ndKaqg14R7pjWve-MVj-GnlTn zo$2h>>U8c5-c4NFkd6CB8G@-)+ptr-_&*)_3DoL2b=!jWfa$hrJu{OH0_29pf1gmn zuUC=H@w8cN+~`we5cmrPZQHT&l1MvHfRwXs$HrkkO$LGF*0w7qcc+qL%3231Cn~2= zGhoq7Hkb*y;ir`pRmeFKVSsav0bM$Vrcz9VgKX4cL`aSuJN}q|U-oijz`0H}&KKke zGe_!3szwzvHL94XQN=tr(2>7^4_qtFvqhefK`YImm1fXNGf2*V9KaiU1|z2kGC1~; z-6soUV^kV$Bn>xG?C{Nn8Di7u&6#Hv0=CJ03nANNF=(4ChHaC@z?Ug-pZ9Z^N=HA3 z#}|Uyhbe?S!jFYv;BihhW(YD-&9RtP$pL5YXOD;nGD$T#Sc6|d0o$uNi+RM6j z23*Oh#u&jVPGuJZ)jvDR786|7sm6+ek!Nx&uBc=XwEMC>{#)W><(_jNElA~Dakh<- z*3r>H`ubl%0k3pw@P5HRI+ao4qv&W)20YfO!D0r7E6XE2bNk8%;iH4AfR72T3O+u# z8hCneec&0v^@C3cZY+3Yr%skO1?Mc*E#Y(IXj2e)-u4}1JAy6{TyaOIRuM$KX3(34$Z0t445IlRd;c80lD{6B^Flo0H+4%7e5&8+?E!3IZ z8GMkqw)5TEcw{um#tWmo!IY)#-3b`~e^tdk$16NUcJ~JLn&1kOw=3tg=*bhAZ%Z2? zOW?{`NR7(s%2|<52VE%7qsDf&?U4S9D!rIzl>wJ_YLFo~+o>$=PDZVl_3k8V0l5!) z1})%zPBlI+_>fbr`<3T|o6H<-9j7Cd`+e z%7Am7YMd{4n^ReF9+j--H>t1D!Hh{hs_IM+GO-aP3^9x}}jAPX1XGrjt zJ9n&&t9A1`QGT|X0GE`T&EjPRQ7XA5Bmul_=>X1m?ba=V?*>)OXq;lTBI{o8%HulL zWj(=fe@}Z5_@YxoFAJhn(vwuEiO?JuYF#M!Y*59X)RgTuk##Tl)!=G9g@5?%GOn}3 z#sqkmQ$zO&qEyn8RH%v2;V#sgCis(}dW!$XcZe}+^VtP{IJjETtw;OTQ)@i|Ug6Zx zHG(LW^duE(B2;&w)_#JQ235@H8SQ#jZQc{`^TAcXe+aG${!4H*@GHUfffohW4}K%K zvEXZTS(Kmdm+F@Aw!3upSP6me>ljp-uHG$v$7#$o2{?Vx>FnTlGF^~UAii+6;*kWtN zA#TpyB5vaD9p9V-A1Kbw)E6Jm#%!gL8-hu(ZFr{)NG>;wygG;~ZsvupFRMhh@M6>t z75qX_MIpL0!A-KMAMF?&r`8^bKP-5kI%Fpn;6qL|9u=fFW;IPymcEpnk;s6eS`FqL zf4%}zv^IH<^jA@V+GJH51Z|VWux;{x(DiRB?03BD8F0Q+gH(g$tW$KI)QtK_A+i5i zHD1+#z8(Yn2MtY(M{-vGnoNG>qgbaNwe=u4Net2 zE~pCxzaG?21aA-OFM@vysyO-5a1#b)J;A-|MK8M4uP){z2Htjwjvi3$2^{NGeK*0=ooWEja;izV#nl&k%nw}3sb;ZvyG!6)7aBll zIrkbUdX3YrUDf8b1ph9$N;kijOAi8n>{JH4)u}-s;w7~tr#;=P32Zo3=ZkHwaB2YU z-v-q!?{BzC<41zO3aaQeNxLp}lP0l#9aPb4k#@bRHm@!Cjo>Qaw}Y#Kmsql+YQ8n_ z(!uqC#|M|pd-8aXY;4{G$2m0uM9HkWbqH@;Fo4^;PzxAB$y`k{Y9O?e3k?H9s8P&l zd0FFavuPDkYr9akq2P8w6@~a&9=>I#r1z8MeW1v*^2PEwnXIQY%rYQ^aI-SF?F zXSqoec)C;d?+V`UR8srXv@5&N> za=PB^X|GY@Fg7lkdGtvWw#Rw`T-_zJbqY!)J((<%DQfcszy}3a0e?QYD)=kP-h6A? z?agGNAE>oN9~WFvZbp4j@H0V87ew5w6t8av|4*<1$dKF4Kf(x+6_AV z(V&1wIW>@GkerEUDa?HyE&~$Q&fma4h86IyPSq*Prk+7IR*>Xu!U8|*R*h2xV`?Nz z#2lHNr#7F~;Om2{DI0I_yFxZ4vo1ABW(p>kx=K>WtF`MIH%U);+I5%Oybs_Pf=l|a zK>HS|E$KsQlJwzWc|YSSNrH>C>wQ{i^8~@47gu`X!LiTp76*Z!acYteia_es#H;RJ z$t4M1>$^zA#T}P} zx=I6hkyFW`a*B4%bCYDCFVU{M)#hCVudVyW(t*bDG1ndhR^?an6g%~5;uQ}Ql7YtS zVUN`SKI>Gnq&}@(D|tG}T&QW+N7d%N2Y+2$Y435I;M#-0^PNhjdFs`~YqonOy~ita zlLqiKr;@IXXxG_pl1%eUwCg6ddGEnL(EXTk{l&urzq?&}5cr}~**a2K-|y_QEd>!T zxoAO~#~^m%2lly8D-}vcpYQU$-!rPGUP-OTY2B-<%}WA)HMpcC_+2cUlA|1x&$&qx zc(hY3;Au`ZfoD6_;;HBZL9wXEPgf@;AskO!?Ny)y?vw&bIrpeIq;jq}2lkfD7d(Ru zS?W|Wy4T5?{Oz$hfyv(};=bhC$+Fd>V|xv?`8a{M(TJBGxHxX$>&75(FQ`KYjXqHIP2B#(O#-( zR(})qZa5N~Dw-9cH;sZ8GZ?2`EV%hR1K*&1#?`v{O?K%);2}=6fJZnr2t>T({+pZ- zv?UUFkPBr%1kDN<+R1ELAc5P}=KXc7_1CycvA_7mYC8!0d*t*_ps(af0u}9AIfC_q zc>Ce%`GxqpM_$y?*d&VK)|hqfR3>^xW}~X0+Q1+KC%@ zvs2lff=HE|+K|HAjyK?KE;Nh~AMo1SpTO<>Y}W)vxa9KgNNFDL+V#r><9R`yQ!@9* zw&=;oo4*Jx?Nyrl6CMS)(Vjy4skPGsaI#bN-wD3uRHIj_D|_b}!1p;-2U3cX&j}XD z`VO@==)GX>oQ1K%H9KloR{jRjw=8C^QDNSNDAn^?eISO$AC z;NDIR0v~oNV*y-O0d4y2DM$__Gm;FL^fn`bd+3inSk_shvXCIf)h+3;8@F1t^D=FW&tZ4wp zcvtE`%2#rLF}m^jd4uV;o|i2He%PtTE`m{$aiU}z_E2JJ^Y?*{O9P7MK{bE@$t!G8p`s*?GLC)EJ{-l^mUdKvBF(!`EgtY3Gp zo*!JLIN|WyNj5g$fa9IYb{9M{sHuX8mz)MZEfY*_M1ZHbP%N^PT71ZJqSk8s&s|#)x)OLbdP~Q-|I;i42SVCd8@Nh%)mi+Cx142=NSruU!wQ%?BNPt}ey%i=ATHJvct++JnGFPGxTkZs(t)W=+9kgSu?F zj{L?7ST?*8`jcxnfjhZS>l1>LfsPGvaW{LRkFUeyVvQmUd2t48Bvo7ndet;v%V|xI<;+*joU?9mcZYSwqxTx z9<&bRhmqQP6rFywNk*3fw#nkWBIi*P=1OHi1e+a2eWQx%C36VvQ4?lsb!409P^#TR zdsg>3g!Zmp!J%RW?J%w=Obl8*4L3wM3RUvlu`Z#J$h%lc&Nx-=$d7FBhl0b*-67T! zV=FSS->Kv@I8HZ9yTwgYFZi_J5cg>K4aml#`91+mc%9d-i~hYyvd~RbfME|*-(QeV z%1e&TIWqaS+PsM14Rx_?%j3dgLOnRfWA8!W(tasFw7eivB_oZ{W1e>tuMfCTQV_i2 z5qra-d$kbaBUHOb07gd1a@ntbZ>ct~3HT$yRlvLhY<5-f$HT4$=9aDWI)R|O%hBcu za3jBf9okqBsj_;?jQItWL!U(>viMCf+y+J zU3#LOqhJfvTF-%8oZDl`-E^gjRLPS{gnr>d>9o-8n2Pb_I{O|5qnNhT$H~R z)Cbkc$S_$%Cd=frYOO}S;B|C;WL&a{;CGn(tVF=CI+a~0h*HTJ0wGKn>zM+)(uJlx zA^69jipM`MY3U5;3fYu)sDGthbr9P+D}*0A8`gZiQ%;wAHh z+`p}ytO)Z3UkmD8!2#Evl4?&W=6!;^&UT@}O(pON7aE+FB$=EP5F#@h%pK+RDHqBp zz~_RRo@gi2aCapa1(@)bD0*$*BDs!)q5u<$z1>G%r+IG&Qm^FiD83`>=vuw0So?WD z>U52wmz=zQDxp_AeEmHVAdM2Q*)lm%ZGI4fPYtdD=C?-8t_uEY*ww)N5UJVqfiDcZ ze()v1jRiM!nNzwzk}#L?b}Rrt;8$aZJ^XkbDBTOfgP(LX7TmAn_S zg3{rZ!GyjhiYs)ZUKRCTzszfFC^#;t;tnOyQqgmpEc@wdZIpmFIhEZkh|<4EYN~rpIaBcZpb&Z_C{IP;0_}QDt$iGQ zNYRE*qsB(hdchm%Qz@I23yOX{IPT@Q41>V)oysm3M5?5_sn8UJW{yey5FM2-Jy^;&Ex^_M5CRr++ zoWO|rq`Hxp6uicJJ40LAH5}iSqaCEcn^)}k*`VGjh*Zf{HbU#VR}=U>r?OP2Ygd+Z zuLkf2r&_5{a@SH*zxfr}ud`!mbZ|m#$E)#_63QiR>;% zt1<9)r&{+2B2_Yd5Srv($@JM$yB4@fmI@~|U#RpSR-2a!{A6$y@KeE6!OsL&1OGm_ zK5(|OPsfGDHK&I}{w_x=74VRin2xO@1d%E!)w2@#ynAJ-S5k+`%8j3b%M)0wYb*Qw3B3;tnn74Xf$Rlz?Bt_J>b zaDCvrgX;(17u;Cz>w4B{YcLPPB5e}BOO94QAU_CbtH^}aIvz-sEG!89z=fI-rGqf} ztD7`{?;X=I$?FB)&-1qLon+%sk+~t5tn4xRo5_0=1bxwi4gd!u=#tR@ImG0OLPcsleylg0GfWO0$>_hgwl z7I&>znFBuX$%&&!f8M&7T660K^IMDgOani(77OMvx}qDEh&0Ba*)s_Iwtl73Ud*~$ z5T%koSR$zf(s3NS@4@dog&Sjx%R*T8rDPA z+R6o-8q`UG=L9us^xN+dz9#?QK^cGZVk|__&L)728ujv zR7wV_I0VHyTFI!-B|hR=u{vf|>`cir$V??~tLd9FFHmPlvrQKD+hj39n=Ix}xfb{F zZPb%;H7M6*VMV#l@m?m&9Y00-mZm|z+<_;q-O0qbS}`a5UiO(k2;_G*OR9y}vQoCk z*}$e#$w53>yN*$t=Lp_wola(UO}(I)V-Jqsa_vFj>rS=a6j!@}xUt~b8~C7IqIU=)Z4y37jy4>?#oymCvoqvUf=HE|G!Z($g_^*( zYY4Scp;qM5K|hheOD>cF|KwC1xb(VcXTW8hssq<`Dg&eheOq!% zdiImj>?a*v(6^WQNfq^y_xqo&DVl2!)BQW549_Q3_cE;QRUc*mB3x3LJ_(I=?FMjP zr|QK5u+5)E*^vxYY{MkEu&v3qPi?+{fyW1zTzuoVrEDxZaGX<_kT#N{^Jw6k z^`W(KNi_W4^%pP(*N{1GCEZ^j6TXuuj|RSLR5b8?!BxRO53UA&IJiFWFN5m`|2nv_ z;3f6hqn%L~E$(#@AmO*=Xng{H$3LPTxmFOVlEpa{Y9e%%3k@T5OHjanI@JUo?VltM z1HbN66L_Cf!@w7uY62tmxmU)!n!F!ti{f2Mj%1B` zS=8S>?_?hTMw$qkS6Y+o3JDOytO!F4qX-jSNy0HIy`E8>a5I8BOYq#F2=`)8#W}|t zs$=~_@u|JwCG>$?K3R)?JvihlCCkcCf>%79Dwys7sJuHsY%T+^v~O>keQGDiIdpK!^^^gvbjcV6Wo3eOC- zhq+9nS6wJsb$B!8_bxP?3Js@1$vSv~1PE_a_9wH7er>WiXYqW@Q)s0rw2D$Cw^y?y z@G%Wbe*OeAyDWowv)CZl+_0#hbHz*-Dgf!3*!)>LXy6cQlP8P3S8bboOB*Y3Am{G8 zX@mG}%VJ=Tucy338AlF%v16l{iBX3rWGrX(O%yPev-%uSOgwYES=60sje1EiR*iHW z)Y7zaEK$ASRfDU5#{^deuMu1gyk>BHU|!d-f$9gJs4KDZ6M#f^Qfnsw;7ou0U2z;r&D#{@vfbr{T-+3z(_I~oegA-_hLO0ZxFSH z3TM>&1$PT-Pr-eH0!Fxr#YsCy*5|0rk0|ihf~$bP5nL5~NpLmrWx@4<=d9F;o==8u zd0Z(!8+YI?aeB504WfV;WS5ZcEEm1-wTbE@4ydk+^HLHmTD zQtgp{Uy}i}cXy#-w5J7?YA0ucZ>!GZ-77=ri$SGA$$TZFn|$Evw+Q|^s6PsB~iuZO=u_rw^@`8}{ z7WlBV?Bkxt1d%eTsD#iS?$rd|>r^WhN)}~=c5|-=@Mlg9r9#Q^d#J3J@cz%ec-9u$ zpeJ^^zj#)$FZ;{$n;x%DytjfvcSA{*yefaVb{(NM9~SV;;40vggR6qy39eR56#cVg zW1|QBn^Q@JQ?Ds_z35*11Bt&_&;G^mvt@m|du709ovH)>;#395lRm^nPTN z?bAU4>7xDd!ZhuTN@m3*w^njr_9UBhZ4cLO7QMbI>qxRbAnQo7PLh#Paq|+9G)j*8*)lmnZ9a9uX9iaR?=+^<0ki8bb_K0($j_!J@F}OVrv*{6QRyc1HTRnG zUBSnKLg@9Nib8iLUJbn7aO%QM}iZ z)Drz+`B^Q2S31?YN)V-z<2x0ag3uX}QxEtO=jPVIM6ogN0lz!S4onugUGd)+WRI=A z8+%aE<}}%yt=47+;hqYr=+&<(ZWq<;1^*?uN;kiqWMdTu9_&Bbvbmci3(-#6wTqk74-}jg zR8e@2cAcj-&l$X--u)=c8NcOZW7Y2_%{x>jf5Fx-?=2%zFL;~aD&QT0tAgJUXBXHD ziYfFEU{@Jgn!r1p8oEyqsgj$1geJIG6S%;sEEP)D#;as~vwPL?dM>D>BYL%CIe*0? zDR)J?R_kt3)2SPGFijD>J7oef|@3HUQoq;5rcQ#@*08H zS*v4hTrxuV{mP}2dOWIKzju@RUj?~YO}jRT;Jx6liz_|yaQwJyCmHRdT?1}X z|Dxd8K_w;9u5YQ$O9Z|=xC;21;Hu!m^sYl`DP@1NT3a%J2d~{p#%93h1fK}%NkPO* z=E)_Ab`$N-x=;)4Uk82ql#YVDU*BD z+G+gPg8v;<(d#>j*TA0>uYsc1;hJUB)RvqhXKU99YV*kqJ|(yc_>ADH;LY?VNZDjY z|LgLz6o3yn)#4Q(lu9l`Q=uk8_q$M*3MJ>iTV#!?4fjD4Yn{?Pr#9z!%bDN1OEqF2h5Y!rE0A|2Y??IXY&;}suTwlLzYbIi=^;` zE7eo4dQaisB^ryneFPf}%O8Uxl~-N6@s1!dN;2x#to&5W&R+1_@fP6hB?>w9;P@pu z+LQ&JxL(KDW_lHTo;Z8BHg5(SuZ=PUFQ@mO+JBE1IG6d6^ zc4#(+Vro>tN5<5cGlPwnMj8J58cd)Dqh?g{;d6QnOvG)W&!`N@gs`V-KqiDyz-c}a zCNejus97-)sHaiDy;L!~o&oaWpjiQb5>`M;ZdSmRR4da0#-y4^=_yUg71VU~;&ks# zonFudyNX4#-@_$q-*c+toh~$l5FNMM!2Q&#qr7)Rw+PZDv!W~HUvmGrNZ}svaKmZ1 z;e(QJ!?z~khSPAv#d*inxZcBM98!M_iVPO_-etfjW&;>y@p&*U9MeJf5stEC#XJ{R zGR#|hMsS`e@--^Wp!wOPQccRj;FmmacwM6q;Re!h18KN{&nMvq(s0QI;2#z4NiX>% zN*=|WG$qlVbcd*zW0MFM#hg?uX3vHlW_h(G*PE$Ha#Ng|Bp1=CNz(PyWI{3Biqfoh zPd7D5(oId0bW@Wg-P9yWx281LQ)_3MUht;DRlu7ER|WS6R|9`2xIXZf!S#c;3vMiU z^$&K6YIoq5EK#g42~U!vO$Xq@(5iy}Db7xUZ%$|9un%-pt+Q3|SH-mr*&vhLuv;P< zdm_mwlItOE(g0o@xmLipMGA|iv++vgS^<-m<+^Bkx4b^+K?imeyj5EEwj}aMmApWi zWA1lSjybKxe z8K(w;&pVX?|KQZ%tAaOp23fH)B`0;d@U#oHfT7)3TADv_?N+LtT!r2t&1Kzd7`Tm7 zBfy)T8V3HzsgX2Xa^jt#aHGcfeW`oZ!$ z&67_qfNGj>o2V^0TcjrGft#o#%WtZZEWfEqvi$Zd(Ae(jrY1?csY#MS$@2bT3EbsEtyHL$3MFg# zMiRK!g@zGY&$~AK1;H-`m1-vo_f;Ar3S;FydB&e4L6zEMaSTf4<7qSgmU^ZOH-(AO zt225(@GjOrC#g%kKgn8-&`$2vI9TxeK~bwGf-3f9oF?F2YD-QEsY!YuCo0J*o2n$M zY-*CMvULTT&^_JMBuO_lNzzSCl5|s(B;CnM^K`ZONdf$^EjnXSdMS_N52K&JjW+H0 zDg31#E;%hwsb#_|tyKLE z^(vCA&y?m#q5Z7ji$RUilC`GVlGg{w;58q<0WuilNe%os$zb5vB!hvck_-kaNe0QX zyqh9F97jO~Om;SB7fs(xNpMKooUO1lF#&RpGBJ=jSDf1|1!KlpfH$Z26#}%$=^}~H zCVwxI8Pz72Qum45CK({6P@61f!!cBHD4gSi;PZYL1-DsP?7mUyy!S~?VrErLt7JyA zRE}C7Sr-`rd-I=lk@6T-tiMrdxMY3g?8K1U34&8mglnZ8Zl&RpGfQ2waFQPf$*V4@ zNqQBMsH9gRiAgfu3ACT*l3s;q*CB3_q&qn=NzzSCl5|s(Bwb7n@?4U157(|QskP&+ z7kp2DXRaGpE6#fS&T;8M;78?VpVNF?5T%ljZc?EpLJM5G^>e{-uH8cWb3vVxgiB`A zLYe$tZQft-2e$0qU;JM6XoJAZKh$xv&Rs2tQb~VPp(a8#7iw)Tcu7!b-yhVgf)Ot1 zZ@>Dqd-Sar{H3kB_ZPnb`Pr=sa4ET2=av&hsieQDP!plquH8CIu*bDqXm1?UKoTzL z?;M$2sWu;9@a|iCe>IAo?fifIZuDq_!1u|`7PSooQ7X9*MrghZHG%88&`>Hg6uEQ| zLZo5uCIDY`?JO0_ib7bg;o%dLx_0g7Cds0enly`nCd>Pv?kcHCqH?&rk5rqFAo%Fu zD&XUStAb|+R|C%qt`9srxPI`-!Hoq!yG^IBcKf)f*y|n=zEX~Mr~ucNpWWxw1d%Ga z^+D(k7it36bfIB{_I9cX4DI9$jrNVM-9YGLF4QRYZIP_Ue7Lj6u0bcs`Z)Kh7rj=O z^;+SzpRDn=OP13_MbgQ%TqKjXJXSI-Qk1b+!t=ezYMQWRe>e>rW!vc_K~V^-fKEQnDMVPj<_%L1RruLqqY>b&C{lm3ez|d~=OY>pZZl&6-Vga6z=2q@C3~V_y0({h| zVc_FVjilj{C2zLEQBfPH*QWnN5|p=178AWF^?Y;sVw#1cJl{+{@1T59tNLk*c%>(w zESOA#I2{ ze&!<`c)nVrisB_#w&Z@3Yd3)Zj5<`nC|d5%c<$4qlNB)iX&ZLy&@~=(0Qe2he$qvP zNR=!iY4#Jy{%5L8zKDTGW&f0C|4d}R0{Dd(qNk>_v5e+Gt_WVi=Y_4GY>;qnSnT>6 zO6xrDXN!K4on4O?ty@aZMNDm$;cuG)LOXxw*D7l)XYb$y`8Xpln zAt*-g<)DB}8k;P|veh)-*NbDJ7yNuHJXG^N_xu6Zz+`W2m%R49ymqak)*?*@uj}00qrrL= z#G=)K`cT9YpL?`CydeWRWb(aW7TJH*xsOZfUv!SDmvnBjybn;DM+ct}TvA&6rpcxx zIwnWBNmIz1IYQ^D&7*_AA6yb0zpG_a5*?Foxk(azfp*=cHjfVeU2sWs{C+K)lIWN` z=Lmi=xC;2C;Hu!4gR6lT1=k1uiylGQ zY4RB_5`aYhAx9e(;MMZ8lgsx6?+of*LDWl5L8*2V?Q30V810`1m1?tEl6KDlvcAT> zhElKO#5E%8tK2Ii{2f81LdoUJ#*23{IbE$Skb8q4b8hOUV5*ecc^?E8De|jo^SJcWuf1tVoQS2xWnYT>w^ccyim;C|kjC?LWtK+I3g!w5zPzX%AQXK3&F+SNo3QOkc5McZ;@P>=OT*@9o%4 zSh>?4sD2%MpI^W@g4Q}|G!r1;JelS zOzp#J!?J@uEdG;fC#gN4i~Ze|j^B%FUs3y-+M@pV#D8CHu^vVHOm<&XJ3}pBom{l1 zUVP!%4jSj()fVla690F#|4_Sx>Rq&lUt9ZYY8juRJwMp{bB)tNwTxTQo^jk(D5?*jPMQ?mBP`gm= z@6;B|d;U}VO|{;ANNrv11hqx|d0NLVQhSNoE7cb5chNfdF|{98JD|2`zk%x9r*>1d zr*x~o_{Y`G*Li`fgdw)o7UR7lzrU$HTz2SiC0gy`55JxE@1S;k7yBP-UfinoVYR}>BVySvrit9Gj{^KCEXxsTcj zYA1Ece=}V&Y^Qdd+I`g)vwBv?-wSHrRlB6d>m_Z!rncxmUv@uM`xCVXj+r!DQyj;GbWs`d@FMg60s zH%IMxYA;t?wEw-%Gdw}QN#~K5)E4bG6JJ+*w$1~WbvYkwAbz~sr_}zfi~VED$3BN@ z|9g+x?Yf*_|5N*K>pJCTwO>|0c>YoB@7I;*5jvlJK=(TzR$H{^dh>4iy{2|+`M;p; zKdZf8?K5hNdPRHmy4?>QultO7YJag#NAWLeKdk$>o7H|+>+nCjtjFk`)kUwE?{Bm& zP0;%D8`X&~T`k(bN5|EvYUe3W^8U8`(JA`hD8J=&{2eBH{EGIg$nHS3j3a(U`ytK8 zNov2ScD7osACK1dWVHvYE$Y24er?U?531d)%ly7Z{2glVQp@#vQU5f}>-*JKG{4tU zTeP1mexBN&s{NVTZ>YUhZP9-Z&0Fpdr)hh3m-+mA@r%^54)B1wsQ*PBH#5}ER(q1# zqWw#{&U{tv>uTTZa{alC=Jjd1Uc6P;bq}h&QrC6!v^`IDUsrp&+Vj;G^I1%O?^nCN z+7GBL+SioNG_^C;zNEIB{chU7pkV(oZS%vKMgOYuo~Cwh_m(&vXNp1f|Z86^e)&Di=ep>xJMD5XP z4^sPCwZ-^vF4kd|T)fk+ua@({TH0P$ZPEWp@h__7dCpQ=|6dXR_b&dM%Wem?JF6X1 zTa4Gue%~(k=ZL>h?WJn3=wkmT@x40V?4|aAF6W=y#V=I*h}tK+*e|a0&QfYWsCHAe zYpY#PZL$Abi$6!_pL_qG+Rg($uHxGN*M(rZuz{E^n`WAjjj>Hj);0!AF`@)aU9DED zf>+vQSCTENC;Tq|eoAnT-Q(AMFHkqgsn3gUm7{Hf?Y z4_pae42JrzWqkY|Xdk8h1K}a?SD<}2`ewqRK9e&&e@1S6%=};n<`?^dhk*74SUe-Hi6&%igp5zzXt-w+Pd z+noMj2hjSbMTB<)=YV1SPWaQov%s&!$PdB)3j8%_`x>GCh<;{Ga0Y1i$?Fi_0sOT1 zCckxz{4DrAz(wFe;HT}E9F3ga=RZe(WApK;gf{~pMSdf~VgCQ!`da_N{Ed7{{#KFi zM?kyZj@u79j(+q+um`mJj!$} z?Z7GEmZ07LM1;fqTe??p{hQ#mG4i*--wnP9z7ivUB>nCezya_<@c)4~fnNi!1lz!` zfX9I+fnj+f`r8e_jls>qQ2u%NVQ?D#^t_nl;52aC znERzeP;eAz^PFe!`-j2D!F|C8!DpFY&LeF5OUJ{Xf!>v2MhPE^oXLM$`nFzR>8~W+ zuY;Z7xnK%x2QB}H6MljI*Yf`e;a`F_pS*?emEhIjXTb|W+t-{-*w$BGV*F_VuLZXP z4+m|&z6oKo?{BbEXhyT^C0rP$T(vR%=_T;iZ+({j$JP%+{l{><72E{B-4r|nzutiG zi}WMi@LO7c1ln_5{pbtRJ1US5)3bSxrS~xDJOkbV-UHhF%jQ#--%GjvJKDMB_c_A9 z1@8wR0&f8C20x--x}5L}gx>Df1W4}t#~>(o0Cw*1`*ALcJiKg?e!{~UUL4cf<~ zExl0Q>~=c#t7Bg&1^$G6sn=rmr^5J01@R9cd>Cl@?fF6b&Z_CR^d62$?{4z>4!9ld z2d)OM2fqwn3Wo8GzntrLfjoSe()jq zOXS`I*9A8OKSFLz!l6F%!&>&Op240U2lZh6xb-XM=W+V}g8%%Z`ZgvXmY*8Jlff{( z4E>hnCrqz_a#;S&uNJ`nU+c5hvhXp zzlVLTAF^@Q`cZ55)(=@f7^iQye^Q@4|6uuf8a*$7-vOTh`@!qL)u26xv4ZfI!2Ljb zZsc=>=YwH+!u*8x2<1P|xU~qh=V5J}4CQZSp8g0p1jfzZcVwP_EO;Vl&ozen|55oR z%pdchtsi`oaHzkHc~CEC&#S!{Ge7!A<<0)%vFB&Nb-*w`Q|J$-f?I&Iz)=2T_)Eac zz}sWw-=`n_*!ol2@r0Q6d=vbSz_WNxe*o+NSAd@&w;AK!2Za9$hWQ_cH@Sm}cQQB+ z+z&K8mQJYecJ|rs1|I~U1Vj1Dc|PLnU`?%;s0aVNwGXdF_!oqq1)n3{tDt=*c8KtO z;Dg|g!Mj1Pz5M@%KyD)GYy*DC^}m6p*U~k;mTp>I4>PxE{&7|OqZ{BOV` zYrRAt7|NU75?ucVdLNI`Z=bgtneH|H9h|UDsM&`<5C1l}dRxz51+E0Y28LP={}KdG@9pR-PRQ?;Mo3_J3L4JIL41!Dqnd!O%X}VDAC&L+ZiG7s?+-IoiQaun30o zR{!Iv|24sNW7hL};q7;tmk|CzjQriq^Bw{p1)qqS|Lx1V)PCT3;7YI&wDqm9JPGD~ zCxU6PEoMGAmHF3na7XY9V5mP%?tvKjxy-u`0FPkavJ?#Un_L_6)0ub70Ymwf$Xy10 z6TATo<$s5szknZuThxYM)h3FS;rD1Yf(&kTS=d-?E3U?~66 zJkQumOegN?!)t+|{AbWp3qHKBm-r=EfWIgvJ&U(5*XM)#$H;#Heko|_yiR&y{_Nd_ zSM1}jTm}9F4CSAN{{)Q{WikCT}TGV*E57)0RIzw3cLgS2{-`W3T_JC2!3egAp9=j_rWiL zZ-aq3qKk=l1$Y&BEf~r-z#k9hz_Y<7umcR^FM<#CSiDf)>MKY7yTCJI%JWy_NyqAA zP3mK3_<5k&b8Et3`fI|k3!0u8=-U?F^oH@$Kto<$6@?_;@u8fynBiN zugXsz=QT_PzXSdV4E1jYUklpr7%n7izc-x`6MrZ8dhjH$6`Tpq0mJnB;Vqw!61IKx ze^oxqeZcwPE#M#+>R-90XD$a>zMa{AG{NM613-I zZYLbZKLg?{yMX<6h_Sc5*~?y@^|J{+qWwO^zLWYe{GH&vpe19NU&EhW08YfNQ)BG= zc_JPFJ_`N{4B;?{rC<%^it|VNeNGO!8^Aka?9njZE4KCA4JoI+A0f=olgQiiAy-WF z68{ej<)231dR(6jF8&nx=ZW`UVBGVD_WSP3u>Wds2n_RM^7j6KCgkkBQ=z>5ZaYVP z+H-FMG4=Zd{BOWKes9l_eF8s$_Vg;@zky-;|89K^w4>{}uN}9JPw_G^O#fKqPXIdu z`8kA}K-0Gq;j>7`(mRE){hntI;gi8x;B0UTI1OA6tO5JU*LcFK2ww)S0PT6_0^x2j z31+}iRtzU`zJ?h!CqXE#KA3ZZ> zy!jIRWuU$9<`;wqzz4x^fYyG)@+Vl=H~{=IXwNaV5k3`M0yco5zK7r+0~fOHu_9*u z<0E)`j&cU;EB3sSJs-6-e3+iC!|b?$zp@PM1RKC6(AKMF5f1fT3;$hkChIDVG3zV; zWqP=NEBH{1{L}EygPU*YbFdW{fs?^uoYfiVfk)h9me+O{y_MT z;49!;;Qio3V5n~|_SF}GZ-MWDgWyx(V(<%KsBc^L1$P1Md6EYRhw|fC_n8QGgQtPb zU<-IGcoMiFxCt2Qe*^wqaBub*7l1RsIiNi^5N#G}@?q2SA^Q4}dk#DV%!B)b2ZH;6 z3&1dy|7-nMZ0S?H3j6_h8yM#2JFK$}g6r3Mi4DOIS^rv#u)kXVKL>t)@E|Y=M!~Vh zbWdCXp1q9^_iY;p5;XZ^kiR#OxA-@~UlQoI-vgcxAEq)7em;00Xz7LWyOT}>m;{%D zq5Qh^@0);ofObE#72#=M82^XxhcbV744lX~{$0ZMJg&`0u8Ns&n7-(r)VGj$mY>fN zo)4}M)`OG4b-=KEmVbMG_)o}L`9gWyC$Zm&{g8R!AQ;N;!#FpUaqN9?0^`+zgiitE z;y0q_)8cmq>77hC3x1k@`#nQkey&2#5NP?gc%goi8$td9%STLkcZA;=+!eHRLjAwN zj*}Q?8$lb-L;0-&xh0I7_CCwoxV|`MJZ)gy?E+5)&jQ2r?D?o0dH$)M@%V(8{gsQ5 zyD~=p8hFzernii7y&qh`xO`^J_-yYpeV*~wp0|BHX8b*ae*7Hpe6T;JfA6q;6#DU6 zuoDdP{|No?FToeU;h6q;`gUH!PT+B15)Ac!AASJr+}=yrKK?NLTj1m1i{OLcBVee1 zee_KMw*hB>q5L5HQ=mPM@Y@*q1v_{Ri@;v+OzR$-IKiCYe1Wy7R!GCxBA@n{2z6*W;z6ibnhUsT1cMd!syaf#9pN4-G z`~duOjJ$m%ymx1>$v!oIz-~UA-__$PFih`T#Je865Bw>3BX~C$#<$O;-Z9%>83tbi z?*@MjhVi%E!!tXACxR_tC~tD}xPCI&9V2gFNUfXWHPwUmHPcYPeK~SZ_Vet!el3LU zbCUKQ&M^LC$o(7)Ke;&qZ(kRDiSVnSePHoL!l8cq+Tz@K{>t9q=fO~Z82&xbK7qLA zo}r`u!24p-v(FlC&wl2@fY;QnAJAHIKn+0=v+$%CoQ z7r1Z>7{-t4ioG3OsV2d$g2y&HYwzsJ6!KABXRa$#H-Ew6UAt1PQC(|icU@bm*cR0_ z_jXETk6i_Sr6*G;w&yyl0!g`6$YfI*fwO$JE2?YnY?uFCnHBQCMFMgy*PQA~MRl3B zWJ@8{kx8~SOI!;@b?L5Lp(qJ@I5{mBMQ5s`JuM05M2-BbbGp5rrlLrva~&O-PPy2e zY3goGrV6Rf){JU#!F+d9J6m#gE!EUi$n;n!+uoV6bC){{h`sDIo0FV)#UwMz z;Qt)4xkS?~6m!dY;}a5!qInQoxKR0DxZR?+pKHpW#Xo9%LPAk=6hy5HmH*+^B|?V- zbzzIYVthhEQM3Z08N|&?KW{fZGe!1KpL~+D_`?$u8a=Y#-yY~fJ`FvcMA$&Pi*@AB z;?Gzk;V#V}!C@_&9NJ8@>=u8jl5#ARzX|eZ@h7d9kdVl6|FWfCtT;mZ9W2+Rt(Ee> zeNDniZ_jn&htzte~%4 zzGIReYpa9N*;nPnX;nTl9 zh+m5ygBRsQ^WvrDGxa5 zhjz0&!RRk?GFJSWq>q1O&;Uc(wS@>d)t&C}@gIJ$+JK?#CNc46)O(M);&98U$1wi3 zG4b!?13b^4`#;Cm=W{}x8e{bue&8C>0j}aOzcXrI;@2AiuAJ}V-xk!rrDb>^@q_5m zK4<#)yLA4~_S4VtnXXD5d0q%Hcv2-BMPdExFym{gTCScKVWtA*LC~dLokOo@>Ct|n zd*yr|-d^C_64~r$Ri&20vcrS7Y%LWZpCu zKT+mGWAST5Hs6UVjtSBu+B~L$mU6Bc+5DuMpA^}=qnckUviU?czjkEvglc}B()eG^ zuN&F8Ud_uS%f{=93lpOCBO8Y+XvzNuk&Um_d`)EIW;MTIWaD8qKe;r{Rr6CK8^5ag zjUpSDs`-s08*i%lO(Gjds`<}GHa=AIQzPs5t9jW>q(86bH>(Vm&L>2hm(D9sCPd*~ zfWJB+8hi0~LS&1-m0Gl&jJ^0fA*!twBs3wK7Q;`E;kOyZYkQj*z4u9YLEG_Iye`j= zkJgLqdutX?HIF4JzD>9I(!1& z`lXA|GZ^TJ%l{Ql&*Z3vd5;9$ah?1hCl4L;*@M9FH#>fG{_hoD^IsdR2gKR=7a~8F zJzqf22>G|=!au^-ZF^Hy{hqsE>=Uc}`n?{Y;$EG2>z&8iHKF6x^cLw(S zoax`or+biu^*93gKIC`zbfnM8s-Ct$e;a&0(68?kAIlD>B0m_&Uj+YXpkF^{JC>en zV)*aI@cKNl+H)kGa3xZI(&H}pzSe{b+jFS;`+8^pcF(In=`keySozWCe^tJrBjMyG zxc^b~27DvDjmZ<`MCEI9UVc~PC&M=cd@Xz*{MN|V!S@IJKJbHqo`c{=0{(d6$10b; zPi$N?H9DU8w-m=6r@|i>@Rz_J8}Rx*GS#y*;BOaxtaN`OyxJ!^E#cDhv(6}b7`_Jn z8$LVH&*2B)=fgi|dQSIx8sJ}nZ-Adf!QO^%Jj2U>guK3YP4m-uW;OqJj z#SYRv2fp@Op11F4e+53#@A-=*tjE=Y<7A#Qe8K`3euVN~4?mdn{1>tF0DNQ0^A91f z&xfm?+E&k}k$(Wbzs>XCbg4vB8qX#_yIQG=9AUl74$n!sd{~rACBcA_~g!T9d zeEx}q3%~3BN0GkIQR}h)Ja2z{|Fyn%Qu)3f&!0y}qwlA5e8KZlN$z;T@ta0Z2IYDm zekicdT5_MSdTRN>kfmD#KX|U!{}B1q_t&a?;$qKpyBTe5@|RZg+Y3LI|Li8bv-5Dm zX}11z5&SUxc+x!zek9=ad3)6pz3lavynYK>`TRSc?-sw*L%-pyeBYZ17uNZo9+$)S zzmm{kbiMl@MY;~6@*{629CIrC4~&1+^G)z~!6%S6Jx{<7ldj%>>!IK4QT;=&CtUa@ z3ZVN+$~V5|dApw;Cx%kK<`vJM?_x!fzQe5XC*aM0K89}y_!?PW(R?-r{51G{!0!$}@MoX@I%$V`90))1 ze!_)!b^oJC-yiSl5xyQi2j74mTb|MO=js=E^TSKvYd`S%H=|(J!Y4lT{5DkZkKz0O z+w<4K{|9{jPoCF#ydJN>4~`^U_`JR_=Kwh7NRK6enNcg$% z5#=)fJV?oh0Ur^!H)Pe-!v(3O+*K{J8^uB=BeR|4D(o{tjR)zdc)c&410` zeYx!SS}WmeC(d{IY{TKc5~JrzoWFW-m$=ivKhc>YT4 z`6hhr_MW%p$&cVy?cn(lhEVX-NAa#Ur7b)?`)KBnCAJl$>;g-(N><{5B_TS`b|CmcX)jtw(6&Ni@TX^1n_x3aR2K0Y(oLBTK_>rx>{4wyle5(2z$*0ZFCrF0XKlcm#R)2To zO;cK_^yy=;Xo~h`O>T`#Fhe-9;2fTh4S^1hE-FC-s5Dnh8z{R)rsJ};2 z`Px8FAN;(4Uj;uS(Ely?M8N+@__5m2-NLIK1{eDBn*Zzf?Uf&b$2^gKuSxm-FM9bW z@&AwESLHpw$2f1F_0~a8i{}@6I@$`p;Q-I?1iuG-?Kz%*8vanzbBgC@k#3{Ow|agP z{I&}|I^OeNlW|>-3ynX<^Ez+V<7)Um@-M}4$1U)QWnTW0ah`v~^qlK?%jd6+PkUbX zYxVd5emIbyxGwfTuUgM!_~HFM-{jRq(}j2Q#$S5>8HTTOysZCF@3Y|NqNfi#-vfUr zeBwZ_|1a1__fORiFGY{ldk69jU-0rj9Oo5T`+6wwH}lUM1K#|+AKu!L`T3mzZ~i2LJ;Ihu@K0pExHe@;Gcu>5DdyskItVeNW#kgm1sfq=gcJ%gQI&&}w0MtHT` zqsZf)(F^cHfgXK6Tb7Z|rvZ8R1=j^);XF%cMKm_{%)M3wreXC$9aj z^1KAyq0gNwUyuBBc>QjQ@`K2m|1X4ZxYX+zMqadeSr{IUt zzY;zR-*<_Z-(j4;pzlRe{e55dyco+JtAuy{|D3m54ZMEWP30TWZ`+X9!>^*eKPBA( zr$^?=)Jp>XF5$=OC+|nkz!g3}JCW{F=&4xqd&vf2K;Aa zKUd3DAMhK&Cjx#td_%zN?+8^-?YDfolSo+KORoITHJ`xu# z{h=TIK! zMqYmps`=@^*YoF&^B0ovBlmdzdU$=WnabBc?)i7nbAjo<+w;dEe<}RHW1jCt{u=n9 zhduuk4d!O}z6U+O2>z$WlYi@%o`oOzv6o+KoKN5__G`dfe+&ID!VkUSdGo6g z_=eX#f95zJVfb<30P`dxOlXCwABUcVQwd>-EP91lN$JxxAm zda#?#$IdZ%>?YF{cU%GAkKIiE`|y3(&G`G_M}9|n(WBoZclqDI*W-V|zX_jT-}Bbb zd<-9odq4cpuROo!IIrj)_?qWEzaRN|On4oSeiw{KetteR8fJV!P4twL zm-|Zhgl-hwMm}Fef8(#c{tvLjJI24{`2y*FjGn%63!R1;=$W{YxAXAJUS2Zij*X3f z#q$>;zdd~ZRnN~yey;G^@Ad!H+pU)SjsxHaNZ0zq!_bqUf4B<$Dfqs(z5buU+kAcy zyO|%_eEzwBFQ8|H`qlkHJ@h?~>Ysh@d;L#hH@km%G|*%BFT(+U6?*ctuRmjlAHlDp zz5I!EAA%pCef^e+$aC<+6TRK;@Y#%h2R}%=wtBStuOaed^BKFJdNbhlyDejl7d67G z9r`!)>E1xP+r-H4f_&d(FTX4DpNo+{P+#+gMOpa#MxNgs{k`b< zD6s#R;Qtut|Elm~mG?>~FZ(QOkm7isz;()xAN@SiUBXAwc~4xDCMO;qkKtc*dPYA7 z^@i}ZvJW0U*Yuw7lg0kSU-y2v4XJz#pQm1ShM&BNm#_JymwyF*JNU#B&tFBm+Z}%R z*o2d`_LXovkt^UB;Ww1}O2|(|+<{}A93y`w`lDxky3e8OD^AbUXdeBTwbLt*ALvXt z$vpDki_w2?4F7YdU-q?+_4zdae-{0Hn|c4CdZRaD^n8qbKI`-IBKeu{S?thJZHM)R z*ZK;d)7u!n9zC6FL^(iKzUymz80BE_+tz| zX)5+lCY<7(_JzEOz`i)b3d$IPulaoiN0zU8f(a*Im zLjTAK2`Bwk;v5d2xZm?OPU(AZG(QR2g{7_b9AohtY&JGO zJ%*nj!!LEb)Nhb~{Z5~&cgj1HoMqt?jN{YbPlq3-ogN2&KKw{i!Z8d}(U*kR`dS(6 zGhPbccy_g4UE}niv;yu#{{a4fE7zWYAKEYBnA72(NB^XteZ7kO@R7b=+VTJQkRSZ2 z&yS5eA0gk+UD=$|6I+Oz*6FTXj4nC5sQSHNuK`{-AX<=PSGZwu^m zlIcI(>n|d&-;vRD8-n`P@5+qjSC^tckA5rfmFTHC$?LcK;u}nV%JV-U-5)tU<>%E+ z&wa=b9p~k(ULJ>Eb)x6zq34(I(Y~I48U8K!;lFvl9)1MAq0#eZhYuW&Pz6lhVr)Ci zaJ=kCr@bEY+dB9J?e{cv?HQxz5afrMFZ}`e6X6G&z5adSGckIWBR}#5FMlQS=f=oi z8N=Tsy!z*(__Ot&w+UY}#(ebwSmmDv4d&KASG4lBqd_D8DL*YM&(KBgF z@3)Qjc|DfTDZ=ZxIn48l#_xdqAo8X9f*)Qu-=%mv=`MCWfeL7f;ZH+P0>3i-=L)a( zyfNq>EqX$AZV`C9s=29E3dzgdbja(s_@#MG+yQH`8M*~!}kUCwHtiYlyH*! zBR>bemi^El!yf=Y%>Cvx_+#Mff0}U2~(Itsj`;ct=(J+rjZNzY69{b7SNWG5LoRksj7>oLD<{ zK2M3^zvy_`-+9K@?{lI{k8jnEt>+fuwSN18apArg`KO)yRC!TCI?`jF`!DY;sP*>A zbDw(v{2TBQy!HRT7hcm{8KnCc7NSU_paxE ztIFiq$?^WY^8bOt*U0a!UZY%g?I`rr(hsc3g%o_v%L&H}z~|xno}TZR7vL|3?+^5R zU3j&_>Y!ZTL4N4Ogwrq=JwJ3jfeQE;disKS^^-C3Z=%2P0bMAy6UiL~cso5LM{ zjM1~+bZ`Hfo8~*Y_mJNLesD117;7)v!q=kT_?;Y2k7CPqLn7{ml1~PZYfV zTXCTK9Pf2j{(lkq2HMLMF1`XkNPS%b|0evZhJ<6zgZ~h|Cg^|G*v99xKk&B=g?Hoo zB5$8N(6gQJ+AfBWhmLkae&~dRqdvohnT|K9GA@eYk3&x_^P=mBl7X+Gyo=yFV)UGg zd_DQG^@K~|YcESU4Ifa!tKo;2d437{zYX7aoae1Sxfy;{Fdw)_c&+z=z@85xUw@jn z=N~Z8FP!|;=t<_Wc7OC=PM%Cuz<;BEMlf!!v#qbMzGk1#J&7|}c+JnM;JMsdCtsnM z(>dsAJl5;!f?oh%v%vHEdo4W^-+ug9TA&li!O1m7^<^VZLN7Cz55TI4|zS9Kbj9;k3A<4=YSYJCm>&YxYu(!@+Zg0pMiYegI@kxo=#K^C;y|;gUiPvw>CvR>1)}H^Xto!J( zt>Z`k-hU_LBkV~wqk8y(a32kRXmP^ny%akf3O~5F=MQsNqe#EEsr~b+wY}ZG4j<`D z!|Kuw^qpaHnoec2$<~fsXR_FpDs)BZLRYb?yQM`gC6fz}I_!w#A&ZYZDw&kfepR7^ z7FJwp&Lvy3xu#S$>E14uOm(k_Hf?ZvKSzL0B`7oT;=Thx+TuBKFa znZJ_iDdeSe6?AuAUUHW4Q8LA1vNMgtWMsbW{%9y8^IhRwOojGoPnyl4?DM^Slw zms_SNa&u-{+&Q~PZJAVarl9PsNRpQPIpZd~+B-z&9wOn>*~4GgtZ7x`I$PRXDNIqY zkm<dp$8X|4+AbIUV@?tIc= zb8fkdQ5Pi07RhaMM)G5)$!tq^F;h2d-k$TyT$^!w zmbr}Q_N=cjb4JaK_A|EtQ$+)RWnGh-TJRWm3ISLaCzY?o8&}JEP@o?di5;E}zzll%$K9q-3?J zy{j1Y_U2RRE`ct2`CTz9-YMtlwv3dfw^!@eT`bI!P+_M0%ggKfa{dx$@&>|eMsl-4 zd@n6mH1jQ)g0^g@q$-^3%H>rit!&brb|%wpk|kx^a@l4V(m->2b1CZb_AV_zXEKv( z36n^6q*f^BUQHSv8Zb4$aJL?(`{wWm+}mZ9Bzx+j(G&L}-EYU=KirreV470Z^+ zlZED9*J+g*ag%`24o*9^vW#Z0nxXHvN-B%e16D*X_l0FCs;^8)^|~4?GZmFsCd~`V zOhwI>NmrxgVA@q`Ib5#AGFMU8WwNq%L)KMrnGEW<@`6-zIapQGWm+p+TA!=$YSvL! z-?L<-q`qg(t1s2}thswi659C`)#+Q>vzhjs)O)*htFxM-Vj+_jI9Xna+Ocf0@SFur zE$Qb6c9A-=U`2fxWtCPDc5T$c6?J2js~HRUda+QsR*b2r6Qe3?q?&W}QKAa+KG>um zt&CF>%UG4nXA14P=8_HE$Ye5NsGf=|U8kWWF`ysy)hNL`z2nK~+fC+}&KzZdXtAeYoYA&TA?nx^VfjbCZh8E{qW> z9AxqT=pl=(^;<+Y64CLNxWGvk%kbuV@{&Z^O_I&a&5$BjNVUqqryf(qkMfhnzW??8 za!KnLo+8C@-F2m_Xc0&G%3>LxN&`koV?~C_xg6W87LU$$rROhp!)?L4fOvyUC5ur< zu~mBR*|W;Jea>VClq>XBvLdO|{f<BLC>Yn{99UC-%P zpk0Td=QePZ&i(XRt~kpn?fVNo)3V6)PEWN}a@yA3+LrIm7Bfc3^3v_X#B>5^}}$S6zYqsV}lWr_eq?v3;Pb;6fELt!|Dh zvr4^5&}1res!dBwrmXAm^u%?8dP0h+9+}tV3hk}!oidlyn}T+k$c-X=RJE^87^E9Y zW^=h^atGum$9mb9rfbF)n8L7Iy zoLF_8Icm&$b5|-l-%>{CeA{{|{mDs}#{)0y$cm{$1zR~LjH2DBP)e`DTG&^tF}lu; ztf+OUih74B*L<>LnRFn|i1{&0C|tF@gc#FW!jH0*aG^zn8f6bvS#j@D%1p%wS+YfS zW~4M@W=8l?nNhCP>?A-f%4kqojjC}}UP@$jy_81diZ-mGa;uXobR=cHL6)M*HC8R9 z^;fQivXpj`Nr~^W;pRf6vb%m!?ufd2^L~t#yi1W}EU+fwyHE>RQnO*Nn4{{u z#8q~QLXPqv;YQWBaHG2K)=a0&xYMPchOl>l?xL(ODPK>>`7(CbZs&t%4Q0(tfiw@6{YKovf7%SIY$%< zGC(B{IOMPe^AAZLw*US|E;=fC)cgg9EYihSEroo2p&;q%%2gHN*IAPL9lK=yp^Fzr z`t=6ZUfhg9RyeZefmT*kCmvC%2|7-tN)GM(Na|jeVU|m^$TC@1T3jO4)m2C&4mf1- zf`!Rhb+hXB5Oes&M_Qq*zq&iV&Rn&auXSnXrNm{DAzz3nM=MRsWQr*%RYz}b@2Mto zJ+g!$3tLH98f{7^)5Y$j`w&L4)Af}t)zVq1tdx$7GIGkohb-%1qpVCCy9zm3?`VqL zxF5uG%A)pMx+`0KMV5=i5oCqimZ;QL+M?Z5Cz)*V*$}5(R*txP-Rwy2H)QR&%&85f z@wMfOT}}Eyj!gBXY+CZIy2UCb3oTD&mnDVNdhruTvud(pIbRpl59&!Ck!h91<4j?p z+{hK>YaP;zGcw0qChNB9A%3=#l`QC@vZmCmOU7E`8jy9jWV$z&<Q7qk&uhvG}3p@IfuUbEjTT4-N ztv%P$oC@r$<#m0qGho^EWD&Vrrm6lWUCY?jBR*1{3GZHRF!Kw%vSOIexiw;zS>1}G z+A$|mZ*I=f%X>;IbqyE1A;3t7G|m=_g*~R!p*6?Cyw4 zHOAtdb|T*8vbrKGWlZvYSOP0+V@1ezV z@8!nH-d?>8bo*W6yt=&-$=#{!c$M7J-AL#ig}X0l5&IR(X=}q2tGSILX$^8iuT4%C zhougB+!DSyjpW?573$tERcpYdpVYfKQ8T(Lz-F##U>;c_>+J4ml1?Q-B5)S>@Jr@PLu^@){PF= zHFV`#TV+q7MG90APwi5gC7A1G3mrY$e`x1YtxoGor8MJOCb7#XWd$)*bu8Hk(T^J? zla=F{)`D{uX>hWe5XO)d1a>jgDJiZSC|BrCKq#nH>l%a^k#{-z6r-Nme|_Ei@~GCyXe`fNLQlvAC{Xh&M!DipWU z-NIr;HzAv5(!?_|TkUQy%66U(Kh4El($B}7I|t2N67R|d13X*ae*3v(1wUF=Oghvo zZW5!Diqht%t3=J0ZU%Oa8Zf1oms|B}188rxLb?{oOU~^bv5(`&=z>hYwEUx{U-s27 zv4ixU)hd0@GghCPZD}t^r|bIApxjo!w#y=lbtAf3vsAj9QI%#tlIu~fSIniCh4Z*l z$5FDV`IWS~QDa(pOJAo*na*GiNb?oYnPj#oS%_Rm)L9QQQ)D$AO@jjg3h zcFoA&rt&<2yG8WP+d5ror}|Vw*!60)yPl!jRjTBu&EZJ*g71_#6`B@?G~iumvYJ7 z-}|oEk4ahCOSQh$t=GF1IO#L|v`hRUZh|cXhZMfL;#?!qa>@YWIvx4CpWOarWZh_# zXn6+YGN`RoBFas)JS!k~3Gxhsdz3)*L@ln{t4<0Bs3zUrMyIH83QEqQ_X5GAIgw0T zMh*4JjC0dGlZ3FLiNBW|yL_{wYb4szTq!E%lG?wDyF_E;-G(+IW?74ohR{7m#j4Nw z0k$RGImYc`(LX&QtNV=CCI6F_Du(EYM$gFAVagK%86Dicw)d`4ExK7;rP|Iq-x@u6 zQ>9vsZvIiysq_8F*)7WIqZg%GaqAt [, [, ] ...] ; # are quoted strings -# desc = ; # quoted string -# type = par | stk500 | stk500v2 | stk500pp | stk500hvsp | stk500generic | -# avr910 | butterfly | usbasp | -# jtagmki | jtagmkii | jtagmkii_isp | jtagmkii_dw | -# dragon_dw | dragon_jtag | dragon_isp | dragon_pp | -# dragon_hvsp; # programmer type -# baudrate = ; # baudrate for avr910-programmer -# vcc = [, ... ] ; # pin number(s) -# reset = ; # pin number -# sck = ; # pin number -# mosi = ; # pin number -# miso = ; # pin number -# errled = ; # pin number -# rdyled = ; # pin number -# pgmled = ; # pin number -# vfyled = ; # pin number -# ; -# -# part -# id = ; # quoted string -# desc = ; # quoted string -# has_jtag = ; # part has JTAG i/f -# has_debugwire = ; # part has debugWire i/f -# devicecode = ; # deprecated, use stk500_devcode -# stk500_devcode = ; # numeric -# avr910_devcode = ; # numeric -# signature = ; # signature bytes -# chip_erase_delay = ; # micro-seconds -# reset = dedicated | io; -# retry_pulse = reset | sck; -# pgm_enable = ; -# chip_erase = ; -# chip_erase_delay = ; # chip erase delay (us) -# # STK500 parameters (parallel programming IO lines) -# pagel = ; # pin name in hex, i.e., 0xD7 -# bs2 = ; # pin name in hex, i.e., 0xA0 -# serial = ; # can use serial downloading -# parallel = ; # can use par. programming -# # STK500v2 parameters, to be taken from Atmel's XML files -# timeout = ; -# stabdelay = ; -# cmdexedelay = ; -# synchloops = ; -# bytedelay = ; -# pollvalue = ; -# pollindex = ; -# predelay = ; -# postdelay = ; -# pollmethod = ; -# mode = ; -# delay = ; -# blocksize = ; -# readsize = ; -# hvspcmdexedelay = ; -# # STK500v2 HV programming parameters, from XML -# pp_controlstack = , , ...; # PP only -# hvsp_controlstack = , , ...; # HVSP only -# hventerstabdelay = ; -# progmodedelay = ; # PP only -# latchcycles = ; -# togglevtg = ; -# poweroffdelay = ; -# resetdelayms = ; -# resetdelayus = ; -# hvleavestabdelay = ; -# resetdelay = ; -# synchcycles = ; # HVSP only -# chiperasepulsewidth = ; # PP only -# chiperasepolltimeout = ; -# chiperasetime = ; # HVSP only -# programfusepulsewidth = ; # PP only -# programfusepolltimeout = ; -# programlockpulsewidth = ; # PP only -# programlockpolltimeout = ; -# # JTAG ICE mkII parameters, also from XML files -# allowfullpagebitstream = ; -# enablepageprogramming = ; -# idr = ; # IO addr of IDR (OCD) reg. -# rampz = ; # IO addr of RAMPZ reg. -# spmcr = ; # mem addr of SPMC[S]R reg. -# eecr = ; # mem addr of EECR reg. -# # (only when != 0x3c) -# -# memory -# paged = ; # yes / no -# size = ; # bytes -# page_size = ; # bytes -# num_pages = ; # numeric -# min_write_delay = ; # micro-seconds -# max_write_delay = ; # micro-seconds -# readback_p1 = ; # byte value -# readback_p2 = ; # byte value -# pwroff_after_write = ; # yes / no -# read = ; -# write = ; -# read_lo = ; -# read_hi = ; -# write_lo = ; -# write_hi = ; -# loadpage_lo = ; -# loadpage_hi = ; -# writepage = ; -# ; -# ; -# -# If any of the above parameters are not specified, the default value -# of 0 is used for numerics or the empty string ("") for string -# values. If a required parameter is left empty, AVRDUDE will -# complain. -# -# NOTES: -# * 'devicecode' is the device code used by the STK500 (see codes -# listed below) -# * Not all memory types will implement all instructions. -# * AVR Fuse bits and Lock bits are implemented as a type of memory. -# * Example memory types are: -# "flash", "eeprom", "fuse", "lfuse" (low fuse), "hfuse" (high -# fuse), "signature", "calibration", "lock" -# * The memory type specified on the avrdude command line must match -# one of the memory types defined for the specified chip. -# * The pwroff_after_write flag causes avrdude to attempt to -# power the device off and back on after an unsuccessful write to -# the affected memory area if VCC programmer pins are defined. If -# VCC pins are not defined for the programmer, a message -# indicating that the device needs a power-cycle is printed out. -# This flag was added to work around a problem with the -# at90s4433/2333's; see the at90s4433 errata at: -# -# http://www.atmel.com/atmel/acrobat/doc1280.pdf -# -# INSTRUCTION FORMATS -# -# Instruction formats are specified as a comma seperated list of -# string values containing information (bit specifiers) about each -# of the 32 bits of the instruction. Bit specifiers may be one of -# the following formats: -# -# '1' = the bit is always set on input as well as output -# -# '0' = the bit is always clear on input as well as output -# -# 'x' = the bit is ignored on input and output -# -# 'a' = the bit is an address bit, the bit-number matches this bit -# specifier's position within the current instruction byte -# -# 'aN' = the bit is the Nth address bit, bit-number = N, i.e., a12 -# is address bit 12 on input, a0 is address bit 0. -# -# 'i' = the bit is an input data bit -# -# 'o' = the bit is an output data bit -# -# Each instruction must be composed of 32 bit specifiers. The -# instruction specification closely follows the instruction data -# provided in Atmel's data sheets for their parts. -# -# See below for some examples. -# -# -# The following are STK500 part device codes to use for the -# "devicecode" field of the part. These came from Atmel's software -# section avr061.zip which accompanies the application note -# AVR061 available from: -# -# http://www.atmel.com/atmel/acrobat/doc2525.pdf -# - -#define ATTINY10 0x10 -#define ATTINY11 0x11 -#define ATTINY12 0x12 -#define ATTINY15 0x13 -#define ATTINY13 0x14 - -#define ATTINY22 0x20 -#define ATTINY26 0x21 -#define ATTINY28 0x22 -#define ATTINY2313 0x23 - -#define AT90S1200 0x33 - -#define AT90S2313 0x40 -#define AT90S2323 0x41 -#define AT90S2333 0x42 -#define AT90S2343 0x43 - -#define AT90S4414 0x50 -#define AT90S4433 0x51 -#define AT90S4434 0x52 -#define ATMEGA48 0x59 - -#define AT90S8515 0x60 -#define AT90S8535 0x61 -#define AT90C8534 0x62 -#define ATMEGA8515 0x63 -#define ATMEGA8535 0x64 - -#define ATMEGA8 0x70 -#define ATMEGA88 0x73 -#define ATMEGA168 0x86 - -#define ATMEGA161 0x80 -#define ATMEGA163 0x81 -#define ATMEGA16 0x82 -#define ATMEGA162 0x83 -#define ATMEGA169 0x84 - -#define ATMEGA323 0x90 -#define ATMEGA32 0x91 - -#define ATMEGA64 0xA0 - -#define ATMEGA103 0xB1 -#define ATMEGA128 0xB2 -#define AT90CAN128 0xB3 - -#define AT86RF401 0xD0 - -#define AT89START 0xE0 -#define AT89S51 0xE0 -#define AT89S52 0xE1 - -# The following table lists the devices in the original AVR910 -# appnote: -# |Device |Signature | Code | -# +-------+----------+------+ -# |tiny12 | 1E 90 05 | 0x55 | -# |tiny15 | 1E 90 06 | 0x56 | -# | | | | -# | S1200 | 1E 90 01 | 0x13 | -# | | | | -# | S2313 | 1E 91 01 | 0x20 | -# | S2323 | 1E 91 02 | 0x48 | -# | S2333 | 1E 91 05 | 0x34 | -# | S2343 | 1E 91 03 | 0x4C | -# | | | | -# | S4414 | 1E 92 01 | 0x28 | -# | S4433 | 1E 92 03 | 0x30 | -# | S4434 | 1E 92 02 | 0x6C | -# | | | | -# | S8515 | 1E 93 01 | 0x38 | -# | S8535 | 1E 93 03 | 0x68 | -# | | | | -# |mega32 | 1E 95 01 | 0x72 | -# |mega83 | 1E 93 05 | 0x65 | -# |mega103| 1E 97 01 | 0x41 | -# |mega161| 1E 94 01 | 0x60 | -# |mega163| 1E 94 02 | 0x64 | - -# Appnote AVR109 also has a table of AVR910 device codes, which -# lists: -# dev avr910 signature -# ATmega8 0x77 0x1E 0x93 0x07 -# ATmega8515 0x3B 0x1E 0x93 0x06 -# ATmega8535 0x6A 0x1E 0x93 0x08 -# ATmega16 0x75 0x1E 0x94 0x03 -# ATmega162 0x63 0x1E 0x94 0x04 -# ATmega163 0x66 0x1E 0x94 0x02 -# ATmega169 0x79 0x1E 0x94 0x05 -# ATmega32 0x7F 0x1E 0x95 0x02 -# ATmega323 0x73 0x1E 0x95 0x01 -# ATmega64 0x46 0x1E 0x96 0x02 -# ATmega128 0x44 0x1E 0x97 0x02 -# -# These codes refer to "BOOT" device codes which are apparently -# different than standard device codes, for whatever reasons -# (often one above the standard code). - -# There are several extended versions of AVR910 implementations around -# in the Internet. These add the following codes (only devices that -# actually exist are listed): - -# ATmega8515 0x3A -# ATmega128 0x43 -# ATmega64 0x45 -# ATtiny26 0x5E -# ATmega8535 0x69 -# ATmega32 0x72 -# ATmega16 0x74 -# ATmega8 0x76 -# ATmega169 0x78 - -# -# Overall avrdude defaults -# -default_parallel = "/dev/parport0"; -default_serial = "/dev/ttyS0"; - - -# -# PROGRAMMER DEFINITIONS -# - -programmer - id = "avrisp"; - desc = "Atmel AVR ISP"; - type = stk500; -; - -programmer - id = "avrispv2"; - desc = "Atmel AVR ISP V2"; - type = stk500v2; -; - -programmer - id = "avrispmkII"; - desc = "Atmel AVR ISP mkII"; - type = stk500v2; -; - -programmer - id = "avrisp2"; - desc = "Atmel AVR ISP mkII"; - type = stk500v2; -; - -# This is supposed to be the "default" STK500 entry. -# Attempts to select the correct firmware version -# by probing for it. Better use one of the entries -# below instead. -programmer - id = "stk500"; - desc = "Atmel STK500"; - type = stk500generic; -; - -programmer - id = "stk500v1"; - desc = "Atmel STK500 Version 1.x firmware"; - type = stk500; -; - -programmer - id = "stk500v2"; - desc = "Atmel STK500 Version 2.x firmware"; - type = stk500v2; -; - -programmer - id = "stk500pp"; - desc = "Atmel STK500 V2 in parallel programming mode"; - type = stk500pp; -; - -programmer - id = "stk500hvsp"; - desc = "Atmel STK500 V2 in high-voltage serial programming mode"; - type = stk500hvsp; -; - -programmer - id = "avr910"; - desc = "Atmel Low Cost Serial Programmer"; - type = avr910; -; - -programmer - id = "usbasp"; - desc = "USBasp, http://www.fischl.de/usbasp/"; - type = usbasp; -; - -#programmer -# id = "usbtiny"; -# desc = "USBtiny simple USB programmer"; -# type = usbtiny; -#; - -programmer - id = "butterfly"; - desc = "Atmel Butterfly Development Board"; - type = butterfly; -; - -programmer - id = "avr109"; - desc = "Atmel AppNote AVR109 Boot Loader"; - type = butterfly; -; - -programmer - id = "avr911"; - desc = "Atmel AppNote AVR911 AVROSP"; - type = butterfly; -; - -programmer - id = "jtagmkI"; - desc = "Atmel JTAG ICE (mkI)"; - baudrate = 115200; # default is 115200 - type = jtagmki; -; - -# easier to type -programmer - id = "jtag1"; - desc = "Atmel JTAG ICE (mkI)"; - baudrate = 115200; # default is 115200 - type = jtagmki; -; - -# easier to type -programmer - id = "jtag1slow"; - desc = "Atmel JTAG ICE (mkI)"; - baudrate = 19200; - type = jtagmki; -; - -programmer - id = "jtagmkII"; - desc = "Atmel JTAG ICE mkII"; - baudrate = 19200; # default is 19200 - type = jtagmkii; -; - -# easier to type -programmer - id = "jtag2slow"; - desc = "Atmel JTAG ICE mkII"; - baudrate = 19200; # default is 19200 - type = jtagmkii; -; - -# JTAG ICE mkII @ 115200 Bd -programmer - id = "jtag2fast"; - desc = "Atmel JTAG ICE mkII"; - baudrate = 115200; - type = jtagmkii; -; - -# make the fast one the default, people will love that -programmer - id = "jtag2"; - desc = "Atmel JTAG ICE mkII"; - baudrate = 115200; - type = jtagmkii; -; - -# JTAG ICE mkII in ISP mode -programmer - id = "jtag2isp"; - desc = "Atmel JTAG ICE mkII in ISP mode"; - baudrate = 115200; - type = jtagmkii_isp; -; - -# JTAG ICE mkII in debugWire mode -programmer - id = "jtag2dw"; - desc = "Atmel JTAG ICE mkII in debugWire mode"; - baudrate = 115200; - type = jtagmkii_dw; -; - -# AVR Dragon in JTAG mode -programmer - id = "dragon_jtag"; - desc = "Atmel AVR Dragon in JTAG mode"; - baudrate = 115200; - type = dragon_jtag; -; - -# AVR Dragon in ISP mode -programmer - id = "dragon_isp"; - desc = "Atmel AVR Dragon in ISP mode"; - baudrate = 115200; - type = dragon_isp; -; - -# AVR Dragon in PP mode -programmer - id = "dragon_pp"; - desc = "Atmel AVR Dragon in PP mode"; - baudrate = 115200; - type = dragon_pp; -; - -# AVR Dragon in HVSP mode -programmer - id = "dragon_hvsp"; - desc = "Atmel AVR Dragon in HVSP mode"; - baudrate = 115200; - type = dragon_hvsp; -; - -# AVR Dragon in debugWire mode -programmer - id = "dragon_dw"; - desc = "Atmel AVR Dragon in debugWire mode"; - baudrate = 115200; - type = dragon_dw; -; - -programmer - id = "pavr"; - desc = "Jason Kyle's pAVR Serial Programmer"; - type = avr910; -; - -# Parallel port programmers. - -programmer - id = "bsd"; - desc = "Brian Dean's Programmer, http://www.bsdhome.com/avrdude/"; - type = par; - vcc = 2, 3, 4, 5; - reset = 7; - sck = 8; - mosi = 9; - miso = 10; -; - -programmer - id = "stk200"; - desc = "STK200"; - type = par; - buff = 4, 5; - sck = 6; - mosi = 7; - reset = 9; - miso = 10; -; - -# The programming dongle used by the popular Ponyprog -# utility. It is almost similar to the STK200 one, -# except that there is a LED indicating that the -# programming is currently in progress. - -programmer - id = "pony-stk200"; - desc = "Pony Prog STK200"; - type = par; - buff = 4, 5; - sck = 6; - mosi = 7; - reset = 9; - miso = 10; - pgmled = 8; -; - -programmer - id = "dt006"; - desc = "Dontronics DT006"; - type = par; - reset = 4; - sck = 5; - mosi = 2; - miso = 11; -; - -programmer - id = "bascom"; - desc = "Bascom SAMPLE programming cable"; - type = par; - reset = 4; - sck = 5; - mosi = 2; - miso = 11; -; - -programmer - id = "alf"; - desc = "Nightshade ALF-PgmAVR, http://nightshade.homeip.net/"; - type = par; - vcc = 2, 3, 4, 5; - buff = 6; - reset = 7; - sck = 8; - mosi = 9; - miso = 10; - errled = 1; - rdyled = 14; - pgmled = 16; - vfyled = 17; -; - -programmer - id = "sp12"; - desc = "Steve Bolt's Programmer"; - type = par; - vcc = 4,5,6,7,8; - reset = 3; - sck = 2; - mosi = 9; - miso = 11; -; - -programmer - id = "picoweb"; - desc = "Picoweb Programming Cable, http://www.picoweb.net/"; - type = par; - reset = 2; - sck = 3; - mosi = 4; - miso = 13; -; - -programmer - id = "abcmini"; - desc = "ABCmini Board, aka Dick Smith HOTCHIP"; - type = par; - reset = 4; - sck = 3; - mosi = 2; - miso = 10; -; - -programmer - id = "futurlec"; - desc = "Futurlec.com programming cable."; - type = par; - reset = 3; - sck = 2; - mosi = 1; - miso = 10; -; - - -# From the contributor of the "xil" jtag cable: -# The "vcc" definition isn't really vcc (the cable gets its power from -# the programming circuit) but is necessary to switch one of the -# buffer lines (trying to add it to the "buff" lines doesn't work). -# With this, TMS connects to RESET, TDI to MOSI, TDO to MISO and TCK -# to SCK (plus vcc/gnd of course) -programmer - id = "xil"; - desc = "Xilinx JTAG cable"; - type = par; - mosi = 2; - sck = 3; - reset = 4; - buff = 5; - miso = 13; - vcc = 6; -; - - -programmer - id = "dapa"; - desc = "Direct AVR Parallel Access cable"; - type = par; - vcc = 3; - reset = 16; - sck = 1; - mosi = 2; - miso = 11; -; - -programmer - id = "atisp"; - desc = "AT-ISP V1.1 programming cable for AVR-SDK1 from micro-research.co.th"; - type = par; - reset = ~6; - sck = ~8; - mosi = ~7; - miso = ~10; -; - -programmer - id = "ere-isp-avr"; - desc = "ERE ISP-AVR "; - type = par; - reset = ~4; - sck = 3; - mosi = 2; - miso = 10; -; - -programmer - id = "blaster"; - desc = "Altera ByteBlaster"; - type = par; - sck = 2; - miso = 11; - reset = 3; - mosi = 8; - buff = 14; -; - -# It is almost same as pony-stk200, except vcc on pin 5 to auto -# disconnect port (download on http://electropol.free.fr) -programmer - id = "frank-stk200"; - desc = "Frank STK200"; - type = par; - vcc = 5; - sck = 6; - mosi = 7; - reset = 9; - miso = 10; - pgmled = 8; -; - - -# -# some ultra cheap programmers use bitbanging on the -# serialport. -# -# PC - DB9 - Pins for RS232: -# -# GND 5 -- |O -# | O| <- 9 RI -# DTR 4 <- |O | -# | O| <- 8 CTS -# TXD 3 <- |O | -# | O| -> 7 RTS -# RXD 2 -> |O | -# | O| <- 6 DSR -# DCD 1 -> |O -# -# Using RXD is currently not supported. -# Using RI is not supported under Win32 but is supported under Posix. - -# serial ponyprog design (dasa2 in uisp) -# reset=!txd sck=rts mosi=dtr miso=cts - -programmer - id = "ponyser"; - desc = "design ponyprog serial, reset=!txd sck=rts mosi=dtr miso=cts"; - type = serbb; - reset = ~3; - sck = 7; - mosi = 4; - miso = 8; -; - -# Same as above, different name -# reset=!txd sck=rts mosi=dtr miso=cts - -programmer - id = "siprog"; - desc = "Lancos SI-Prog "; - type = serbb; - reset = ~3; - sck = 7; - mosi = 4; - miso = 8; -; - -# unknown (dasa in uisp) -# reset=rts sck=dtr mosi=txd miso=cts - -programmer - id = "dasa"; - desc = "serial port banging, reset=rts sck=dtr mosi=txd miso=cts"; - type = serbb; - reset = 7; - sck = 4; - mosi = 3; - miso = 8; -; - -# unknown (dasa3 in uisp) -# reset=!dtr sck=rts mosi=txd miso=cts - -programmer - id = "dasa3"; - desc = "serial port banging, reset=!dtr sck=rts mosi=txd miso=cts"; - type = serbb; - reset = ~4; - sck = 7; - mosi = 3; - miso = 8; -; - -# -# PART DEFINITIONS -# - -#------------------------------------------------------------ -# ATtiny11 -#------------------------------------------------------------ - -# This is an HVSP-only device. - -part - id = "t11"; - desc = "ATtiny11"; - stk500_devcode = 0x11; - signature = 0x1e 0x90 0x04; - chip_erase_delay = 20000; - - timeout = 200; - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, - 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, - 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 50; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 64; - blocksize = 64; - readsize = 256; - delay = 5; - ; - - memory "flash" - size = 1024; - blocksize = 128; - readsize = 256; - delay = 3; - ; - - memory "signature" - size = 3; - ; - - memory "lock" - size = 1; - ; - - memory "calibration" - size = 1; - ; - - memory "fuse" - size = 1; - ; -; - -#------------------------------------------------------------ -# ATtiny12 -#------------------------------------------------------------ - -part - id = "t12"; - desc = "ATtiny12"; - stk500_devcode = 0x12; - avr910_devcode = 0x55; - signature = 0x1e 0x90 0x05; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, - 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, - 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 50; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 64; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 8; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - size = 1024; - min_write_delay = 4500; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 5; - blocksize = 128; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "fuse" - size = 1; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; -; - -#------------------------------------------------------------ -# ATtiny13 -#------------------------------------------------------------ - -part - id = "t13"; - desc = "ATtiny13"; - has_debugwire = yes; - flash_instr = 0xB4, 0x0E, 0x1E; - eeprom_instr = 0xBB, 0xFE, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x0E, 0xB4, 0x0E, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; - stk500_devcode = 0x14; - signature = 0x1e 0x90 0x07; - chip_erase_delay = 4000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 90; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 64; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 1024; - page_size = 32; - num_pages = 32; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 0 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 0 0 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 0 0 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 2; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - ; - -; - - -#------------------------------------------------------------ -# ATtiny15 -#------------------------------------------------------------ - -part - id = "t15"; - desc = "ATtiny15"; - stk500_devcode = 0x13; - avr910_devcode = 0x56; - signature = 0x1e 0x90 0x06; - chip_erase_delay = 8200; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, - 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, - 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - hvspcmdexedelay = 5; - synchcycles = 6; - latchcycles = 16; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 50; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 64; - min_write_delay = 8200; - max_write_delay = 8200; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 10; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - size = 1024; - min_write_delay = 4100; - max_write_delay = 4100; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 5; - blocksize = 128; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "fuse" - size = 1; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x o o o o x x o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", - "x x x x x x x x i i i i 1 1 i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; -; - -#------------------------------------------------------------ -# AT90s1200 -#------------------------------------------------------------ - -part - id = "1200"; - desc = "AT90S1200"; - stk500_devcode = 0x33; - avr910_devcode = 0x13; - signature = 0x1e 0x90 0x01; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 1; - bytedelay = 0; - pollindex = 0; - pollvalue = 0xFF; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 64; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 20; - blocksize = 32; - readsize = 256; - ; - memory "flash" - size = 1024; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x02; - delay = 15; - blocksize = 128; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - ; - memory "lock" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - ; - ; - -#------------------------------------------------------------ -# AT90s4414 -#------------------------------------------------------------ - -part - id = "4414"; - desc = "AT90S4414"; - stk500_devcode = 0x50; - avr910_devcode = 0x28; - signature = 0x1e 0x92 0x01; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 256; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x80; - readback_p2 = 0x7f; - read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - memory "flash" - size = 4096; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x7f; - readback_p2 = 0x7f; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - ; - -#------------------------------------------------------------ -# AT90s2313 -#------------------------------------------------------------ - -part - id = "2313"; - desc = "AT90S2313"; - stk500_devcode = 0x40; - avr910_devcode = 0x20; - signature = 0x1e 0x91 0x01; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 128; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x80; - readback_p2 = 0x7f; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - memory "flash" - size = 2048; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x7f; - readback_p2 = 0x7f; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x i i x", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - ; - -#------------------------------------------------------------ -# AT90s2333 -#------------------------------------------------------------ - -part - id = "2333"; -##### WARNING: No XML file for device 'AT90S2333'! ##### - desc = "AT90S2333"; - stk500_devcode = 0x42; - avr910_devcode = 0x34; - signature = 0x1e 0x91 0x05; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - memory "eeprom" - size = 128; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - ; - memory "flash" - size = 2048; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - pwroff_after_write = yes; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", - "x x x x x x x x x x x x x x x x"; - ; - memory "lock" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - ; - ; - - -#------------------------------------------------------------ -# AT90s2343 (also AT90s2323 and ATtiny22) -#------------------------------------------------------------ - -part - id = "2343"; - desc = "AT90S2343"; - stk500_devcode = 0x43; - avr910_devcode = 0x4c; - signature = 0x1e 0x91 0x03; - chip_erase_delay = 18000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, - 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, - 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 0; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 50; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 128; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - memory "flash" - size = 2048; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 128; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x o o o x x x x o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 i", - "x x x x x x x x x x x x x x x x"; - ; - memory "lock" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x o o o x x x x o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - ; - ; - - -#------------------------------------------------------------ -# AT90s4433 -#------------------------------------------------------------ - -part - id = "4433"; - desc = "AT90S4433"; - stk500_devcode = 0x51; - avr910_devcode = 0x30; - signature = 0x1e 0x92 0x03; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 256; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "flash" - size = 4096; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - pwroff_after_write = yes; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", - "x x x x x x x x x x x x x x x x"; - ; - memory "lock" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - ; - ; - -#------------------------------------------------------------ -# AT90s4434 -#------------------------------------------------------------ - -part - id = "4434"; -##### WARNING: No XML file for device 'AT90S4434'! ##### - desc = "AT90S4434"; - stk500_devcode = 0x52; - avr910_devcode = 0x6c; - signature = 0x1e 0x92 0x02; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - memory "eeprom" - size = 256; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - ; - memory "flash" - size = 4096; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", - "x x x x x x x x x x x x x x x x"; - ; - memory "lock" - size = 1; - min_write_delay = 9000; - max_write_delay = 20000; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - ; - ; - -#------------------------------------------------------------ -# AT90s8515 -#------------------------------------------------------------ - -part - id = "8515"; - desc = "AT90S8515"; - stk500_devcode = 0x60; - avr910_devcode = 0x38; - signature = 0x1e 0x93 0x01; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 512; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x80; - readback_p2 = 0x7f; - read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "flash" - size = 8192; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x7f; - readback_p2 = 0x7f; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - ; - -#------------------------------------------------------------ -# AT90s8535 -#------------------------------------------------------------ - -part - id = "8535"; - desc = "AT90S8535"; - stk500_devcode = 0x61; - avr910_devcode = 0x68; - signature = 0x1e 0x93 0x03; - chip_erase_delay = 20000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 1; - - memory "eeprom" - size = 512; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0x00; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "flash" - size = 8192; - min_write_delay = 9000; - max_write_delay = 20000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write_lo = " 0 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - write_hi = " 0 1 0 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 128; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "fuse" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x x x o"; - write = "1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x o o x x x x x x"; - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - ; - -#------------------------------------------------------------ -# ATmega103 -#------------------------------------------------------------ - -part - id = "m103"; - desc = "ATMEGA103"; - stk500_devcode = 0xB1; - avr910_devcode = 0x41; - signature = 0x1e 0x97 0x01; - chip_erase_delay = 112000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x8E, 0x9E, 0x2E, 0x3E, 0xAE, 0xBE, - 0x4E, 0x5E, 0xCE, 0xDE, 0x6E, 0x7E, 0xEE, 0xDE, - 0x66, 0x76, 0xE6, 0xF6, 0x6A, 0x7A, 0xEA, 0x7A, - 0x7F, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 15; - chiperasepolltimeout = 0; - programfusepulsewidth = 2; - programfusepolltimeout = 0; - programlockpulsewidth = 0; - programlockpolltimeout = 10; - - memory "eeprom" - size = 4096; - min_write_delay = 4000; - max_write_delay = 9000; - readback_p1 = 0x80; - readback_p2 = 0x7f; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 22000; - max_write_delay = 56000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x11; - delay = 70; - blocksize = 256; - readsize = 256; - ; - - memory "fuse" - size = 1; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x x x o x o 1 o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 1 i 1 i i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x o o x"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega64 -#------------------------------------------------------------ - -part - id = "m64"; - desc = "ATMEGA64"; - has_jtag = yes; - stk500_devcode = 0xA0; - avr910_devcode = 0x45; - signature = 0x1e 0x96 0x02; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x22; - spmcr = 0x68; - allowfullpagebitstream = yes; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 20; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - - - -#------------------------------------------------------------ -# ATmega128 -#------------------------------------------------------------ - -part - id = "m128"; - desc = "ATMEGA128"; - has_jtag = yes; - stk500_devcode = 0xB2; - avr910_devcode = 0x43; - signature = 0x1e 0x97 0x02; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x22; - spmcr = 0x68; - rampz = 0x3b; - allowfullpagebitstream = yes; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 12; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90CAN128 -#------------------------------------------------------------ - -part - id = "c128"; - desc = "AT90CAN128"; - has_jtag = yes; - stk500_devcode = 0xB3; -# avr910_devcode = 0x43; - signature = 0x1e 0x97 0x81; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - eecr = 0x3f; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - - mode = 0x41; - delay = 20; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega16 -#------------------------------------------------------------ - -part - id = "m16"; - desc = "ATMEGA16"; - has_jtag = yes; - stk500_devcode = 0x82; - avr910_devcode = 0x74; - signature = 0x1e 0x94 0x03; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 100; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = yes; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x04; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "calibration" - size = 4; - - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega164P -#------------------------------------------------------------ - -# close to ATmega16 - -part - id = "m164p"; - desc = "ATMEGA164P"; - has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ - avr910_devcode = 0x74; - signature = 0x1e 0x94 0x0a; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega324P -#------------------------------------------------------------ - -# similar to ATmega164P - -part - id = "m324p"; - desc = "ATMEGA324P"; - has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ - avr910_devcode = 0x74; - signature = 0x1e 0x95 0x08; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega644 -#------------------------------------------------------------ - -# similar to ATmega164 - -part - id = "m644"; - desc = "ATMEGA644"; - has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ - avr910_devcode = 0x74; - signature = 0x1e 0x96 0x09; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega644P -#------------------------------------------------------------ - -# similar to ATmega164p - -part - id = "m644p"; - desc = "ATMEGA644P"; - has_jtag = yes; -# stk500_devcode = 0x82; # no STK500v1 support -# avr910_devcode = 0x?; # try the ATmega16 one:^ - avr910_devcode = 0x74; - signature = 0x1e 0x96 0x0a; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - - - -#------------------------------------------------------------ -# ATmega162 -#------------------------------------------------------------ - -part - id = "m162"; - desc = "ATMEGA162"; - has_jtag = yes; - stk500_devcode = 0x83; - avr910_devcode = 0x63; - signature = 0x1e 0x94 0x04; - chip_erase_delay = 9000; - pagel = 0xd7; - bs2 = 0xa0; - - idr = 0x04; - spmcr = 0x57; - allowfullpagebitstream = yes; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - - ; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 4; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 16000; - max_write_delay = 16000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 16000; - max_write_delay = 16000; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 16000; - max_write_delay = 16000; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 16000; - max_write_delay = 16000; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - - read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; -; - - - -#------------------------------------------------------------ -# ATmega163 -#------------------------------------------------------------ - -part - id = "m163"; - desc = "ATMEGA163"; - stk500_devcode = 0x81; - avr910_devcode = 0x64; - signature = 0x1e 0x94 0x02; - chip_erase_delay = 32000; - pagel = 0xd7; - bs2 = 0xa0; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 30; - programfusepulsewidth = 0; - programfusepolltimeout = 2; - programlockpulsewidth = 0; - programlockpolltimeout = 2; - - - memory "eeprom" - size = 512; - min_write_delay = 4000; - max_write_delay = 4000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 16000; - max_write_delay = 16000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o x x o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i 1 1 i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x 1 o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x 1 1 1 1 1 i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x 0 x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega169 -#------------------------------------------------------------ - -part - id = "m169"; - desc = "ATMEGA169"; - has_jtag = yes; - stk500_devcode = 0x85; - avr910_devcode = 0x78; - signature = 0x1e 0x94 0x05; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 128; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega329 -#------------------------------------------------------------ - -part - id = "m329"; - desc = "ATMEGA329"; - has_jtag = yes; -# stk500_devcode = 0x85; # no STK500 support, only STK500v2 -# avr910_devcode = 0x?; # try the ATmega169 one: - avr910_devcode = 0x75; - signature = 0x1e 0x95 0x03; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega3290 -#------------------------------------------------------------ - -# identical to ATmega329 - -part - id = "m3290"; - desc = "ATMEGA3290"; - has_jtag = yes; -# stk500_devcode = 0x85; # no STK500 support, only STK500v2 -# avr910_devcode = 0x?; # try the ATmega169 one: - avr910_devcode = 0x75; - signature = 0x1e 0x95 0x04; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a3 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega649 -#------------------------------------------------------------ - -part - id = "m649"; - desc = "ATMEGA649"; - has_jtag = yes; -# stk500_devcode = 0x85; # no STK500 support, only STK500v2 -# avr910_devcode = 0x?; # try the ATmega169 one: - avr910_devcode = 0x75; - signature = 0x1e 0x96 0x03; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega6490 -#------------------------------------------------------------ - -# identical to ATmega649 - -part - id = "m6490"; - desc = "ATMEGA6490"; - has_jtag = yes; -# stk500_devcode = 0x85; # no STK500 support, only STK500v2 -# avr910_devcode = 0x?; # try the ATmega169 one: - avr910_devcode = 0x75; - signature = 0x1e 0x96 0x04; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 20; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega32 -#------------------------------------------------------------ - -part - id = "m32"; - desc = "ATMEGA32"; - has_jtag = yes; - stk500_devcode = 0x91; - avr910_devcode = 0x72; - signature = 0x1e 0x95 0x02; - chip_erase_delay = 9000; - pagel = 0xd7; - bs2 = 0xa0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = yes; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x04; - delay = 10; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega161 -#------------------------------------------------------------ - -part - id = "m161"; - desc = "ATMEGA161"; - stk500_devcode = 0x80; - avr910_devcode = 0x60; - signature = 0x1e 0x94 0x01; - chip_erase_delay = 28000; - pagel = 0xd7; - bs2 = 0xa0; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 0; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 30; - programfusepulsewidth = 0; - programfusepolltimeout = 2; - programlockpulsewidth = 0; - programlockpolltimeout = 2; - - memory "eeprom" - size = 512; - min_write_delay = 3400; - max_write_delay = 3400; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 5; - blocksize = 128; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 14000; - max_write_delay = 14000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 16; - blocksize = 128; - readsize = 256; - ; - - memory "fuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 x x x x x x x x", - "x x x x x x x x x o x o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", - "x x x x x x x x 1 i 1 i i i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega8 -#------------------------------------------------------------ - -part - id = "m8"; - desc = "ATMEGA8"; - stk500_devcode = 0x70; - avr910_devcode = 0x76; - signature = 0x1e 0x93 0x07; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 10000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 2; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 20; - blocksize = 128; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 10; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - - -#------------------------------------------------------------ -# ATmega8515 -#------------------------------------------------------------ - -part - id = "m8515"; - desc = "ATMEGA8515"; - stk500_devcode = 0x63; - avr910_devcode = 0x3A; - signature = 0x1e 0x93 0x06; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 10; - blocksize = 128; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - - - -#------------------------------------------------------------ -# ATmega8535 -#------------------------------------------------------------ - -part - id = "m8535"; - desc = "ATMEGA8535"; - stk500_devcode = 0x64; - avr910_devcode = 0x69; - signature = 0x1e 0x93 0x08; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 6; - togglevtg = 0; - poweroffdelay = 0; - resetdelayms = 0; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - mode = 0x04; - delay = 10; - blocksize = 128; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 2000; - max_write_delay = 2000; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATtiny26 -#------------------------------------------------------------ - -part - id = "t26"; - desc = "ATTINY26"; - stk500_devcode = 0x21; - avr910_devcode = 0x5e; - signature = 0x1e 0x91 0x09; - pagel = 0xb3; - bs2 = 0xb2; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, - 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, - 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, - 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 2; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 128; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - mode = 0x04; - delay = 10; - blocksize = 64; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 2048; - page_size = 32; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x21; - delay = 6; - blocksize = 16; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x x o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x x x x i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 4; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - -; - - -#------------------------------------------------------------ -# ATtiny261 -#------------------------------------------------------------ -# Close to ATtiny26 - -part - id = "t261"; - desc = "ATTINY261"; - has_debugwire = yes; - flash_instr = 0xB4, 0x00, 0x10; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -# stk500_devcode = 0x21; -# avr910_devcode = 0x5e; - signature = 0x1e 0x91 0x0c; - pagel = 0xb3; - bs2 = 0xb2; - chip_erase_delay = 4000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, - 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, - 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, - 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 2; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - size = 128; - page_size = 4; - num_pages = 32; - min_write_delay = 4000; - max_write_delay = 4000; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read = "1 0 1 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 x x x x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 2048; - page_size = 32; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x x x x a9 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x x o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x x x o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - -; - - -#------------------------------------------------------------ -# ATtiny461 -#------------------------------------------------------------ -# Close to ATtiny261 - -part - id = "t461"; - desc = "ATTINY461"; - has_debugwire = yes; - flash_instr = 0xB4, 0x00, 0x10; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -# stk500_devcode = 0x21; -# avr910_devcode = 0x5e; - signature = 0x1e 0x92 0x08; - pagel = 0xb3; - bs2 = 0xb2; - chip_erase_delay = 4000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, - 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, - 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, - 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 2; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - size = 256; - page_size = 4; - num_pages = 64; - min_write_delay = 4000; - max_write_delay = 4000; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read = " 1 0 1 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 4096; - page_size = 64; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x x o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x x x o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - -; - - -#------------------------------------------------------------ -# ATtiny861 -#------------------------------------------------------------ -# Close to ATtiny461 - -part - id = "t861"; - desc = "ATTINY861"; - has_debugwire = yes; - flash_instr = 0xB4, 0x00, 0x10; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -# stk500_devcode = 0x21; -# avr910_devcode = 0x5e; - signature = 0x1e 0x93 0x0d; - pagel = 0xb3; - bs2 = 0xb2; - chip_erase_delay = 4000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 0; - - pp_controlstack = - 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, - 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, - 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, - 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 2; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - size = 512; - num_pages = 128; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4000; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - - read_lo = " 0 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 x x x x x x x x", - "x x x x x x x x x x x x x x o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", - "x x x x x x x x x x x x x x x x"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x x x o"; - min_write_delay = 4500; - max_write_delay = 4500; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - -; - - -#------------------------------------------------------------ -# ATmega48 -#------------------------------------------------------------ - -part - id = "m48"; - desc = "ATMEGA48"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x59; -# avr910_devcode = 0x; - signature = 0x1e 0x92 0x05; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 45000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - page_size = 4; - size = 256; - min_write_delay = 3600; - max_write_delay = 3600; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x x x x x", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 4096; - page_size = 64; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x x x o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - - -#------------------------------------------------------------ -# ATmega88 -#------------------------------------------------------------ - -part - id = "m88"; - desc = "ATMEGA88"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x73; -# avr910_devcode = 0x; - signature = 0x1e 0x93 0x0a; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - page_size = 4; - size = 512; - min_write_delay = 3600; - max_write_delay = 3600; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega168 -#------------------------------------------------------------ - -part - id = "m168"; - desc = "ATMEGA168"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x86; - # avr910_devcode = 0x; - signature = 0x1e 0x94 0x06; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - page_size = 4; - size = 512; - min_write_delay = 3600; - max_write_delay = 3600; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x x x x a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 16384; - page_size = 128; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 128; - readsize = 256; - - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; -; - -#------------------------------------------------------------ -# ATmega328 -#------------------------------------------------------------ - -part - id = "m328p"; - desc = "ATMEGA328P"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x86; - # avr910_devcode = 0x; - signature = 0x1e 0x95 0x0F; - pagel = 0xd7; - bs2 = 0xc2; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - resetdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - paged = no; - page_size = 4; - size = 1024; - min_write_delay = 3600; - max_write_delay = 3600; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 x x x a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 5; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 a13 a12 a11 a10 a9 a8", - " a7 a6 x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 128; - readsize = 256; - - ; - - memory "lfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "hfuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - ; - - memory "efuse" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x x x x x x o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - ; - - memory "lock" - size = 1; - min_write_delay = 4500; - max_write_delay = 4500; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; -; - #------------------------------------------------------------ -# ATtiny2313 -#------------------------------------------------------------ - -part - id = "t2313"; - desc = "ATtiny2313"; - has_debugwire = yes; - flash_instr = 0xB2, 0x0F, 0x1F; - eeprom_instr = 0xBB, 0xFE, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBA, 0x0F, 0xB2, 0x0F, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; - stk500_devcode = 0x23; -## Use the ATtiny26 devcode: - avr910_devcode = 0x5e; - signature = 0x1e 0x91 0x0a; - pagel = 0xD4; - bs2 = 0xD6; - reset = io; - chip_erase_delay = 9000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0E, 0x1E, 0x2E, 0x3E, 0x2E, 0x3E, - 0x4E, 0x5E, 0x4E, 0x5E, 0x6E, 0x7E, 0x6E, 0x7E, - 0x26, 0x36, 0x66, 0x76, 0x2A, 0x3A, 0x6A, 0x7A, - 0x2E, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 128; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 2048; - page_size = 32; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - -# The information in the data sheet of April/2004 is wrong, this works: - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - -# The information in the data sheet of April/2004 is wrong, this works: - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - -# The information in the data sheet of April/2004 is wrong, this works: - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny2313 has Signature Bytes: 0x1E 0x91 0x0A. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; -# The Tiny2313 has calibration data for both 4 MHz and 8 MHz. -# The information in the data sheet of April/2004 is wrong, this works: - - memory "calibration" - size = 2; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90PWM2 -#------------------------------------------------------------ - -part - id = "pwm2"; - desc = "AT90PWM2"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x65; -## avr910_devcode = ?; - signature = 0x1e 0x93 0x81; - pagel = 0xD8; - bs2 = 0xE2; - reset = io; - chip_erase_delay = 9000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; -# AT90PWM2 has Signature Bytes: 0x1E 0x93 0x81. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90PWM3 -#------------------------------------------------------------ - -# Completely identical to AT90PWM2 (including the signature!) - -part - id = "pwm3"; - desc = "AT90PWM3"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x65; -## avr910_devcode = ?; - signature = 0x1e 0x93 0x81; - pagel = 0xD8; - bs2 = 0xE2; - reset = io; - chip_erase_delay = 9000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; -# AT90PWM2 has Signature Bytes: 0x1E 0x93 0x81. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90PWM2B -#------------------------------------------------------------ -# Same as AT90PWM2 but different signature. - -part - id = "pwm2b"; - desc = "AT90PWM2B"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x65; -## avr910_devcode = ?; - signature = 0x1e 0x93 0x83; - pagel = 0xD8; - bs2 = 0xE2; - reset = io; - chip_erase_delay = 9000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90PWM3B -#------------------------------------------------------------ - -# Completely identical to AT90PWM2B (including the signature!) - -part - id = "pwm3b"; - desc = "AT90PWM3B"; - has_debugwire = yes; - flash_instr = 0xB6, 0x01, 0x11; - eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, - 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, - 0x99, 0xF9, 0xBB, 0xAF; - stk500_devcode = 0x65; -## avr910_devcode = ?; - signature = 0x1e 0x93 0x83; - pagel = 0xD8; - bs2 = 0xE2; - reset = io; - chip_erase_delay = 9000; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 64; - readsize = 256; - ; - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny25 -#------------------------------------------------------------ - -part - id = "t25"; - desc = "ATtiny25"; - has_debugwire = yes; - flash_instr = 0xB4, 0x02, 0x12; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -## no STK500 devcode in XML file, use the ATtiny45 one - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x91 0x08; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 128; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 2048; - page_size = 32; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny25 has Signature Bytes: 0x1E 0x91 0x08. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 2; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny45 -#------------------------------------------------------------ - -part - id = "t45"; - desc = "ATtiny45"; - has_debugwire = yes; - flash_instr = 0xB4, 0x02, 0x12; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x92 0x06; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 256; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 4096; - page_size = 64; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny45 has Signature Bytes: 0x1E 0x92 0x08. (Data sheet 2586C-AVR-06/05 (doc2586.pdf) indicates otherwise!) - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 2; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny85 -#------------------------------------------------------------ - -part - id = "t85"; - desc = "ATtiny85"; - has_debugwire = yes; - flash_instr = 0xB4, 0x02, 0x12; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -## no STK500 devcode in XML file, use the ATtiny45 one - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x93 0x0b; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny85 has Signature Bytes: 0x1E 0x93 0x08. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 2; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega640 -#------------------------------------------------------------ -# Almost same as ATmega1280, except for different memory sizes - -part - id = "m640"; - desc = "ATMEGA640"; - signature = 0x1e 0x96 0x08; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega1280 -#------------------------------------------------------------ - -part - id = "m1280"; - desc = "ATMEGA1280"; - signature = 0x1e 0x97 0x03; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega1281 -#------------------------------------------------------------ -# Identical to ATmega1280 - -part - id = "m1281"; - desc = "ATMEGA1281"; - signature = 0x1e 0x97 0x04; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega2560 -#------------------------------------------------------------ - -part - id = "m2560"; - desc = "ATMEGA2560"; - signature = 0x1e 0x98 0x01; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 262144; - page_size = 256; - num_pages = 1024; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - load_ext_addr = " 0 1 0 0 1 1 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 a16", - " 0 0 0 0 0 0 0 0"; - - mode = 0x41; - delay = 10; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega2561 -#------------------------------------------------------------ - -part - id = "m2561"; - desc = "ATMEGA2561"; - signature = 0x1e 0x98 0x02; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 262144; - page_size = 256; - num_pages = 1024; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - load_ext_addr = " 0 1 0 0 1 1 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 a16", - " 0 0 0 0 0 0 0 0"; - - mode = 0x41; - delay = 10; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny24 -#------------------------------------------------------------ - -part - id = "t24"; - desc = "ATtiny24"; - has_debugwire = yes; - flash_instr = 0xB4, 0x07, 0x17; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -## no STK500 devcode in XML file, use the ATtiny45 one - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x91 0x0b; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 70; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 128; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 2048; - page_size = 32; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x x a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny24 has Signature Bytes: 0x1E 0x91 0x0B. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x x x x x x x i i"; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny44 -#------------------------------------------------------------ - -part - id = "t44"; - desc = "ATtiny44"; - has_debugwire = yes; - flash_instr = 0xB4, 0x07, 0x17; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -## no STK500 devcode in XML file, use the ATtiny45 one - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x92 0x07; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 70; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 256; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", - "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 4096; - page_size = 64; - num_pages = 64; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny44 has Signature Bytes: 0x1E 0x92 0x07. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x x x x x x x i i"; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATtiny84 -#------------------------------------------------------------ - -part - id = "t84"; - desc = "ATtiny84"; - has_debugwire = yes; - flash_instr = 0xB4, 0x07, 0x17; - eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, - 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, - 0x99, 0xE1, 0xBB, 0xAC; -## no STK500 devcode in XML file, use the ATtiny45 one - stk500_devcode = 0x14; -## avr910_devcode = ?; -## Try the AT90S2313 devcode: - avr910_devcode = 0x20; - signature = 0x1e 0x93 0x0c; - reset = io; - chip_erase_delay = 4500; - - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - hvsp_controlstack = - 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, - 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, - 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, - 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; - hventerstabdelay = 100; - hvspcmdexedelay = 0; - synchcycles = 6; - latchcycles = 1; - togglevtg = 1; - poweroffdelay = 25; - resetdelayms = 0; - resetdelayus = 70; - hvleavestabdelay = 100; - resetdelay = 25; - chiperasepolltimeout = 40; - chiperasetime = 0; - programfusepolltimeout = 25; - programlockpolltimeout = 25; - - memory "eeprom" - size = 512; - paged = no; - page_size = 4; - min_write_delay = 4000; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", - "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; - - write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", - "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x x x x", - " x a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 4; - readsize = 256; - ; - memory "flash" - paged = yes; - size = 8192; - page_size = 64; - num_pages = 128; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 x x x x x", - " x x x a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 0 0 0 a11 a10 a9 a8", - " a7 a6 a5 x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 32; - readsize = 256; - ; -# ATtiny84 has Signature Bytes: 0x1E 0x93 0x0C. - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - - memory "lock" - size = 1; - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x x x x x x x i i"; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x x x x i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", - "0 0 0 0 0 0 0 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90USB646 -#------------------------------------------------------------ - -part - id = "usb646"; - desc = "AT90USB646"; -# signature = 0x1e 0x96 0x82; ? - signature = 0x1e 0x97 0x82; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90USB647 -#------------------------------------------------------------ -# identical to AT90USB646 - -part - id = "usb647"; - desc = "AT90USB647"; -# signature = 0x1e 0x96 0x82; ? - signature = 0x1e 0x97 0x82; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x x a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90USB1286 -#------------------------------------------------------------ - -part - id = "usb1286"; - desc = "AT90USB1286"; - signature = 0x1e 0x97 0x82; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# AT90USB1287 -#------------------------------------------------------------ -# identical to AT90USB1286 - -part - id = "usb1287"; - desc = "AT90USB1287"; - signature = 0x1e 0x97 0x82; - has_jtag = yes; -# stk500_devcode = 0xB2; -# avr910_devcode = 0x43; - chip_erase_delay = 9000; - pagel = 0xD7; - bs2 = 0xA0; - reset = dedicated; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "x x x x x x x x x x x x x x x x"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "x x x x x x x x x x x x x x x x"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - rampz = 0x3b; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 4096; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0x00; - readback_p2 = 0x00; - read = " 1 0 1 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " x x x x a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 x x x a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 131072; - page_size = 256; - num_pages = 512; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0x00; - readback_p2 = 0x00; - read_lo = " 0 0 1 0 0 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " x x x x x x x x", - " x a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - "a15 a14 a13 a12 a11 a10 a9 a8", - " a7 x x x x x x x", - " x x x x x x x x"; - - mode = 0x41; - delay = 6; - blocksize = 256; - readsize = 256; - ; - - memory "lfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "x x x x x x x x i i i i i i i i"; - - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "x x x x x x x x x x x x i i i i"; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "x x x x x x x x o o o o o o o o"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", - "x x x x x x x x 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "calibration" - size = 1; - read = "0 0 1 1 1 0 0 0 x x x x x x x x", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 x x x x x x x x", - "x x x x x x a1 a0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega325 -#------------------------------------------------------------ - -part - id = "m325"; - desc = "ATMEGA325"; - signature = 0x1e 0x95 0x05; - has_jtag = yes; -# stk500_devcode = 0x??; # No STK500v1 support? -# avr910_devcode = 0x??; # Try the ATmega16 one - avr910_devcode = 0x74; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega645 -#------------------------------------------------------------ - -part - id = "m645"; - desc = "ATMEGA645"; - signature = 0x1E 0x96 0x05; - has_jtag = yes; -# stk500_devcode = 0x??; # No STK500v1 support? -# avr910_devcode = 0x??; # Try the ATmega16 one - avr910_devcode = 0x74; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 8; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " 0 0 0 0 0 0 0 0"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega3250 -#------------------------------------------------------------ - -part - id = "m3250"; - desc = "ATMEGA3250"; - signature = 0x1E 0x95 0x06; - has_jtag = yes; -# stk500_devcode = 0x??; # No STK500v1 support? -# avr910_devcode = 0x??; # Try the ATmega16 one - avr910_devcode = 0x74; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 4; /* for parallel programming */ - size = 1024; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 0 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 0 0 0 0 a9 a8", - " a7 a6 a5 a4 a3 a2 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 32768; - page_size = 128; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " 0 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; - -#------------------------------------------------------------ -# ATmega6450 -#------------------------------------------------------------ - -part - id = "m6450"; - desc = "ATMEGA6450"; - signature = 0x1E 0x96 0x06; - has_jtag = yes; -# stk500_devcode = 0x??; # No STK500v1 support? -# avr910_devcode = 0x??; # Try the ATmega16 one - avr910_devcode = 0x74; - pagel = 0xd7; - bs2 = 0xa0; - chip_erase_delay = 9000; - pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; - - timeout = 200; - stabdelay = 100; - cmdexedelay = 25; - synchloops = 32; - bytedelay = 0; - pollindex = 3; - pollvalue = 0x53; - predelay = 1; - postdelay = 1; - pollmethod = 1; - - pp_controlstack = - 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, - 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, - 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, - 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; - hventerstabdelay = 100; - progmodedelay = 0; - latchcycles = 5; - togglevtg = 1; - poweroffdelay = 15; - resetdelayms = 1; - resetdelayus = 0; - hvleavestabdelay = 15; - chiperasepulsewidth = 0; - chiperasepolltimeout = 10; - programfusepulsewidth = 0; - programfusepolltimeout = 5; - programlockpulsewidth = 0; - programlockpolltimeout = 5; - - idr = 0x31; - spmcr = 0x57; - allowfullpagebitstream = no; - - memory "eeprom" - paged = no; /* leave this "no" */ - page_size = 8; /* for parallel programming */ - size = 2048; - min_write_delay = 9000; - max_write_delay = 9000; - readback_p1 = 0xff; - readback_p2 = 0xff; - read = " 1 0 1 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - write = " 1 1 0 0 0 0 0 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_lo = " 1 1 0 0 0 0 0 1", - " 0 0 0 0 0 0 0 0", - " 0 0 0 0 0 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 1 1 0 0 0 0 1 0", - " 0 0 0 0 0 a10 a9 a8", - " a7 a6 a5 a4 a3 0 0 0", - " x x x x x x x x"; - - mode = 0x41; - delay = 10; - blocksize = 4; - readsize = 256; - ; - - memory "flash" - paged = yes; - size = 65536; - page_size = 256; - num_pages = 256; - min_write_delay = 4500; - max_write_delay = 4500; - readback_p1 = 0xff; - readback_p2 = 0xff; - read_lo = " 0 0 1 0 0 0 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - read_hi = " 0 0 1 0 1 0 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " o o o o o o o o"; - - loadpage_lo = " 0 1 0 0 0 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - loadpage_hi = " 0 1 0 0 1 0 0 0", - " 0 0 0 0 0 0 0 0", - " a7 a6 a5 a4 a3 a2 a1 a0", - " i i i i i i i i"; - - writepage = " 0 1 0 0 1 1 0 0", - " a15 a14 a13 a12 a11 a10 a9 a8", - " a7 a6 a5 a4 a3 a2 a1 a0", - " 0 0 0 0 0 0 0 0"; - - mode = 0x41; - delay = 10; - blocksize = 128; - readsize = 256; - ; - - memory "lock" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", - "x x x x x x x x x x o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 1 1 i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "lfuse" - size = 1; - read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "hfuse" - size = 1; - read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", - "0 0 0 0 0 0 0 0 i i i i i i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "efuse" - size = 1; - - read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - - write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", - "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; - min_write_delay = 9000; - max_write_delay = 9000; - ; - - memory "signature" - size = 3; - read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 a1 a0 o o o o o o o o"; - ; - - memory "calibration" - size = 1; - - read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", - "0 0 0 0 0 0 0 0 o o o o o o o o"; - ; - ; From 611fff2e623bd8716ec395c2112a5d601bb3ac04 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 16 Feb 2011 23:16:22 -0500 Subject: [PATCH 16/25] Switching to RXTX 2.1-7r2 on Linux 64-bit. This is the version in the arduino/RXTX github repository, built with no options passed to configure. Former-commit-id: 99480327a636a96dca6c3368bda84b8fad3cad46 --- app/lib/RXTXcomm-2.2.jar | Bin 60818 -> 0 bytes 1 file changed, 0 insertions(+), 0 deletions(-) delete mode 100644 app/lib/RXTXcomm-2.2.jar diff --git a/app/lib/RXTXcomm-2.2.jar b/app/lib/RXTXcomm-2.2.jar deleted file mode 100644 index 37b611dc9100ce01239cfe7e9d693fc68ef39d09..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 60818 zcmaI719WB0)-@d4wmY`dLC3aj+v+48+qP{x9ox3;6Fd3)x%a;RbHDfd?%jLTIAfnt zdz@Nz=32GptX1+-pkQb~P=9Xj77;$6f4iW8z<^{#lm+M{WJMW1$AEx9f#jtiq5kRt z@~>|4|M6wCKRy2cmt_QGB}7G(l<8$e?_?&%rKRZTXW^yjsHY~T8x$EASauE_=_O@o zC1hq@%E6#hPq7DZv1!b~QjVyeP02A2G1V|q3Xd>o+>gGUTiiO{I0FA;AajiOPhx+5 z=CAhGKw$ncsPF&F3dld-n%TNASlBWAJ=*`>3FGfhib|}UZ1Q%F&h$ps22M^k%34bE z{AhfzL5`4Z;o&6iRHe$X8UE%OjaXDN6!4*)jIcUs<{8fMGD$gy2`qfipzjLNW-U@s z*sr$_Z&O^`tGpen-CsUmz`nG~ueqp?bMlh?e13eqX2-X|I=mXB{BR%v>BkV6?eDQm zLQ7%abZ#nL@|=g0;PVRi$BN}Wq3+cjlMR0HQDq$Z#{wlJ8lSbxkEkf_*2-fj4ktC{ zG&}|_Na*uREK15I=u+L6ubGOlYYzp|khnUcYY{Ul(x&CGHdt$!O3(-Q2y540ln1AY zru7`aw|nSQfQXsCjL>433@*q3Ys__Bwjyj3&!lN^lEuz-;*c_GJ8G*U;Fe6ZoLb-~ z&H6f2w70jZ-gxQe@!5cZ&0&N7%CD{g>_@V-1q{bSSg-t=a?cKCi*O|GifS%alD$Jf zT&o=GGR=4(5mF1$Yt%wN-*V$Iy88Lx-IlK``iRZjO}umn)^0P(KHN%5o5GsJh4IaQ zKh`+CSiUBl(^1FYL|n!60b-)+&|9>7H*H3~Ds-f}zV@8a7G#J+6Z8cnSs+E`t8U zzOvClkw?L=NOb(Vlst1rDLsn_gdSR+0R{4s;1p?z^kwtLq)0`C4I*oH1w%Gu){Kdg zJzJ5K$evEXRPaWbna%Wi$<^RtVgMx#8!SH2?|v;tc&d{=xehI_&REec)cvlJH^WZC z7yd{@62kBsg9B0~`gbuSFSr-?NI9aM$Q!DI7SxA%=r3;Q?vAkMF5wSpk}se9?EtH{ zG=Tvp0TYC;Ng|Y+Q2I}hTczKAcYEMTd-T5zV|JK6f01Of4wRG{>kIt0i-5_Y@~Q@a z(|)6_r@lo={#M;X6IKDUocO!iUTC+tZy9Dgx1on_CECS3Vhq7nTzHFW_zA`d<_?A} zsJ+j>FTYPGh!8Blg*fE#=Eq+l;n!XvJTWK`P!_~LJ@KFM}cU? z;`mQ5lZg_5>0?9_KDM%H(kV@ebu~hmDI$Ra5AuIg7ZkTZg|fgTm<)mh$Q$~HP962X z^=BNPe**Qyep4qChfYJYHGBQEt|Ya-Ek7hR!(WbHoT8>1H&T$`Wi(l7QA1HIN>b`n z;t)tZ8XPQGgKI{HT=Zy!+w4*)s9o15tNbF%fi!r)<<`-fZB6A*B{D|wU?S<+#x|6L zlGsV@2L8*!>5bC$tUu!)`qTb%7(x2mLJ4M}f0`Dh2JNoAh|b56#+Wb~8yHB7gwjXe zUmK#rP7KQ!3q%eqVgJ)ux3A}5lJgEFv?|b1gF&{I1$xZy)R+LA z{+hIR_$S@tEnK*6ljp3AwNn|2k1ZLGdkoml%R;w}L_&(s9)MV|8}^pbGirYh_LkW* zY{d)B)8|kZA{L+XoR}?+*e|!aVfxpQjPjG5i7(9lZl}{9_j)NrEl4D=cyW_E_F;Io zV*E+=?PaR1SdQ2ma2{|3!EtaeaNux3a0R(4Xj~$785naJ^h`Kalm?V0luqG}9CWBg z>TfGN3dBQPB1IXhhIjceFeh|N%-MPA1|a>y!4hx@*u{*QgN#YNM9}QGS!7u>ilVPPb6*RLlml038Du&NJ%7FOP~Cfv0<*S5FnLT@*q1@ z+B~bHbp~Ang;H}U7MC8KUCR}$S!_obHD>C|^3)dZhxDb@*p8GnBiGQP&m{U8XfD8(|I;6g=9QTVo&ziJNE zT=l+{=G{TJ3){R{b3*;tf6X|s1B#|vbl|)%od7;0z3>;FZkxV>T6&$A^bXo-C<}O$ zD%+z$!&+Wn`ywN>uKzhmuljc%4aVdN%h-9)Is3w&&=@iprI-6QNj9+^a*@pl6lNw~ z0}EDgsh$*r!?=~EILuXHjRd=F8`dlv+Tq*fWsF8~;?EMOiB8Ww*n85o>*63a3%0q)$t5mGLg_%T{p^GhEG0stY z7=~di1!Q^SHoYELs_;z2a5v|1_mwc%rP6$uD9%|G7n^1RcVj@gQc{Ls_++6(K`feg zl~>?r<{ZIvS+cGbun8B?+S23wxPe$kBj5{ka6M(z8XBxQt)$9tu$Vh{$+M4C$|K!s z{~nO8;Cq)CjlhPH!Q(cS$pt7~^p-Mtchq`i^$sbAE>BKjFqF#dDp9u%{V&v-T7# znMH862ky!UhV9CHVF<+(h)rKG&g+c)#3!Wo^Mt$|`Hh{SEb8dt1Q~Xfxu)*Glx_(% zY{#qAuif75X($v&MSUW1xT}k}QY}N#`iTG$e}{KV!i{T?#9EN{=qYw7LK{XIHwK}E zbDcD~_5$BIBZN=vO+g{VWFq}IFQAf2k|{==I@x2uF&G{0n6c7WeNcW}epr5brl&R? zlfG#i&~KOaPGFOQu~nrqep@#{x)j<3=M$q&vE-B{U4^ugZGJBwNbe9%93aV{WoCQ5&?&6I=yn19u6xjx_~2 zqjqXV@cO-{R2g`J|92Jd4-e~(=p46LZ-3>9Q9 z8!ryWO4zazxvWmKa0uuBctA$-$g4#$hqH~Lp5;pqm|k%8lEzfTSmwOf&IFi8wEB-> z1fkeqEK9ClF|Os#Ua_v}h~hG@*%mBDvRgM&0@sUJ#8=V3MD-TEBzA$aj;WpC9%~XYdIhg0dFt(R)zYgQEkj*BN8tq4b%3@TChc&#<;uXWRwfj%p5%v6vV}(j>p7K;P=qf z*_WH==``ZHqwCnTTJj$5g20B zG4D8;?7=+|6>3C|9uwtWQ)3cG520$Y7$Q=6Y)FxO-It90*oT8xIRM)N-U7D24otbq zk8|eWaY5v9VJPIwSPDhyK!yb7kRT1#8Ijt6ljX5RH9#wAeFIZzLXRTMKTBYTf1=>} z!U&}OeSt+4qStNH7to`1$}VJGX`rLpyxBUok_i$|ewVY8SE zwGKX+V0WCL5E?pT!||sO;DE^qKeOUNmr~vg2LM zP>hvNs&S9Rg+$9%}PgE8{#1 zbDp2Hg`{;t>c!eRpjzpPhfc1W%YjX?joZR-4OTS}t(tW&Lzs*19h?Q;8~ZRr@!+TL_9SIDu}C)PFY1E#A+(pxQqpNG zmHoyv_6O0m#JkJ7(G*_cV-muQ8>`)rE3EYZIql%ew# z%ak1i>dX)+5Gb{as+EI2W2nn}2KRVdeXEYDD*3I53e_JHdo7(lzZohrB7A&*GV!(G zkRlwt6vtHHku0Z8d?MQ}Sg*4&2~V-4y@GtKaIWu14X4bj%|@?TbQ`@NCFab?%~vKT zd?$8k!enAQ|I$keYw}5U6&!2IN(G>N@N|(jObz@_nYWe699>YG$V{U$0nAXYc||tk z72MSm7FC6*AB?BCp^hy?Znr{E40;lbO2uoNL){h9=cK3vPZlqbq*@4??G_ zUC>qs2LyD9|KI4&UmDN9q?JE(=ifDxbwyMGq)TEyekja8qDj6xbg8gBJxust?XtKul1P=GFU7X8_VQD0Mig*M1FLL2M|R3_*#&B)U8}6fAl( zn&5|*M&smLK@e%h__A|B;;zDXh2La_zq6fVt1e)vM2U(DEx9TrYB)Vr=o)Bos|^KX zLbL7-t-yw0MeY(zlPkkD5+jHi@sp`lRr;k1g!PYbHhCIJ{EQl$tubKg4IkTmX|Y%8 z@H4JaLW@$Op$6Dmrl2CxZ9->bsBPFEmfm5n_E3G|9;47=G5I^zG36Cg2e3H^aAb&ZLMtHx_uMacFc}& z;JIh9YPR>DuHJolt}4>Z)%&5}R(NwCMv06kMmEnH#DyC1Y!{g>3d1<;pTRqT{5{;sdl6tQ`Z_^-t*|_icHXd{uN@cvh zVCziI?NOEiYzNM>Z5_r0r&sPct3#f$XVpw25GI ztZAG}pg z|Nd+M;7BFllrb3{uR4~u4_SpFSxN728sVY5QGWG6QcIc10^EX&J??^7>g2#>(4B#< z=gWt*y$zolz^d%fBN0I|`V{yPj z%op))TIfT+_lsuFjT@yKwJay*U_0u*!_DN!U8p;CDNoO@yHH`C?D1~j_e8txe0Q#* z){vTIA@{&9!iW;M8)#|^_4wN4*Nym_f8QLOb#-V>%{$^K^j3^LFs}z2D%0UyV-q+AhYYSLT}H6e@P0~sjE{Y ze?*g{|0j{XouK76dj{ivcX`E_TL74bI0?^bE{wsX{Z`!`4yoQ zYnN-W`$n!VzH>(mG*hn$t*;6Fv_OwpD>g6BClgkfC0JW~`+Z%`TUAxn)wyM7`j~DV z&NKb_^<&%X%dPY4se3zcShw|B07%E3DR^i8Q!*drMH~C9k?qO>Qq9JW6?$1pgEk z)=!Q~_ziNs>$uzGHwMVQ++%lkx(~?4=OQAOZ*t=Om~aPPs|abpKK(+eU~`G50|;+2E=0UVCbU%A8=vhBt{>u}hWx+S;)+QFTT!_VGB2+2Jy_j`v3; z|Eg#QFE-8bNwQOQ_82a4n3ljKf~UMEdLXlhSa1Aq1Db6U)Am;gb_8Q(0Hd^L0QAG{zENtdh$BD+>*Dx+=`WBXi{HGy5zoriO@lzJ3=w4}bT52qMEVOg< z@2t2|W|bhq_`TxPM1}Qh<7P^7X_!or@?NKHFlSSYRNP7eR-M;g-Yr{6b5*;rvRa*=-?TViE+-6d5)_@(;g#iYIdj5ZR;lz zCXUYP8TUsR{V0?iI1&L2%d4L0`W`n(&r8HCYL^dqENWDm=bCxPs)7nHmXo0@gVXwX zuOJBFxACBQ%Fc`x2f3xZ9dqtwxyN7=DO;>5X;c!&NgEh}BEvFV-2_VqZWlx=w!j?l zYRK%zFea+R_&!{7F3kcK7lP}~a02xHIrX#~!+)f1GhpGp@16k5G8h)2LyoiOalY7+bP4Xg6B|;n+K!5!6 zEVQ7YO>>m9X$$fSZ~qwQQFNToIK!d6R|X{q+5iEWv{{J7OJv^JXkpwqo$l6a>rxs>?2#R(1bt)h@}3LM)SxN&@Nc*!^u-*D zlfhN8kpk43V5gZ9gRbWlBB5?LyMYYWcf9VITGV3yRy(tXq;a!`Mq{`KW+&pp&@s8dxFZI1t7k&_?xl4!+x0pC*q+4?_syk zbs817L$IVhw6iHvwrQuSzI|CK<9w2uzpDYMYbz9~0M7B4uy(!xc-KNEr?M6Aos?FO z1ZHx?D)0<34zPXp>2Q} zP4l+H@3*ronaQ3iaT z0309SnnNY{r5iE6qjm|(r7VfutB!~2PEqrPthbLevV*C@Z!fs~3Wo%3dW*(1W7|DV zQH*LmV*|tsWC)~;*8rMGBO01OeQTcy;z@Y)I`0EiQuL zQUO8(=3{A2^I<5AJ%q9AL1yb=D)BvMls9(EptfTklQ<+kH4*4vc0*d6lGd=CG?`YY zkP1K5W@WNyI(_%G^3)WfAXM(~TIQdW7gyBzA4sBw7`^OYxyHANoltC0%~zOVTt1*t zQ#DwU%}I&!nB1iB8*J~RoQe8w49DmT?omox(co94Sn0)RwlpS`?%e>iouSDyQR?BF zQ5rHbsOIzn5!wX8bc4 z5jpm;HU#N#xd<;r0yRn05DOfv=HG?PxluSXXJOtm4YlvIc|Q@r*e{M#&Aw-^d426z z3HB;=N9|u3`bpQgaSy{{*Os*S?@IQ_*u1$@s3V`1x~VKE;=$W~;OXD;P;LmFW*e^H z^JTjD@n$m!PMj-+dWR9t!wJ@036b%H0}=u5K(srSF4Yh!6r8`SQb$L9$R}-fwYrqg zzM;3B_bOiv!}0K6dBo1Os7Vw##vETj4ZA5vRq$ag3*j-)n|f#3H47+XYd$m*n$GI> zX&1UP6yc^ly-ugROs8}>rK&Nix#Dk$jCR~)`yRk>R5^|&*#ZvZpJd}YW7Mj(L9`DO zE+NBTXrGjF2EEn@iRUuOYxQY)F!)~_wlXNx59Mw@y^#oSn`vaE)j!3|1h2N6s*|F{ zMn)TNDJ}brY+Cw1TINi=uVrcPmM`;IHqBDAK4w7b83QCg}6>ojk~ zaC;!j-a6?B@d0I%u<%83xd(sjYGe+|tq}N_A^>QdNV7?urxWsY2XqPc(2a{Kl8{7` zG%wT)IY*+KkqCzt`2yfxyJ)Y16nV@YGFLDyP)ZBfPih+^z09w%3P=Byte1X=5{~6I-7wqmGdy%nYRGInj|x`4!kJ&` z`)nocfcBw!`WqA<&H>8qof?uG(;|DAnk}?8|2MzVdbox})YdPf#itz2wO?zAA37V` zlON-03&Rno10Q1x2SlR`#`p7}bRt~n4`UXEw{kn0gn-+MO0b&4@7l+zMv3ow_6-Z( z{mqMwjwlu64x+KTQ{<}0_ELnr+Skcf@a|1N`H8o(7u}PreAsp&zg1`mx-&h;b|H0bG{xCN=7iW7HXC-Gx69b!n?Rv*g+RpPM4S$_;I_%Ll#wz9# z%4?>yhY4@!3gCtE*DKEoA*Ez%H?T%1meenMb%KKU@dI$giAWHsYY)>l zSHGrN8alea-oJy-h|UKEoUZ&R1~&x2!4a0azl_} zZi`ulZ5yedV+bb|tW~shiu6eP1?+O;5UhuFqlMPc_U^Bz@qzdWUH!}xq}RI#^<*xJ zpEvf5bg1zNi+A&YXSGWmMW@|gB`B1VYWsl$!2AmxoYV+%Gr&MVxPLguf8N6U1D*fj z^nY#A{u|*?(vm|J_)`dBgK3$EMhT9FUPDLu-~-yrPgKUfpgu6rV#7@<l;J|kMTHIoL4jGV0}B;-WZZOqx;gi;R` zBTaT>{*i@wr!5!V%8e|s_74_oYhFEbL~yroUO$yAMx4`H)eydQq3bB$%7D)Em{sSH zg&*dma~5w{!R7mHF3tUm8~P{KZ$gTUW{>B?srxT8&C!`y*Cr+b*vy#$Dff>fQiFWDiCk`(w=*uV}%j)fr zemTLK>=B!;l?Br9UDV%~+LqwHA+(3E>VwP~W|U$D|Aq65X@xcxn+(-D+SHpH653=M z;}YQo;YYzgTASUyJdF+Y)9P&OSsutqU%7u4^JM&_Bp z8niEz(>?CW!LI_JKF{&)lJ&2DR0t)JlHt|xQb~mp1>|fzJM+zOCbd~?9ZCyszk*_Z1FQoj zLw6yc4;U1u(dJ;x6Q;QCE;H}Ek8k&vdx?P%V0e_tK-zTjTg~ z_StO>1qrL8;Oa5R>7+FPSnbUpnw|l9-K~dqZ`oh69yED+$9HgHbC6~1Z7Ar$t!ros zp6SFIm1DsaAPj^f@Cr78uO?ms;(5tfLz@jbauidQ%&9N>SuFSHK?l>g56p;qFNf)( z@8KwZUZed{M3r|g!Ava)zIIM!o!aN4ESD|ut3|oUs?Xsw(4Ol9O5Z1XiHh;zh)#1c zy)jJjCJd+g@FCqCru)1h5dkxOq>y*&wY4Yu&@uQt0#kkU0X&Y%_ow-DPH_76Fp9T~ zdUpk(VhjDrGwBQ!TM@SyHI%^%KbkN_3OPlXv8t=}55CY9m#Edm`1wkgy(M_D9a#nu z)FgrgRgdKWga)krr3%r}9|kRe=~_Po)!1;grs}H}AFLbyBSP0{2oo61<+5VN0csPJ zr*@Xwck-G}D#+_~+FtVw|nXneukRZUG_eM@Xp;i4~>H8op$<0 z3=6~yO4pAk$fWAXIA4FE!-VTJUh>Ze z&nlM6F58S@M{2TMm5J&^9FwN?IA&U;GuFazd6jZSkupuTGDBsXqCI7oA{1qlCK4(n zyS5TbCw5jMg0&pX)Y@sAX^w8M!sy?QJi|YbM$prZ>`XaP3#{bu$8F?_KYffCDIdZ6 zl18bVmeZrn2&ecWFUl;Uw7-|tT`ngNHVVdx>E*R;L~iZ$X+0)f$ePZhc3h3%;EsPN zmvyn6Z%7*8GANGh+rnruZzK#)d@ko{6350_MW$W120LmNu)GPs1{J3}(AimQr@jsH zS&2RW@MCohB}UKGb7xa%~#DXh_#dbiUutIk}4SU8h*wKqET!bFC!h=ODfA7FT2KeacSM` z{%dh(sv9AE`m?w@{#O7I{tckNpd(?c;$$M?Ze(KbY++~n&wytlCnMF*kK{ei8YN>? zQAI-VvjV#H2mg&CT4286*)Us_lzLf5J^9)8tvn3DU*K_I59LiX3E{BY>P&n2e0c{U zszvP+tBE3S##=*}L1ZKn_AsehI6TZqPM*o(F|A2UHETz*ut=hhhN?0uQt=R6!LLd| zw1u9m^N0=H61MPA;GHbYGHu{u*&0deUr=UGF&q0sK8KQzUynqxe;?nrDT@+*pNGOV z7c(cerzE++Y)2Ujb>TIr)!fe+jE%W_QQsS8kHmRjO}dL!=xa0j?obalI0_OKqq()a z5;T014zGwImv;E=6DOqU+s?3*dqr}sYsY@s(}eZXUHd;~bKdDUJMf>`bokT$bE@=j zMWaG?|5`Wxr{?RYJK7@pmrVSmbTD+_A{31>B#kqwxW53E4*xfc*hHC7YhU`tGvg<6 z?)EedH#4DpRpdHL8tO-jK}iLvOEtn=>q@PvYc;~=#ihBBCb`RbzV1)6w~mGk$kx}k z?UpBpskUyX>HX}V$3ZOwK4|>7p9Q4R$nfhfk%rR!-*D)Y`o~$l1p5zhs!I!c5YW}m zQvI^(+a&wx;nl`>DcH1?#7?c)v`hAto*To@U04lUw4DQ@!CMvBIZjssGQRDz)W1}Q zPg^{9CcgMbn>^=-Ymu#`6kgcEw@B{k;>)a*?XpunnxMB>tx!mGd|Oguy;!yn$=oj6 zqsNyzTuKM1phF()Wl{ew-iOoeA@Rg+7x@LoQ!oAWo1w0SgE>AF{1u|kQQ z*%kw%ua~;&s7!Q2-^j>B0`@z>GYi-mhSCMaZl736ard^+P zkwnrd34~Y3ZJBHX8nuNKHe5nBObk}sLJMdU8l)u2$k0D$FeKVoOLD(&G-?RQlw=&# zYbdNEcfITa$6dBS%oQ$ZjTYJohj3Fzoz9bG@*y+Z2ojJj(OYc;&UPr0y35no+b89UV15*DWQlr*Tm&Q_sQ|>MLnS zYbjmT%HL%A9p8X_V@Xc2oD7RhYU1ILnieHH=01Q!Iir-t4YSbX6JaH?2d?5=3XFc= z$t7C$v)So*CiqBc#=hfXEm(BbYADz;w~{utp}Blp?s>Y&_n&cgB~&S#Hx%4~d?sFW zQ3{mO5W-D{#}{JG9AXGa9R2J4wB z8tWO`$94yEj$>E`$l2}$MrdXV@XzPj62JykR@JeQrvR3hJKuYYE#O zzFReMaQ<@Ccr$Xuvw0+qipK1FwHX4`ZmPs?SRh4wRr^*BiiW{jyajpcMsv>AQEhEz z<|#t5$f+I+X_jGo^l1?#y&qi;0q(emVe2Am2QQ)62w8o-QSotlb3!9(GR4Ft6?Yu9 zkh(IbVbSFQnfg@7&K88utz^ezkW6pEK25%PpQE`bIVm3XxfCo7#YCVpxPUPBx|GHi zH%)D3VH&Chj`5KD4ji0eC~~&*#aboXgfcsY(rV>}3}|<+U7CItuII^Tb0L-m3xNAQ zd)O4EqJNTHgxxZ#&11TU5b)|O;uDlM0wlpnVMReX{)2(=^Kxt(Y zCo7rSt+FQHanNb8bNvjNw*(u16`o=^ zdxjQGQP124omSrX=nVQb&<*#lmA+(8n^9u<|Y>KDye{{R9mXpTdAC-ri|nj zBW3mBB}1)#o1K^xj)Q=Dvm=h#paS?A;959 zzH?{(urjp@lb;F?Hfl<0#W%|CG_~xLS^tiea;c$JjS$%4GV+r+R=k62%BsO|B`Gcw zHQRN$y&rqoK=^~kShw{}hqmUZsaSuJyZs%jQ&L!$8(LN!;&s!l71JYS`OrKe!!4dw z65j3Zc-xNaG=yop?XEaYKL0@-f#IgoPLxPnlc@#72V44^`owSH-@u$_l54uBXVuVl zNf$?B^ySub&`As8i9zVLXD74YCbzGxzq`r^s?JeHblM-Bem7Y|7=S`=!lz?uvxlW= z>-gBjAfQw5l%F}7be$@mWPE|M+M6#;{3v-XTw`3cO*-!DXd9alL3=S^IuHu^w3_eJ1@?QKSR zAo7_r@*DU*jca$B07>lRccOTKQHq{$B>Sl1C zlQ>_MVHu}sb)}B5B8;g-!HPluiq4!KRAx>P%!&$F)KbW@wAmLGHnl}c3E}*Px$Ks#EZ$TvoYafIGo~QI{MjS5-<201cToD?ekmfso*u)1lqzE;W-@U- z9B=b#_Aq5GO>KUXW45Vfwg_=PP0ryHq)7Kj#Ssh>b+fl(1h6!QlCdl`y1F7pck7)| zuFJdKa%*fc`~GM)(<9)a=-XBi?%JtJ8dSXzqr%rkt})>6zKVRW`SA`SoA+1?X!kV48!}US0Q)8w!Ub;}_E{g9W^dp_ zzOY$ih*fc5j5DZDQ|RXe+8tYi;}YRJMF$P_K9NMo$(fX2b|-X|8O$N_x(Kn z0QP7^u`@vz5$C}St)aSRUnfD$(rU7LA_8qOGg0SwX9>$vZ?Mfc?8R|-7Y}cvF2ab1 zJnSe@3(_h1*vh2%$UQ0Vvy@@K8j| zi-i8ySYo9%4rRTw##rLzx|$SkDZmC&R(l-ikAotE?g>YP@D(!erZ!(XI-Xd%^dm7teeCdOZI>Hc6Pdv975w;S#wB3(ro9%&bQk5EZ5GvW#i zLVJC{p7Z+>ozl^%F>nq4KBWK9e9ECVeH?{0J@gFCSfYEC98U%$j!)42JNxtpXvvHi zjr^-i(wlkPw({90;$QjkVOE()G&B%U(4P|h|D4g0{GA{FYqOn9#?IEl+0Ief{Es+l z{LkzzC}I6CaWweL0YO%qgNhYT>%<%wwq$-@!L<3~)7iMa&Q!R9)-7UMml&6|Xz7lQH`nDA^;qsTFnq3mZA!An|A*9s@*5#}0g`==<-spGD2-jL(HtxA~ zZ$cG)z`ehUn(Da=7^79BS6W+(eX4(TtY%F9B)c;mHR?P1b+WbXE(T>cUD#ZsD@w99 zT^d5MOLngid~75jjm}2MhoQsj#rh3ep0rZ8IWk6ds8KAB&-RO6WeU}6$ui%0j_oK> zo-<;>+}GuIABvt6LbUkK2r~LbO*jMqZA9syCH&h|js1OK2i{}T4vV9JRk+um6IKJ9 zgsrmDZ#}+2YiU1L*FUTe&=!q(9l9B_7tHJ$fzH%Z5$MV zY>oDS1WdjC5LXCiokB~oKhFW0gtRsK2D;>f548h9({*Yxa)xJIO&c;8+7*F&!TWi1 zTjPxX{15#+0>OoB@lVQc|6fVe|5Gkv{U`LYBf9!%)RywxrxU;}a@li#3u9)Fn++Gh~c@CKhVuO|TqEIzhe@7tf2v9=p=B ztm==o4D_CoH-{db9xkAdp?|mKPufr(@!_-qGoU^2HJG>2c|+a4D;e8IrB8CRfGYXU zUU1>c8SVKlee(F;GLdcT6gyZR07naJg|MVReAf-Hf{4|#!&-EpKnmDT9fnK#Wg_?b z8MTKjG`F}WA_U{b1z2lPzxkuAZ^MY}uv_6<&k`2rm83L6_i>#hv6GgU7MU9n4k~|5I&D{44_Ltx0 z!gyTC{P{}`|0@$C``d5-moOr2;pA*$`#;BwxF776`5+)5ydi8|ArM?4WL+WXMIo;C z7V;+s@RsWrI#EO+EZ}qQI~6}Bygu}6?fHEapw-7TSt8^7XgIqYDY+KuSSXU>1R!LB z+p2&hQm&sA*0>;j3H~GD`*6|U4A;}}q`0Q`I5YO4@y0o)b~#h_YdEL&-Sh2t$f6GsGNn1;XM(Qsu?I8Y5!M=#=8aV|Ml?zcu7@rrQ_Lqtd6r8sH#)`c0d3@72KIcQJb zEaS=Tx>FCc;ql@vJKj55@0#0d57#9b;ffIsKj3lL-#b|!W9?uatn@mN4kHaS4nqx7 z4$I(iI9(jH2JKtmwK(!*?PKFjAGl)-H*oza$Kk-6K5UKJ_rRMzb_X8bcI=GZ7r|pV za)%z4;?fNOVtb=EF z)S9tR5Zz+mnY3?%*TK0pb%TiaHFARx?dI4SvA>CTz1y0y--UOLD$%;_hse2$95(}* zRjapi1tzH;gZlG^I2zGugChJ4IXWg>_{we1=(!b0juRk}w+kID=kT5Yn&Y|y49ORu zZ^g7Es)cO-tuHiOp9t>;jAWemvoufsB>-*3_!&7Kr1wyi@&XpZvLG(nJdl%h{|*P% zi?|;bI=GqWMCF_}g9qG4F1M)%ANmEP$_eSB*FLIblgO}|VJBijNWQ+9K}?>;pKd^= z{1!Yi-TY0HbYVy1oFbZlS<`zr6j>7o$`)Y(TqurF!c0Y$0#(V&ptlqj``jzbxVQ$K znC`q}9Y%wIsV~m6Ux=h21Uc^YUX`?7zZADjV?M-*jB6+CnH@VKDij?mzVL7^+@}DJ znVrUJk&zs}UudS&CfF^2`{XImYd43*`NcPPpoR4GV)qd{_!}Fi$h~*tD{HtzcuCRV zrh&WnxtFN)`pF805QXACs;(Rnl37Gz?3Qr2fJkkZjy-ZQ!a2W+6_Mr+EXKfru*L!| zzT;r+DQTBi^|Bp$Hx)Ez z5itFX2fcfT^9lY{-rE7l21T@d2KimOEA?C-T(eVw^BaQD++Eyv`34>yaeteY6V8r^ zll={Zu6NP^etUovtvnBRGNxL~ERfY5-+Wc;xjFc{2eOeiTRnptr_{v?fcTuc(rfSI zI~5o#7Q}Qt9}K|mEa+Va@j}oeio5^_uif^GhEN>jl^23)k{8Ahw;pWGhECgEZX#{y zgHcux@9uQq{0_OcdiJy56ME;)vLw#2a~8eT@*=J`KKKr^&p&BYC^!}!YG>j*#eT}h zb9q2sM7NDOf#@Ro{f=9(7l9P*2HODnvZjpo6~62|r#}Wjh~pFawE#eUPXYb02w-{N z@Y6GYE@Hf(d2b2UgB25ffOIe@Sw0CJA!Re&$+jgGMz>nbu$_c6Y=;P6j+64~M$V9G zI)bQUdsZ&&Y3q|VHysp|lohdYQAi7mpyTQ9`-YKiv8xC>T@SGCgE5GEvs3|9~lTb?^qDxKef}ql|&XS;NI3FZ?LIoIBWR#5e@2|+fmEVE|rqNjz^5>|+$j7DY&wTE#i z-;`ml6Vz$@za|PcN8Z+wfjSadgejj>bRrb_8)KKtQkF^>6L`wS24C}(^6My z=!{oEGW85WGA8i1{I&hbN*A+u;d+kr?uA?!T88XRWoJPJKJPQ}OZhGRy4C(r30+0) z$Ycpw$8P1w!FOeh@NUxl-XFWDn#SJe17>6Il=IhGxG9({ z7NFW!U(ATF1k2Sra+l~q9{d!Hk*PmnAF%gq?*au0+GoEvhtii=mS%G$_17A67>;YI z5Z7+v!nyPQn2&{9-)alKr%a*~B_dfPs%MN_`_{{XyL#IHz^U@XLE4qcwHw(ys&TY9 z#dbW<-q}XI8YxQdur`=X)ISRUo~sHF2+vgxV;dbM8mdZ*Rf>U)l6ACFIc8uTS5>vS zg7xs+FN8x&qb}GZpvPa(;JP{8sBc`gDdY-vay0oNBEXuF%awnJ&`w7o;%4pwnK-uE zfASJ^n)W!JtT^(gYGU_He?Ey?uq9qj=+X0;hVXQeIVTc-JOx9iBbuNTv#9dM4M;EYg72H>>g8!aODh8q={*949 z_=9J_s27maWUMT?cY|V^Q zQf~#TkIhIby|{#u6a9@rSb{{bE<9Mw3}pZ#O0&rm&=!r}Z#(2hsiV;mVJlf_aso7z zd00V1%PnY%P^)AzmuGG-;Il zMiLIA41dFutztv9?K-%41|=u-lyc*H5ahwerJ}2-^(4eFuo_@UYYIz>NZ$;7xC?&? zdzJ%~|BtbE4703D(!VQh>!fYlwr!i0th6d^tJ1b@+pMg#Z5wYsJ=4=Y|DNva{cz5; zKJOiCMeKEfI+U!fik3WsM3*e1RAAzTp)ly2Vt1Qk=JhRX-#sQ_LpUoPTs>|(Q^3s8J#CPNg|g>!+1-?Ji%lw_L;7IW<)V(TkkSlm?@Nl7PV7b z%T67&5swjfpbiwBQOp`)GGG}&0D1IibUn7ur8m$!hyAgK!l>9qIBh0t5iWlmxxHt5 z$23ex2cKA-T+)MPHu2L&-AD|frH$Zs0fmhmkw{I`atXnhsV3^Z_$lfbn*tb>im%I2 zK%4|Ss_HJu0wIjJAW%`Cj7-2Y2bPsRO~rr%Vjpa%5m`n^f&qqHkao>Cyj~)XpMzIK z$vvkHAGC9~cv%wdZ`3|4D-;`%_L5C}RTy*lB=g6yk-msZzgv%+cvVJ@d1Grq;=!_N zKw5fGnh7=E^tuNVexkI3BhP?PE=esm36i~xtlY_O-_ zysE3Ytw*h>XcOqhuB)S4Ku>CywoM>gmW)-M9|YwdCA93qf7T;)aPE$iOnNkb{n&uS z>`=+&pv;xZH6EQi0|BG2!cR0v9jL#&H=3J5>Q8@|8wgD=b}qWB5Xc7TsRM>hU;4Jr z8rlNQp$odt_LyzE^{P$yHdr15c;wR9KDEYX??0yWhL|jT# zQwZH=Jae6S+sd`n+i;_VSmo3$t{e`1a+hl=gJo7cW=lKh$fJqb}Y+f+1Zq zx(VXZ-dsnJ8=zv)R0V}%Xc*ttJ3I{>_0r0k25wqHl@i59wPf6x>{i(eOS}>9@HlkaX8+^0z`HCohZKls{a8Ml~cLcdld>g`ci>$(~Cq zS3|6u$}8Vaw0BpRUoxj;J^-juL$w1{7WU$R74LqxPXF|%zLP@@NxyT9er*$bZIgJdBNuy04M}z+3!3S{+$-WuDk!TjY9H8@ zwk7fY2Q=YM8ItU@7+Gfa58{F|La*YBmVaqmib2|jkbQ$KB2IoaF^8HR>CxOOWc0i@ z==}XX=$}ov9^Fwhwc5Y1y%`rwoC%2Ot(ND>1`h3OpzTx352{uRr#Rfrcki z0wI5{Uv{p4GMUIlhUz3SJS|@QS2$N-9<9)Qeu0^53k2aMCDJm{uDlJ-J=OWl7 z_23g4%(8l8>pWkV@_iUNA%Xk8kd_5rOBJE>*q!ZWAQ)S@d>p(j_Nr$?bDN2DNX5!9+g#TF^C zZ7a+mYZKI}B*hjvF~j!AK6p)rKjZ$bg6LnyP6L-Q|5i!#2|7&%_HqAZS{Sn!nqS%` zy=zo32W*-Qx^)J&DPXq{nhd@qzj8MP?freod&6FI8BmUgnH? z8Yjq)jlS-g_|&aubn1g103rQ?5H)BMHSiEI@Gu^y!*j&{-grkB4po6~FJzp~hnil2 zr;t!P8e*IfB&;$Fnmhm+kGjk*r8PP=nV;^X|0XPf;YQt?BG|8PNY@2_dj&@^++PE` z8A!$htmlP2Mc_q;7bJb9+cp=|xBkR;Hi)q0^M;sZ9(F}EzlFvI3|~vaF%-XToD8gA z%XkHne-Y5i5N8jK9a2hzJ6r?Bkt#cIOoQUIOhRL#TpKDotdtz(Y=c*2MqVp&U`V{I zmE6~H#$i^Yvp59(D+aCp-Ujcso?>-^>)4liwU3U#EmU^^zCL0Z$pz{)RClP$D5c(R z3GK|&r7*s)N-^4)J8^gUevHK>fGOZyg=)#x6lpbIrA`|Sp_TnIwv6 zkuFtzqs`LcC4>|5Gx2-o#bTdQl2U#uI&FWZjUr0SCK6MBTHVnGSyi&tBI@B)$0W+8 z)-jet(H5$| zwz+57j^2(88$>mgQ~6`x`19W*uiKXI0`xrdFw=DBLHz2@K*hFa&t+#g?q$WX7fb3d z^m|%O7F{1Jm&I$zc$0Te<2kMaJs4uNok>_nnN7iFoh+MT$g~W8Q$r-7u@ht^{_~cD z%}isP?|*ZUk&(hVoFIMs21)RrT1FKAyJhs3@Pp*Px@7;V`)yQvwL?`!{V3vn%^J(! zuN5jx%v-g!j8Gj&GWQ!>{9ugH+mt zN_kASD4qu;Wi%rqdq=MS8F0NOt=)t$sJ}M3cA4cg&HFgvI@Q{)|KaQeD?pmoNzr44 zaC2RP5kt7OovQ+CY6JWGC=XJg45q?ZY`gxN^_4vAK=Du}o(^0k!kp^5 ziTTY(W0%HLfx(;@o3+i=P(7YmFE@`%t#lk&UNE1x*kU8Gtr`3Z?vxZ1G)Oi*)&pyp z3_pQB_>En(@$2GZCV^H<+@Cf2U9#V-KtN;3-4!SFh_}fwl~NC;LxDJvZm34b{C6-bl2}e9g{7|) zc`e(Q4?5SO(WeSk`in}*n@I;aWwd(t#$}q**!#PIv(dwjN>xTz%F4=GZ_(9iT2`5~ zcZeK`(b&H8rpi1nd8qi!4JC%8pHz-Wdo&P!KZW4vczG3Jb^dXTq)2)pNxaby|NhF_ zU)2~->(HrKAEl>@DpnsSac3&ppeC{xm!vpPUe=EU**qF4y}oFoWbD*T15>JxI>MEv zzsz79dZ&fN4SYC=fpWDNE zJ`1uAPIN6CY%Ogfq=bKn6cHbSUwYg8*)R)@IHdb$vkj<}ragc&z;T)hFEcU+!(As@ zsL9B)5UJJvb|u7Ac%;>D%_&Ea5f^wisI)b|aT|rv8rMHzC1jfhzZ=%o`1vUYVQ{Q&Eg(QKgzBPp1g;=n{A+JMbSC|%sM$VtimCT- zX8Hy*nZAxk#BQ3P)xv)-U#2cb-Dix*-QCdB{tS07im2LoTbTG)3T4MWyrO+;wj+$4 z!3RGQf9I2M^s(j@H;E|l`_!2XWOY4rTqm!GZ@aXO>!?Xfu?#P>4DZ~+_|c=;n{8?& zx(L!PMie2TgPF6o&U)VIusyab;Bu>KK+r4jwYgWXOH?3*12ZK~UR^;#T~P*~Ktgy) zqJK$}Smz!;Kgd=nUr~c4SZ{2LBg$#ecMRk#YF^k8BjWgQ z&AYpkq|MB=)w}mc{*aG?D~FInFKhA@^$=!?NFEYCi{8rz9%EJ#BTCSgsF#tz+B~Y#0W@L3+Q;KK1?J!}{PI8}vxqHn4pSa?^p> zYdY!*guQS2C`UgwM?dv(^Qq|>m;4w3w#F-K->@kiY(Es+TJ3Fb9tp_& z<%<2UQAQ*-or%Vm5c&7l81mmY_{sn6X)S5{|Clry)pTueeq-|f$?`OJaltX>#&$L4 zc0lG{p4?d?GsR_#PPaks_xA;6+Y2eWAK;D})}3x^udme&Qfnv?(2KROB>b6!W+i13 z8;i^h9G4WDCxuq+{l)7lRZ>k%dB|1uB`WiAU2NZ2xB1;wlYHoPJK4I~$+g+)dcgkq zamDphPv+D@JO<(WQvUq+>~J64@QJ$}pw#8^?Q$+W5fwA=J=1I%eiC}d%%Sr?3?^w;rd;kBS)wwW9Xnmx9>Y)) z$fFCHFMPl^2jX|9G^%)I2LxAZ*9n88ay@@-7XuRej|&E8IE=U#HEb^;lTulx3n=nU zXqZP8@S({8cliytpZnNepqZanljdn%VAC4az}SFCttisZGJ(-RBiD zT&HOiVSnr}g5WOY84dJM3<0$EKwA3-+${M(b~((p9C&(bsFmKF#|DG?GMy~V9mR%R z*tT2L$iCDkl?CBYAPH`BJ<1%mTcC1S9Hi!+SnJ?zh(=2)G%9SW6cx-iw0wZ^LZ#uk zrV6dmrJYJ9Q!aB)YX?A^RAXjABS)6ga5tL#VTMUl-Bk2F*JqN&)+vD59&4Sd%Wy0G z7n1>z$rfxM>}na-3ag>14RezHfzw5xblpT8ztvu3;I2k$KP7W|W8HpTNiZTAI+dQJAu{=ASfT5Ox(3Ktm*}e4#Rl zEdfhi6?Wxsm#GT`<=NnYCkc<2RW(PJL#+tdNz;aF=K95kHGo!}2u!XjIEKtBuADiU zc?IKqpEtqpTrd8>b}FtMKv>|b@TlUEl`#@?p?Fxi!--kgf~1>WIJ=@+ zGAcLz{-GbgqyQ?nf?36b4@Qt77Na5d1Hve`Bgkg8TiXoLyFA+wZg6&pU4Ge~Zg`uw zH(-pxGm!RRlNtG$9*f=_H4=H`(cCwW^609gx zq_6kZ8p#gX+8$>U)E5VoH^8g)s|fp4k|0I5iSFZ0sh8|?yh}*Jlt{yNB>SKwW1{ze zQ850dDmr0g?aN652a4EgQ(;$G;mb(i;kS`epJC$aZ_;{P1fJbhYf3?g-P4jD}k5 zb76?jJ~u%^0Gl`QsVwEs3;s!-dyCm%kNuq|sB%p()Hej{R7rW7us7w3WN zK0<%+uwZiYi3;V7%6gl+BB5Fhlcd88k)H$0qSUjSB_PxjE)QH~nm`Ck1XSf1f)I{q z43@|WTcnanLNtOJj>vxunoZpOr}*zVx5~21H1-W~30Z`aamG%Odv(Qkyx#<{SkF0n z<3z4k^j?BbVCA=0WVXy62sPhM%?SGtPp=h>+a}aC_L@!Nrbmdni6hpCBG$+v-u!58 z#Op?~M~GZUhe}z<+U?GDAA}}@-(p~m=BSLLdj78^QzMxN>x`J0nEm^diX^|di4?UMPx$5EM*{}VjDn_y@id9-h8imExZ_p z0LGC+u?HRJnM)4=ZX$WNd2zLiBU^!ckvvC`N1SEJjaq@lhWT!DmI2JWaYJ~2!iI<^ zb#yVJ#MfV2+Kawx;`66>3J2lNw){@Q!mP>Ab0QFnK<8tzAYG%VM}}M&>3p7;cHlmf z7;pqV`2F^$d#w;&eAkS|BarVVIG@paA*?Mv*_hO3xO1=-m*>zfbg}{%(wJ zwQ>y*v7EmcTd-JW%sbLO0V9OJI`I_CTjyXuBSrRZ>n1n+r7Uo7 zfSkxQN(n341iR zHJF!VcFw&K@LN1tq91UVHLizGE?iN#;B&e@@~)?RDuAX7ytN@#$zn|1AIkv6ZL z-dJvBq{ef2^mt#M@{!hB^l^_{+0Q!&>prfrjx(}gFeBGo`&QmRf}5~^Swx?LN9$DJ zUe_`|2`nW<a*<_wuQV z)=liD<9t4vmbfsX#H(^GSh<^?7~;5K%(>YM{=9OXNq8K(2c(1mt2|?-kstch+iktsA!boHTvmemn-LD{L#iE}OxS*yd1TCj>L|3Wx@{ zTjJbZ@d-=s#)h~8o-H#@w_SwgjR*U!qc|-qJX#hht{i;gd~-T3u%J6N4U6%WpiK5j zp9V5}BvA`*v5O1@BA+7#K4#De{GROfafWZ*VC>}QX?RL8r7;M=$EpZIC>>PjV)x-tAF+o( z4}4-vh5d@pxqk(?a^k0NSF@g1hf5?gtGJ?(DvK`g?2_WO@SSg=BO`6}n!ZU9xhqjK zp4Z?%lOcGIz(0kmb!8nJBWdkht;0>6cKaje{{X(_K0O^)WQ)G>P%{HMY>pDV?C|G~ z40oXEI2$Y__`Nz0bWxzag;MlD`5ECA4EB_9s_!t2q4OHgerSDRBkaj_|E9kjOZ#tT;Hs5y~QU1A1=MsmAFS61oQ#08tw0_=LjubZfoy|Z_~uT1>n1zq9)_04iZgO`(p{K=U4+t}{{UY0N?wz=YDF@f z=0J1%D|rf)gGKgF;~7;bw4=ul6 zQD!`p(`A~>)TYXtw6J%&RTr~vJ9DRp*}47BK(pAXS|LIM9h&EK^w$!Qby^hd~XEod6@W99P=bYr5Odij7r8K6%*RAfK zj$26p>MgHG^nl&}(ov<{@^$W}}WE1a^YE2d@F%s}R2o z+_nfegdgAd!yI(i-$i9;Cn<8#_c0|;79;oY-}Yy{`RMDSk!UmSXiB;&NrD@2$hn9r*pMOC76+zbfi>l9Gl101! zze>`-#C&R|j!ysM!~0T_%JnHA@}{WgR{EoP`48b*6hLW*F*44=0TBn*(Av-IC}}xS zf_{t*3+?tHT;)g37Xf+M95^^0Or$=)d3=5|*wF~`_X4Lxom*0@jp$@~K*OQnFi~jJ zkqbOz0z}2J*2@e#ey=~5-d@3gEp4+8@*PE_S8sz2v$h+-tb^OWIry=5SZ92XE2NVC zDqZN+dXud-)#$~z+iX4Y!+T2vW}%5a3B-pOxOL6DdOkwqw}4a#w5&K5rqNg{znv2$ zjkutqIFvZ72ZMuN`63=k^(vk9;oKlpr*iINe0mS6YFN!8#mPbv1@qYGyn0P>Y>OZxn!h?rO_+@cZ7=|ehxp+s zV%ZpTFBD3vt`U_#f{cauBRDY5OVFm#2*n{>mSmQQ-!%|xVYucU?ynb9qDtJW{Pkjx zzRb4&zTPDJN4@!X2!g!pmx|QM!qWbKD@vVXd*%B6(t_tEQHAH=Ekt~EvL6YpqGVw! zX}97kOhBZ^sw|tIZ1KFl;g4}t_S!QhNFID1rpuUhb@B57L1usfz&W7orH%?E*|JFK z^N+Fw7<2KplsPTeRL~o5+g+m0V)!O#egKN=X*{w-o}Zd8k-#6;OX{>v zn(Zkkg^=FTpIpYMowQS&?sH%ss)XA0ikp6nCi~1KDeG)j?uj8+VHBaSg!^*#)ewsI zN{igIDLILA*j26^3yENxuAyJt#2wjt;G|sD4m&q<9heBHRmG;^7#V4ACPj` zcJ}^FNq^C+h;#Avd{q9UA{X-?Pf*Fw_5buKqXuspKGQX*fmWhhH&WR&o(`MJqVS<0 zYeCYG6k_R&fj9RKZp{sS?nQ+S48ZvQ%okrKJo2_EVEBLsTuLQT7)K@aTZKwWRh3Fi zRfT&8cy`FG1m0YjcPGxfw&$0(ZF|=9K8o{G)GpVCp8%OUek*f-DuDJhk2BTdwNa0L z6Adz%Oqi_dH|`(4G;f?G<$0{gW64OH>bi=8{hJKWps9VyyL&qCakHKCQ5z2{bzDtj zZ--xH^{!@_+E6yTs=%6S@&?jr5RN?ypPi*Y{2JB_CV0$kDCCXp&9bF@tEz~M$8j-J zrry0$f`s3q1dy>q37|IuJG=%)srlq$6ZrH!<7}1jCd>xC(M-m>A8R#c~$L+e5ijA{%eT{lwWMhai1>rhi zS!1wAX)a;ZjP=%h=T8SPD1M!Pq`^_6P1qpZ4ZJa)?;05cMifG}_{@ps#c<<~?k%Uw ziUXy$HP`CBhZ zutZiBi|r17-QfcP`Th4I)74iP{rHbz^iS7~{KFLR-!=_ZYo}Ea)K06676;@TIZB0` zhC(SAR@yrtO4=q9WyN8p_?@ByB({ek$g?Cdt=2vB>o82dE08BeSXXsy?c_|%!>5eb zmCi@4m&fkzud98SGLj5{d?vvSkk`J?Z76j^9G?;o+L12CxrIkjf3$Aev(kAmD~X$&;7oxr^&8M5;Z+m7^G)TAfjOta4Xv9hXR7jKT~ z$k<-8#aq2^i)>+~=T*X3-%n@hRuzQMROW3B2;&J2>Fy$^Vho7EBJpSWK3P5Kmco~Y=TPr;`sbJC8 z7rmCarJg|`dcjVIvC$+%%Y$du5;F0av5PP!9}JO=d3wmraxNr z2O!dd&MO6Mji0+Y)k4Hn1OlMfo*oX8|cchLVeV0giWWLq}8PFXs z5uKkV=QN};Q*NY^4p1}ZeVs6JJ6>qOoVKDa!BxeX=0>Ph_w?9hXCm4MGJLNaC+67{ z6fZ!bW_4JdeKdcc(=lQ$CsDKhO$nT7V^fwgfc#9%kN$`5p4kMBHfl>21S8$qLCpHy zvdhmU02Z8M1Ve)ZYF>0;_xqhW4Jo@s9$n?D5yT=nwzE8r7aCvSX`bY>x3GF4X0QD( zsy3x)jf_A-sRr^$7YSk_pXA+5@~WCMslIo?Wf*_qzA5?#<|()D()1G+%f^lNxO9GQ zb>I6`DSC+bXi^4t5yMC5&7YWVl!B`X^e3-a_v}ocxNf3gF`k>5ys)3;f-i|S$FHbI zHm3&lCkML|B7E7^KRb2a8g<@YTRre))(`1?h8?y)V-#A0OTzxlWp)d^DtD>chPuY1 z%6ieS1!_ON8(+r@bVP;2zurVSh;Qo#WmCMNUhET%joo0br96G(OL+?F3z70IxT@_V zhwfH+LNh~m`zFtf)+M%&sVAY4RUWxXQ9)5b#e$j!Z4G@2-L3j0lnb`Ge{qA1#kp@k zRLr?+EKFVOg&-&A(5pO#41dCgle;)Hwcn`j^cH>i)Hzfot9~>=@r9G-+%LAoe|w|B zINbC7>(F2$9}&CyIxud(E-?G|mES)RGX7rqNt%2CRxHgd|861*Qg=5{zQ_H1n~%zYy7D;hra5l;s97te_@feik_>JWg9e3$UMn7!YQecQQ#EL zov+KwaXT#%UfVuc3u^1vg*VOKluip~>%qT#e8=5g$X54ogv6BvZ7Na+XX})u=W|2-gYw6(Nq}rZQ-}L=%EfdE{us(=?KRPwnOfXfjIoCs#q0xC7=n?omdz zP3eb6*+)l1Ars6?%rGAjgU7suQhJGkN>XqNSYVbGTldKLu<{%h+SD||? z7=j>usCRtGKnbzefS;yz>kVt=$lDTy(2LK|PiE`f=_yC~%tIY4uTZR}!CyNbcXVOJ^nUyxDAFY?Ju@6}Gvm7!ns_xV z%P}snF+_c-%^V0){xU;tB~T}Eyh3wVebmZQ+FjDD>KyD;Y>Z(z*)2A0P_wF-Ix_BZZl&jw#z_x%uW24iB?*2mm5xQqQTdC~*q@rJFi5 zMJZjPBU%#%ZSE2EQxEsMZfVHLF1+(gS{nEpKWS9teC-6CofX!VytjfDnZ4~SrWeKN z5DDla?ET0dVS{k240>w$orEY0D$%A0MI=>PJe?yd(GVQ==yK^8WMUDc%NQoHK<4Ib zNwX;Ifq%~e;YwBzmvt!o-pHKZ|m_8%Zb!{qua4bf#K6(v|JTcI1s1=ox z6vOal>^Y^n0oNU;)*Z6R zCCu9cu5P5rzd1A$6p83n(*s{?xvSXmU3c1rEgD|RuYl{);tD&Igz^cn=L`8I*=4B zlX2o2)*vn?wBF4bb0Q;E=A9W$w<3iYg40c=Nr@=Y4dgoMQbS(y{$5dBQI%C6Dj^%c z955rV&602wEm89+RyR)}q~A1}#LsZ#_{w?f_zuwe4|lw)@` z*~zso=71$Pu5ig9Miq4M%W=P%zz>o5Gp2;;;&61U0&*?mP+EG_<2@?mJ8<>WTxk7Y z=HZ0;POOu~4w&gB%8RtswM=_3&PGJ|lPR}g?8tOFK~i0NRmt?4G-fj`=@^>c<7!NaE zFWF6Ws*-Ke_?$@%bi~!0t~DcN9d5vEH(mG*>UKb2+D_wXtnWVd9H4s|xVF3HoiXTF zu{+QZxNf0qSpH$C*w5})kDc`30B^pT-eHsJJ70UuXC*8IxQv9@nIU{}X&D#mG5Xtb z;Tcp)!R_fW*M~V@P5rOXFSMcPH=xV|n(fFN`W4pObDRxnFjTYFYw-P*{mZHnvfb8H znw|_WaDER$NPD=(u0$j22*6`(5upt2qbczkpvdC~1y8DcIDB}0A8d8=H(xEilAGwE zGiu&G2&DVXB50*~v=Ox(!q~SSfCT3m*9y_UFXsSzX!Dbq5tq|IJNkHwkHRf^%GVFx z11aH}Nq$)#xnmdw`Z+-Ors7;uslC-b(U^qRYRF&#!9pGNd_g(SR^H97^-eG8F01(o z(J@@|D4H;R#nI}~C6h+mSbWNCU!lkb@eIH;+(VapiGx9PsuV}Xk+5WLS z8K|JkmeOiYU+;`Gs$~|xGG3ue&hDIB;z3UKkn=NKjw)=sqhgd1)okA(bo&hu?uONm z%I;l~OnDJNSJ(_;ON3!pT#096=(0B-V|Ntx-QKcye zsx?LhW`N{kyb0~N77y?(l<5Vx$5yVqlm~=iwfs<6NZe#du6tK<;Y>kuVhy;7qjg<- z?!*fD{uJ)#H?$E6)HRxvq-fVMZ0@7F`{>P|*lmo?Yv*05YR$aCO7Wa8J16Kj2G25{ z(f1o6v4>N&2TS(=x+AV;mnK5^fclTmXLHw21-sSrT&lUx-E3<+JjLt zs!|agBD_2)jxBOD!H=jTXUnfSsIIYQWUpO3l=V_+Re#X0ei;4nd#wuQ?bEjq_n0f* zWIm|NVJ9z7;SwVCJ*0y$DC8ZE%~|sJlm~{X!ym*pMkpwsNZ{wjBk1XjVn1>fZ2Yu& zqAiWE&BDnw^Rk4ZmcmT)u>XXux9APbP(0k=+wuoALkM285ba?82nT6VzT39Frn$hF zW_F7)NO6OD9i%=&tKQ+fX469!zixAbunRNhAluk4f0xZbw1Y|Kkc!$wO5OPd7<~UQ zNSm_Ltpn2i1=$x@H;m(-Y{8mBgL}kEY?6*PoEwVZ5d9R&-MkwO`YcP5Ec@ie&Ru%^ zw1=jF31MosS@DHLICfrj`^`O(ciP7hAK4FzQj|O?Z-_?DAmb%==^brDm28dl%c3@C zhG_5#f`+h#P_avT#{)wWvEN1$M><+F3>XZ=Ne;Ix?gZ84 z5E_iTQXDIN@N;t1$cWZV6t!z?tCa^4f9|hz>*r*p*0F7Ca~wM>V0`*#FO~_@*R

    |?;pLbFYxmJMHlf-T$M*rL=5pQ z4%*NFZAFib_z4VVsd<5}Xek)6k1XYNw5r6W5THG0E9rHMa5E^va^34H+p(GF8|hF) z=P2=Dli=fI#=XfoKP9>O?*0xgfU|0-)5o;SwhO;YXB-q~RDf9?$vV^tnP#7@o(j2m zO%Q5>hz7!t6wuaShz;ylS>~+7 z)k*BJL$6M|LxCY0Db!mrLkY!I?2s>#o;21TVmiN6?8KaGnyf4?R%oOK>akB8Ous-j zd~@h2d#z_4Mn^vH$V5zD@5n?>e(P9AKpx>3FL4CyjfZPx55OzZELoR0+jN8Upo4aSCANW%Y#zAzS&<|4pZh>Q6dPRnoPmn!gcayR zIzh2op{>w@jSLr5pbLG0S;+q52lxL;Q(^qCHl4GguJspknm3_f*<`(ll})w$ zK3}X|G8|h!3KAJL@E(MS?}=@B!?u{D{)a2uT(_da@ibyXIKsy_-$XZa5cGWbiOkgT z*2fH|!{KSWO(4)E2Swp>c!*Ovx*a9B6kVIG@QCuIANG^IvJl$9s`b@%F8Vo`LtF?d z$gn7##XCHOegfV<3MTn5(HL+1=EitXEuMXaxvRmb`c1x|#6pF~?tHk)FH+IuY{bO* z$nWuUog|raRXxu9Jh9A}Bh6$vn3gC!R0J{SofZet@jOvSVRdj=-C-9iObFvG`@Msl z5Z@7+9YRLM9mU|Ac%P0qjy#TXd#lisnl7OPdOXWm3;V++n8rZWU|3N~cee(gei9Ma zJj?s#j=?$<3M@A>v47YFv=5magc&krN=**^d6RP+Vr43wyWT?haE~6A4Qb6V8EnD$ z4aa3?^9W|m!-6TAcP4>U6%i+~qSr=xRM6C5AljKCH?)MAnhSFhuu;9{RdSnR5*Wywg> zyea-(6rO%Qbt{)~^a^Y1ig>JBsw&`spi*!r94zF_R`U?vyAkdgZ&+GM^xV+hO`L#X zL{1WuDKTq2qGHV#@c1N5fY1=0pGc_fU2mBIFS*H{MhzbX{dsZQ=D-iNhhhrLC*^D% zL&R~@GE@tBvBf2h#!5Bz#=!bKJw(ZILSfHRGv)vS7JTz#Jt?YdJW*rxLhcTIFglkqjJ!NvkYqRu<92Uc6MHDW#vy#P?AT;BX^KL7z%n0c8nr{PG#791G)X@@ zy|s*z+1-PjqPeC;+MGmG7awRhStXJFB&bR{_~r?ksNCc9xp~z`Ba8Ii&fjdC=NO$X zhbc1GDPP(d?CEb3L4khBtBbD~3i*%S{(oxReGME*zJC7_I;P6fUzrv4LynvIdq|u= zhlM+ZLDC!*Z4CT~HKElU=yxI!;Wds#q@f;xqb*_w)3x*#605whIz!_0>~&-@Y`;XSaKyt0>v6_Ds1x5v}HS}axj(J z28_A)p`>^ML_hWXcj#80Ey8nTX*o_MJxSevcW_HV%5J)@iH4#R`r z>kvyMP~W0+7ugKA)phZhS5E$Nl8%(HPUe)q8unlUz2E7~Xgpb=mgD`-@HX0#K1u2KangNbhVv-8SSGtCcsPW78`1TwAQ`4hAFw` zRp6-w*~F$lgsg^^o&m4sO5>Co$TpSC6Y|35^9A}i6`~Yap(-xTBj6Pc&Dy3|Mcy6m zAc}h@%8U#v%603@rnnH=C_8@6Bday#zlJa-OqL&8e-GYcH`&kU8c(XLSF&W6a#6FL zj;25oP4Qr#DUn7hd_v9@NB;ityq_D~fzaf6;1uY*JP!>CsKafbsnhGdfU-(^je=d-P@V(-7W{R9N+TRywiXY_dZ^P0`N;m%U zuXED^^gk7p|0GrT`;hd1rtSY*s_=iquQvP#@&+<87XFUE()=I%)wdFnzu~Xu{uO@( zFC6v%;IDw`>J3i*!e3F{{}cYo77+p_DcR)UD4)X2*u=oZ0NBVZhaI2^z|;U}2-1MF z5sVJ>z<-s?5ReaDGOTG|#qsvn@86ft#Q!LtmHyIPk^JrdUv~O;rN8g=`C$g7GWaoR zpz=gDOZOiFdN7I!WELpWECW%6kqkvLlH9CmEld}(U#3vNu5rJZs12kVit9gidST_1 z$<%K8CC}yigLN@5L9iwmg#82p+A!K(o_wfJ&^k&VG$luvavv6=hK5FfkVr?U2cyW< zQn?u;DtW_HwMOBu)EHgK7+I1c$d5bSaXnTW=J5*4d8TtsA%-;x><+2egPU=1LIyjn zq5Zj)ctwp7ntebVw3u1y7CZtO)L0O=rtv!4t=en^KoDM^pWL4Q)I>?+W8yqAwLF;W z*sC;_l`buMcLA$y@KY5ONqsi_Cq_S&7(jntXc(CvZacowK>qD_jY4AR$$4)V!dM%vG4w)9E&Xk7q_WP`hNZB%MWQt)N zC$TZI78BntH5W8ct~vBElISse&ci3`Z3Em)KKvuE-)!#t2kCP}`22}x5$rpijo|C= zYQTBTN^>(c{e6R3!(iiRTh>fls-f~>E$h*XLIdwWeLTX6&!i*qo#TI;ksce%eBmGR zE-Xy3Z_Jb@4`ux_J^eN@Z|i+j$}bj4o9R4Vl0>HxwGzwL-IO96<*nxCw`U7-;XqkS z`<2~+K0(4Z-ad|V+BzT}I4+n$S(a zX}cBorK$Ycn)-QBp}V&J>5k5k*=i6TAEg7TR|4k;%hli1V%HXjIDhSQum8By|IbR9 z>Ax#wC0)BOBxQ#5TnnSElF*oVZi9b=bA}S}fHo4chKf+#H%e7Jw{{MU!<8qR<&R1nOXWZWW7^g z1k1#jvdoI=UL>eW@tJMfG5G0`U0-0o_!H2bpifN?( zA8~IP+}M_^X_uLqnVFfHnVIb}Gc(A{E;F;s%*@P;Rc6LAGrPv;bl>SSefv9i=GV6) zq>y$fLLtSq2+N)f(oTVXfr;3Iu|gbtD}@n|8&E!L_1lk?w%@$^bD!JP(WW zI|*Z47e<&lNsq+o{kI6BHSOJH5TP9Mr!q>)8q`sj7k45xKVvcsGnV?317@_C%MGiq zHEDGl20&cs{nDFo%PIerIW^=O?a{R)j_yS(#qt-nv<3D+Gzg=@f`>;p&D>fq^*Mt| zv&?Hf*L8QG=Y~pBXO~KnzyRiQQIj;1hr%gZ<3|8K^5|@1Cj+JHj3U@SNTKr|0I8S@*m|7Z^OD& zl0Uus(%<_3zhGs5-R=Hi1f~faf9SG?nB#DS&KAKP5I`p>J3ucg(hEtFaw-?SX-bES z+Lzn%mZ5W5TX)5dnmC3Gf+6&NfLvSjbb>C1#2zYIZr0SQW2z+|b_RthNAlsqeGa8@Pp!$+=TP<*Usp)Sff|9U`I zVj?*7%HF35(;f+DUn)qnA!VtOLC!QDU?sYHi@8L&q%}>ok^K!>xzMV3EKtbyobNmF zP+m8<2&`iP6J>pTn$fqRbLF5r3VBzSYM?Nss1Jx(5zs-(8_8ZZr%w%@=_ZwvAImv?oT)4BGZRsywZ?N#Potaih?S+)T z9NRS6aw{t;eV4y9fS9(zpxew3Cr=3j){Rvrhf0 z6`c4+?=5pYAZMT>W+FH}l|f>eeT|Z)_06h!USR&Ppd5McB(zWK8Ti{11kt}@i+@hD z{*&hZzX_t?6-dngX+2Q?+j{ae|FoX<{~kUeYpqf=w34zhG)Xeh)X~Pr3t>k=F_J~Y z0uze8a7B2YynIM$m5m9?4D|_rCrjsgp^RL?#KOc%3rNE{{wj_x4vr44ju-`ilVoP9 z1^F|48eiYwPWt=-Q-5nd^#A&aYIe@94i2AM5T;-M038$@Or4DWm;&-|<3K{D@Gc}J0U!cvQw2vdR203HqNJLwHto(|dm1Rf`{;_Nio1dy>ZsuCYyrWdpe5PBp zlIS;QRiRgXs8&ng6EDd8=&G6NO*8ATlXF^sm(QG8#}FAd52~kiNnT^EBJE5k(r!V5 ze1bT?zBKN2Z=c}s@ftvY;mi8WQ;$uUK7oB(J(JwpEWtC2!x2pKBbbSBZ}cv}z55#I z)d@MK+Rfta07I63MGF2W?j_J4%Oo~poAdaO|L@b;{@;b5pV0One)d15aYIt9RTcz4 z8CTnh2jhH7ccg1&v|r!JbUOn$peeP%EXB^ESwgYNC*e1`8#m zc!!lEYjH;sIn_Z6hNQIwRV`UHih1knB`K$oQVyXeqQQn~eUUO3^z;Pj**2<*WkB2q zY`($(OUT$)nr$}T(pV=qnXWmOfF&F#q;wdyn#G_`r=^^1A|K;(H9EN@v(vBEzyf5) z8ly+1rd$Wgj!#`PBDm$EIQyfJYvg2VGIK4t*?!lV*|ZhgvhC)OKwQpKr!U0&qq(?7MiX|$%abmASJ0cDhhTq461=8;*R6h30 z1=-$gL2#<>XHSVnDq(Y^_I_aH3MIIpv)v*ersIt~YDf6Kw5wP~Q!?Pbl0$ z8*~|C%-UYm&mN59Fuc2#kB0mh;5|MiN+5KuF%4)zzVsox_HQ3(bG5U2=~n06Cvv#w@+Do z+yVQJfCcvPr?t_%@;KmqI^@;AO%MM?4nfUM<-a_Zti8Fpsnfr?D%ZF^D`2Ki6yMRc zrCL2?-gzabGSKr&6o#dOHG@u94Yu$gxy&+}K?OyA7{4Habe5#05M!7>vwye1z~`vt zZXn1zP2xrn!S)#JgPd;FrZ}viwXdlCAQ%?=F|mP7~9<0vr1sx;D;* zqUzkXi^~wZlj?S422El3hvXHJ{q4%tDiujC46n(w(za8hC2JOhck`sADy&%&)nF<2nVv6Istw;29kw|atuc7U2>{HFH< zv!8g2U4j4bQI3o1JbxUKh5yz^{UuEL>sIzp`1Q{zVgF9wSKQYZL*+t2(Sf2TD8td4 z2CZ!yJCt1uc$yG1UH21g$1!)akkzwyEwfxYPp7jSO-^452>1grUQx#~<_sbBJ6k>! zF%f$p^~nI1ocd_*U^axS^eKPP02h#dqm^4%$T{%Rv*vAJQ%3?W6Cbg0oAj$wsZ!T| zA6Hu7jn5AVP-k9Kwn{p1lNrww%u`FG7FDB`K`XXW{Tvs@mK>?9mK>>swiMec*vN!m zKCq$~=V9ZoZ#daqt2gs@6VLs&>WFu#NSIg=x1PQu8@=jR_DSDE{2P6rXlTUO9mGb<90_$# z^b>uE6Gp&^B7)fq>+|pKqY+SyN)gl~{Pgh&>b%&?+)s+Lk6+-Q{$~IB1n)U8;x6^* z(>WG*OVib)=?QZq#b}O$U`Y@Ue}dpRb=)C9cz1>i#D|w9vcPc!>6d5^&%<0@FK^+U zb)WR!W8`m-K}xdvk0`s@k1ryWN|2(qDo{{1 zOG50;8?_q+zZYe`f%4sfyeQ3OD=`m-@ws2L9p$t+KfWH_!UV(?V)jeY5e7E~@BzId z;fj7UQam*mFIoJR1Y2mvSPK*Sz2KDBt9Y+TcI`;$#!yt=pFm_~;t*$%$03F2*aC0J z{m|G&^~&j?;Mz|kJFzA6q?SOJhV?BYWhaZfL_FWE;bd+y9AL({=C>>FLLI!TSs7`% z$kyZI*53cj7uG`McO`zhg`B@VCldZE1pH^7{J-~9*e1;UagZABam?a$MAw$I%=gbj z{m1m*C{9HHYFPoYkS=GN8PT89f0Md}!GHm)kAlER_p=b&`G^hb8LU7$IV;)kemUtI z@9#%vxPmCI81Qy#iv68YV6@l`UngxL+;J-rrP~XY83@C(TO5C=b{*bcIYcR15)8GI zG(~FODh^2t5X(NNik&h*J-A&q?T6r@O_;BI=FXg-fRd+Nn zgzN6*1~u|i%^caXD@I`6_z?m7*w*h)T!1#hymAq0Nv+Z!EoFG+sCG`gzKYk}dk~|H zRBnVi#(K{l81U%mWfOPvBQy|>q%;PKewDzAJ?P7Xk0Cq(;ZD0{N*By6>T`wg)f;r2 zy5TiU>%Bpq*B(XXC6{xuZ3N$O+Bs^mmg!vui0}6gkRQSXA@S>MFn36%FTvKlEw_u{ z)o_NnCZBP>9V+&b?{easx$4OTr~u3MPfoMU8)rYq6M-j<);n9+9>5CF#dS1~@XEF? zD#Z#4r;Ara6XS>p42L7f&nvP+ozKBee=d)tQen)w&*ky=NDqh|z%Z zK|Mh8H*ibjVCxG^qS5+0*R&_NtKg_FFH8(G*d#`psPMv*T^a*bdzkM%#FTJ;3H$NZUo;!Oc z3c!MRJU6Jht%!P%#HP zK>bOdQ2M+<{mGwT`V62pDcA@UFYOm!dHTZepGFbXt`HF37GQqgh`@a|%KavKszKln z{q2eMgk{1tYD5p*l6s(=8CIS)f*?03vrTe^vX24@iUJ7@2@MqqNnW6?er81GrwMKE zwL)s6MJ0qK)E1`pWJH!JyIQi}Agz92wB)B5lWERY`el=xP6f&esu)R@B29=f(Gb1Q z60`&b6w(X@bnJ=?)EVk9UuDJT*VJP@w*Yo?KzK-_TUQv}P3;gYxl3teWrg;Pyc{wK zZ1A@NNo{A<=#lT7K?T(pLvS*}(l(NpKa`BW^@&cevKFm*aJ_RThhTaTJ66$A!xJuz z^nQmwfwfwLNXqBds@g*_FP5_(e6H^|v;yZ^8>dXciIYOE-j4}yUnV`Z$m6K4U@FYS zKw;A&5YqQo-C!vdCvB8Sg&?yFQ5eA+b}v`T%AHwahr552!M0mrBo{(=b8m*1iQJ?g zag`#HFE`3AFD`-1GwK#nlk%vgcEzFk5SxSg`kG;+6dTD?V398nyjPEz%plm0mevKv zQLik%Ne%uT@SwL_tBHY@4B);Ctb}Dv2tk7PeqRvgN9nX0T0n9V0bK5{3M?-PvWIO;WVrtEYcVaRf=y z@3qY6+I?}>cxe56NBF_7OjpYBjE66!ncQd^cT$}}N^^)3ijiW-Z4R3?CKIoO^WMo$~~1y1mpDXrV56w~v95s?vx ziP1)~`!$qW))zHNwxbrqHmPH#u-ZymF0mrFptDbRjx`0NjLaIQk}dNx6NypCF=fl{ z`Ps*oJ4%Pv&Uw9>4$%%g8*|bQ?Xh6cYu0{Qpj!gO@P>9@Ac<{Joj5I%cYhm{!P|`} z)lt4DWt$^PCa$v(@+~$MVUHieN?s;Q`4%ijCzqdM%C=aY4=C!S8Bn_AzHzS*(blv| zd{!Hvm@0u03>ewA0uncp4G?-t`U2gDw0pA113LiB3mpdP0a}e=hycq{%JrNXP^6Dc z2&)NFuJA&OC>ZDm1a6U^4a(d{AGiUk1f0BW+$tJyTk4LcOVtbKz(=0s3b3uOmIu(0 z!GIjrXC^->(uS6ZN3vD~1!Wp1kSC;(#ks$TtJO3WjXrb%pOtiNLbrG>Gp|Eh_uk?w zhcXQiHysuZ4y3@?fQ|V9*&%PEw_=NAe5+ zH80MPr*8%F%C%J@z#A&3@GFlH(4D3CeU}dzAX`KqzkmqIZ98$s4nke-i2YibSxYn| zY07b|IjSG?1#v+E_VQwGkP$zWI5SAXVeN^Vx@l89JGAkcoqDWy7|A5O`#c&MRVjzxmlDcphdIRqr%yb=XT+F z0Kf1i{0-?$;EUZEkPSj?kbnp7jdvYMbU)}BhGLHcYGfdaxgI+-N|4y55+!`QL_X;; zc8C<{cTiJMdSDJAE+KtJPIFvpaibfe?tQTEiabO|8hR|Duu(;@)PC4jV6%ZGMuO@f zv04P|Z6i*Q2?>-=DC-?M)(+_DK-=R%{Z>Tt4yB)Bv|$&>XIi*#deEsv^Tp+Lrpt%m zalOG3RR_16D#CB9x;a9Pqg3rM*U+u=E=%B}L;e9}edFc7lpi@|q0|#J%15b|_LG!d zri%96O7gIKF`pQL!H!>%@BF}Cy1~1089TvS0#oyCY1p1#VYx{+x+4&{&kEo56O|g5 zsibLiGnE<#sl4EJ%~>Mlog($)Jn%m2>Jk!dwb`hZxIyDV+ox$f;7ehnGT*~s$h8QyiU;50v;WJ)1tlEXkF;z`Ca>;cdT$@>AtQ=gJ zN8=NWe!WB9q2t$WT|7_WT5!Z6&Bkzv>1!;K^Xu*+IUZBmKWo{o+vHtapt%f&juh6a zMaDbs&-I2aCJ25TU@Y`F#GI7=MZHJGAIlPFEQ&9I!3(soErr`PuxihYZQ_vOOrt=u znNH+j;?S;ghc(ziYt18TZJyth{I!ZEJT&Dy4(_PomAm83j|(}O@@`V|W9yRq5G zlpFVj>Eac%6Z}`%mPXC4NRO6-D6QgSP-MO@_>V#kC32o{*D^oE$1-s3;Oyql8xQds z5B2taxC+_qN`5^e_9SSzwyE5|mcD@O`>+-Maw_?Szy#G}Mo!Xw;o<$Qh3js1@6Pw| z>D}x#V1?hJ86o|Az=HU5%G-SwF+`6vZq@*=o!hj@x*^YzBsN5kuQF%S;IOGX>Oz`* zs$LY2v&m^iC&BS$uxI!Clg=;#>}NOQ8~2ZEcdn0zoy#vDqdX(W7ZV}|U~&Cf@88@J zFSx%dI&--ONloo7nv%2Ss$@tlIZ_)d!*DhY6`?y>WlJqNTZKr`?JnvjYEPDBh=du9 zIcl!*z)wWt3>$L&*g!Lxm4w*mtUMcv`UTHIRx!L29mDCq)WEBzG3AXrJqh?4N~svJ zfo);f?ORocY0AoecS)2kkqimIFQ6as=p#GkYx&=@;S#S<3RALSN*Zn}Sv6yNC@Pt2 zhiO3)gQ8Ij>&^3LXIG>v^Hi?kM@@|oH9@7*K+$ZzNb~^GbG??|iVAKh8*N>6QDnt^ zm@D2^S)Vt;6-<*2Qpp9vpIp?R6*D>mpQb8rP8aso2JKCgx4w}Ri1?6K_;_c$J%?{i zQ*XtS*AV$pRJ;wdK6CCiXdQ5TA3N2Q$YH4%hN7|6o@^}3TPtKa#tv&>B0#7vp& zJCT0>@Jfyqe>ZR6IDcjKG*9tV#*Im5!-B?yT<*8-RRu?@X$phnu_pa#PNMTwd3g^o zzm%1Dt`g&|3apl4dDCArRaxmHrdRn&ozIjuT+ljtaed8SX`!Dcy!le9;ND-TwsAI6dC^&doQS!gY+s@g#Cw5cUm|(|I;zZ zh0I+KQ;v#6E2(pdRFAWQcenSQrdGOEl2)2l3Qgo9P|Lo0Loo+| zNN(P5OID}|*ObAskk-M5Ry;C!c>3QPbb@<-P?IE^+0e4EgV6+%eH0Mea+Bv<74GAv z;_7pTwe1=vC}wii%0%e$*Cl6XWa<-&*3Z}+%{n=G>o%n|I8WOO4_qD8$B%THQuo*+ z{bJ@?(`Mk?Xo6llyg>3trcJUrtVI=@>f);yr67B*%xL1ZPi3$nK&N%iMbv4st@y^x z93@sEdEDhQy8ZoENPKqG2Km>P-x2xbiB`Rs+!8C;ZnPqKi|_cLGfrcsjk?#7=>vS}dQN3< zw@)P)j7TUsPg#)&5*ip7SrjZj^$ew&8E(+y83l=3gw9tG#aEQaSD3?BT)?*v(RT>b zcL3CP1opib_$TrU)3zJSXgp`=UJasmOh#08;XK*9aJV1Iq^n3))Z96CvW=)gR;tEW z*xhE=K4U0fNVq=cHEO>X(FIlTU4Lnb;&+T;-Vo_7hXIw+S(v>XVMPW~-jD!&NiXOk z4DzR(7m7P#&2ANu>*{Qk9xVkc)LcsiOVnIX1#8sYh#3pi-1M2xdu219_u6JY?~QFO zQt~$#%u=qNK-6j;(&>i1fn1TK6Wsn2&n9&WhaCA#6|w$p{vGu%`G9}uxcoDToM@}C zpols$V`?(QY#13(I*Llbu1Z~MQNWb0m&zKuSxg7w+kon3V%6++GB6l-K!alN1oWmj z>}+kCit}>#@S7*@ap&#h_7>xdMQx2RlpETz$~G5J)5eYVrQFPU52>ImFpL)}c^N@5 zpMP2%a_D5F{%e-1=uISw7-+lfL=@xyY^ORirZEY{6k4+8Y^;JF_&m&z-AmtX03WIONGi#_K($a!0rEVaouF;$9e+2M7?8 z{{BWBQYsiN*}TnTKX?|p@J8YZu-8m81t8w4gS40es6=Bmj`3ZUA5b17OT>OhQ3BT$ zwP24>wED6!?-+t{;)VW2O5X+;$A(QrZF*x|CXI7B{JKGab$A<6zV|8K7995?^t{`X zaXnK1Gy;FRXBgm4GD0^{4e7yBRGfi&fwoecy)CT>)EDfcJSJOY6EYC-yjnqzMd?OuDhF_5>l-sG#5%@3e` zZ~hE~XTzh~pn-cx?5C~$#L!drgpn&zLWTGAziC}~}q1Qi%*=G)sl!QvanTIN~+q`WwI**}Ja`qElqVFe@E z1w=7ZGBbi?!vf0+!m>sH;sJQ0%D^{5#5UTiYgm{Zz*^C;xPl2Vw7-BKpOioDEq;hLvj8r=L5R2LpDB5kM(cMJbz)H|7a%qeEc^O@;@-o z7Jp@)&w&ew>}XAcnM|cu%jO1?9qo4$FlAi;VL=J*UFTcVV#1@DU`kA}I z4-}F?r~k^i1h96tG#eQlY6j|yxyTr71VERkFH5gNn;|Bgd3;I!6JlxB#g*6Huvo0hm4wx_ zUaLV976G0j6p{`Ow|zhZL>xKdw`BDrMM%%edFI6O#5E0;d5BK6cJnstlIA30pPIF* zrY+p}-;M?`STdwfRu(?_U;2&u*e1}!B@Sznndtjhr97U%7LVyEvDZS6k~5uyHA2Xa zp=!8S|6ra?KAGn`Hr`F<&YvO5p^a*CDq=#bf&n?Ze=^VO#QE>UU7yS|z+w_-Z4J=r zns;;y5`p&`A%F=}>!W*q!A4p`M02?WR-L5(jk-f=9>&StOBaf&owYVrT@RYddj`>i za_%tKfZl5K)v(3dj<9s1T0L~;+eUA0yDrs{JCmM%7%`TU3k1*r1#ca_9=!i)F>p(Mkc#9CSbo^FxeZ^guIUX&|B`*YEt(iKDRvpL|;o*@v0h1vg^dH&0~|1XgaF*{e=KL{3k zr+@BG%Ac)6N{DQrG;Y9w$Fu-VbQL;IP4vqr+(SCj;NKmKU1Zs>@bp zXtLDNf4fyxz=xu3dZlje>a_z4>a#->NAOY>+XPSybco&;;XHy3vH;T6^Freb3w%f7 zOjA@5NA11Gqb{JnTHpHu6{6i036`BxJu~9BGW+bd^0O>RikMbpLgxh@An65<$W*&k|Ec=?XdhtRP(}Xc-q_O#UHyg6Ra#`v@C_(|FgvxG+Z z`!XR^omh$Ge8Iby8pBk1hU)9n&7i6~(gGzj#{g##4CApUli49=)f~)=?jFnF$X6^= z?I=aV)a{W;-9aB{0Ym*un^Vm6lJm1;-zyEZhES;Mz zAD`}%`TrLB`Yeb2KS7hRd4o>>|3Y7~-vieFXXuOcFCpB&nEC%u^-I*TUts*~tdd_S zmYbqK>o>({j$RB;~xR%9N4{j;Wcq*c1Tzs ziD#BQW%Wu>T3R%1ZDXMfS>6eTU1E$B&G5<;672BeYcVMP%t5Hgm^md(OktK@Kmes2 zL*j8OXDDIFZ-)3q-l_GK`NwF})EBO-=~-U_IYTvlfJ$6!k+XtRxHrl*#y-TZ#`uN7x9s`BQhp{An|pD4irR3011Heq z0QP}K|H>XXW7r($y;vBj_`?HXi1!r_o(IR6ZauF#BvX?eyM6JFfEMT<#sC8j#QO(B zO!v3OfcTe{V&wk6OMf0ZLr6JIrZOp?%0MPO5qpDey-M^FZ480u%c2q418x@%ostZ( z$j?%|d^}9%0m4!+RH&2(7RT96Xu!+xQZmvqp8{(TirUb8d32~2mN0aDmcO<5J})WM z*M%OQhR=(oq2V>>WqXiBlvU!+!xG{>V`mAu*BZ9R=%!`;74K2s+#_h`QGZp+{0 zkxF_{4ei0RKQfE}ZGh=7oigzddbbKRn5N#rTp8tPOn~1^UpN za#?em{iB`Qh+Sf6(dbPzT-AHIS)&AUXgO2KwAo^4n%!5}fy#uIJ5 zj?9;2N!1m!5Z6t@VG?OpC!p~D(38x%hy$dnX++hf*=~&!jYCniDsy;V#5u2&x_}BX zyUI?!g0j;WFZVL9ma@uwLCF}L__P# zku)lL>J~DBwv2^30JJs4?UXdL<2s8l7l=z_81=h-Oqv1E>2SpaDvQSQx(V*3Z_uZ! zhR9hkabYA8x^Eeh#EDDPC1KxpMlYyoGpJ^Hd)LafDBN@L&*cw{^_&Lht>HDjN=Qd^ z&doBIH%@5j?HD)C7}vGQopaGU$S>OqotCw;%SgL?Voa9qB)`kaW$>_f*+g2>O)@oQ zkI{P)QhPZu(x8;_PH#W#@1lz#SG4o&P)^3DHqMx57UBz7}S{HflU%y69nTpKZ-$!OAFUYP1$7Qz!ru_#g4BA;#Ls z)~B)}(PUJ$jE(DB+sbLV6juWC zd{`yJd{|{id{GPa_#;_hnQC&q5$1ph_yiGNjxq5b=Dy(dqNV@F>P4P;mHfU@is5Mh z%>OH&k3aGX*cMz| zmot&hhB0-si0oz8F^i0C9r^TJk3hpUWQf*N_vF-Ayo+q;!C<>^&7&swyUuz6R3_3C zq^jbn#Ae9p)ML0#{qw-JJO`V!6HaaCsa*cYg-#uiFUqxkD6lrb;tN=1L>Y ziP`DdzS>wLz5#Ik*46Z-GIM#Y+-8NS^Pj&=%d~!>;D}L(q$;>9u;?l`R6sRTus=&u zbTYEP4x-ngxhL!9&4h~{xr`X&MY4aRri04N9Nzavdmdyj3SXYC6*;?$Xuz5_;!NG) z1rIU85D6!m%mY5A^qrD$XW|{BdPIe=zg{%-u=J%CGJp6vlg;PuX85o!cF*Y?WzI&Q z&gSmtOIXWV`}L%A_@ygylc3C=53wdU61b9p5#F#^;p}ks>}VfODa|^>l)opInKyA- zwK#CKIM{0KskHHT8|QuPDW69v`6404T~-aRU-i(OSUXL=??yXve>{$5B2GZiHFZV( z=2x7A`NPagW(b_jMC!uYG3y*ht;Jv1H$9rqu6uH+y{t&JD7R;WK0I~3^0bX8QD=k3 zJaxel!m5tCGt#&Unyvn3^bDqT3F$HKKX;kZ{1;6I6L4|ikFwinTlF?;nh2bd$t!X_ ziQ>jQPsf$@lNeE_V-qA_l%>7#mwfxfJp8;` zb({(%iJM5b^3}^|+yPk)a@@)L%*7P}SLYvlJ_+VkZ4=Y!EE+e0>BgFN{Iq#Yw_UXH zo>FI7OIsP9dhlrwbB(7f&#`$W>jBw1=Zrgss;=8M2>)PgnEIY}6E|4L9$ zH^6E)!PIsq)@#-pWC4?+KDQ>bcS0zaA2;XHo?*7Y#u`^$GUP%~C8%Uo{PTp?D`-^= z&$g(l5$<<~1&JDC$*LaL!QQC38FOC{Cpa%`AFfG}5Earyk3p-8Pygr;baJ ziVMM&bo;o=nl^R6z?`W6`BOXfPsXJe>lKl`6(QHNJnB|U7q5xEyimk=gFoh!AmRdq=9awN6xbj*r$lB0yOzK8bIzOmG%j$X}+~nWUO1{ z=k&%D_{@TH2UVigxsO#MZ2u%_ZZr6~V3V`&OoKUXCp-}tan_o7kwl^UeHbhAr zN>X>=6s1}Of2nZAlsaKM(rj~EijIfS`tfm&waSGmWnFA0vO4OBy)(*jAIv(i4m{_I zhbK(LCObXhIm@=9c!1c*N5-&J(NMZ%xM5t8TVp&QT%ZRUuoy!7t&XBI5K*~Sm`;DS z3Hl!O9wNfZWt*sBXOEbJ3w*>*gKs_Q%-{CFjy<4M`tY+K5c1R(YB8=v?Hf?6E{jvJ zFyCHo8c8rR8HN;(;?T!oiJaYkeE!34VGgrkAzQ~2^{EXPfKvcoa%|E|lG21%+_Yd= z#j6#fD^}hBmy9QU*d!^#QpUbjQZ+wq@1(U*1 zoL)epc?a31Coz`Hc7V2xQ593q1~!jvGk?%{NT+$r&S@}i88cD#g14ROTv6>wV`Xq<}xgT_fqqa3k)Kc z3w~OJ`LoQJ$GSX?HNLxTLLDR`xxGK+9w<-VLIZDPPTxq@4&nU;9!7pkbK(x+I zT4MpyGZ8@pLnIZk%tP^nPa9g}Fg4W~5*astK9aCVD}~2iwvxbYq3lfhJyW%?sQ+_r zwQ{B$HDTEjIh%sAjGR?>ky*wfu#_C;r6)KA+uBY5XEQ(J>Ve}ZZPr@wr&*y-MFcK%%K?b@lmnQ zR}>`b4{*%C8Yfl8r;*hF^<-=K$))(f zK4o{_SU2qN^^V+(x0sLRBD~q@KgZH~;yrojKf7w(Ky5$XjMvO0a0Dpqf7T(%w!*k? zNDT(^a_Z-cm!xsl@p0Zm1{NcH&Q^hs4>c||N1_yT!yDVeJ9mxu56C35W#YLZ;^Vej zRORdSqB3z!wRNTF`w;p|POvgLb@Wy1+I)xK;jdH66gvYk78_dMpuax_-_bG3l1;bB zWS*zriD-fI-I2W1akb!+&>ud3HXh-S&q$=d0%WqK(?0- zw-ktvmh)E8A`JmI+NmDP;KFS)i*N13Q_$5v`@&18$w{3x@FFl;c##SDNc1Iw*3 zml?xzp(6!h*V#*x)(U==CI%NzP0%9*~;>sX*-u@apM$Fu{2>|gE#m?{<6R!u9SM`zfdk+Txh`WU05Ae30fqls8+69cfpcH`x&lJ~ zkO!f?k}vy!=)F9Ie=UfU{zB>a5la4IiyhuG2_ot>IfVaMOR<~?n&Z?^a<3#w($7Nw zKs)vptC>r332U_f?CZj%8soO&%|p!R62pUo5sGc3N+09qhB<+kyFFOfasdS5~L39pC%agaW;+xDRRL|5*>xyVltfEh?!@+&R? z1LRv`Zz^av@f8E`Z}KYwU;~t=&|ZJNGaY8w_s8roy!`;tX-4U+j@JEM=Ag=@9YsR? zST~!9@@_kDX&K5Qah#xTP1zWxQEQDtAcI;m)RU-|k@)YaLfzulr%{>VmK(o{yYay* zVO-fmMe14^P`9>W9?B9NNIXCXELUltr9g!$88AoMnAvt8EC{O$h_H(vDu}Qr=V$%c z+Np2{jG7aLWHPqD*?mNv;sf7WLl^a%>ox9hBG4}92CPfutossQV4U}(7K z$)2hk^wiKF!`v@6*{+tq?3ISwi`z-TZBL$Yo{0g6ceI<#B?}Q$D?>jHVaZBO_Sl(> z3^(mq_(+$VOKK1f8r~pz$mNLrXw(!X%r9cs>~C0y_#n{QX*DSq$9 z@vh}4W^8Fb$#Gvy{6gVyRi>BjluHcSPb(NJc4i%Uv51JD*o#@;7-Dhiv|?(O_9%Wf z&Ia#A1ClWncv-J4I9s)8iwTQ|6Z-_Y3>fUbXvo2Q%w@>1%{~0?3xQxliLtr5cdfY{ zVsme{Xe2jrNBUuBQyZOA6!Xjk(J<Zn=rqQ>en8 z{>30tUeD-v`Gg$GK9KQq#hayq^&-Ny%V`_A+al}YDE&N@7p`eqlIH&#IAC5 zBAN634twK>eIUlN@|1OyOd^Y8rtgPwMZ*3aS+iCm2^cw>l$E8^D(GBVnz7Y5?D=le z!6PT4B@5YyqGjH)f#c|0J=PC{!Z&-k*_(t_(nZz%SkURW#M{>Bz6ATlSeftosqSflTY01`jI>?BW=q{kxks##;&ab9U*TQk-lit z?R-WumRT~&p3v%khCH@rnRSkjR%gGy8x@ID&}V4jZurd_dlZc#l;Y_nx%!+B8tmO==m>1`0(|Lsn*juD@ zSSwj+e8p1LUs+{LB>0u2C!O?VEyBRi*4SChHV1RkEGw`@V$j9&StH`*MWUOX{o)4T z3p!XrBso0C{UW~4GsidkJ-5T#mMG4d_8T8QQAo4H-44nMJ>I2#t4w1X*Wy#>Ehgrl4tn@40I-CT5=PPgAVo0!F-J9)@3M?|nWO?=z+v?y1dL87EIgRr$NDlDMSlJ6ye@F;;g^Wh__|{7V z+(QK8FSE@AJoBl>=Ht^*$Niog_xT+F3A7wQ)YtEg^RH4XxjSm$Z0*}Gij@q=LQ+kc z#LMVPh5TM;m^Jv(f-QL(Chzz%~IbjCfcx`RoIvAxFI^m|JW+9?3>s!2UrBjQmoW z+JQn%pHt=V&reQ=w1*lCB2Gnq#X^Q^oL55=A&`|*zr*P+DQw}OK4J1JZj*T6SyM?| zi0Wl+3&{RZ=c%(p)-Q`RD*I;W2Z^ulK|iD7!4Cii4grmXL`9(_VvH4}0x;(s?H)ka zY$vDTz6!4i@0{mTD+$wAJ6&Hx=MoC<>fX<#h*n2H=MGZrMFuCn>;eq+Bse=)F|Z|7 z{h1O|56v)*TuM=_i#47D8^^%QfyYML`b+Y*H2Lk=^`}ahG3_HI5~k9IhDxY9Llrby zQ-!rzhNFvpm1S085>^vCf|8kB7Ge2Sb{ZfTk<#Q0;Q?O2&QOyijJdtQz%WuKRYm~H z+wyiXK*Y}a{%LhVr}9$K@Y|dqC_*~0!2k!ePgFomAqzWNj`A|=uO&py;E^OeF+(N* z8K~6?Y{=Bt22^zkLw0~?>)HzNr=;y95o2nvFtE(Z9JJ1GtkQDbqK^&4N~@3s>0C&pM$8Y-Ev}-ze(j9+r((JWiOT9muZm(~X%wD& zWbs}s%s-=Tiv2~X9SHX_)hcs& zm401W=+4iR<+$zresoq=C1foSkei6R`#{y{MGHU4RXeCMI?wjSos*-H?sRW>^PQs{ zCv&g{*!a*)LXzfMTh`^3i%%L=atMUSAr8}9$_Lo1`AnqQ7 zy7-D(JftGgtudJ{?Ok5|Dq7+q9*__7E6G0i0@R>x1Y$Nq2u%=dA7TYFG*%H#Ky^2j z9Nc3ChyAV)={@UGrTD-k>bc{&yao0R1yWx;Ux~IdHGDVyzIC--yes~%#?AyBs`mZk zlfAJ-ya+YMF1yOUgof<9qA1H)vSrV%vNo1#vXqnwHAoR^RM@D9i6Ldp3mIR{cLBmBI~=c|}BUFwh*nKO07 zkH_&kDH_GkU;uF~HKylfi}3x8nWfkKj{V-0ax}&oGYn7vP-Dva<>PR^?RC$|wJ-QGzU;Wij#%FKK~WKBKvWk}t6xVsJME3HDv!07;zxIy1%|wx(glTY5f>hri(oDV zzEyV$=*WBF*jqZVGkHbU*}XRdsvmR_O}5%hWAT=Z$osUh`z|z-qTU_1v85>flt`F**meue15N`) zqEE$fuN-amimKy8gwF@Yat2Py@SQ~@T;@Sd=a)%nxgOD>tEDp-l+Nn-GCrlrBqQX! zpGt3#>kMN<7hi)i6Kd{mg)TW+ zu(8Z$3$E$!6{Txok@|YQm)&0q>ks2!!t0(i2Srj3wmQ^#SVrL7os+z^ZzL_A_<3`C z%b>^vg8!4#^-?C$>oI~qgRh^y9@*egRrXU*VSgU|CHJ2ab|$`pXQS_=2-XhBKgNYg zOqV3bQ=@Hp3>9w%po|-ePQ&RgDzX#04mHVqc0(xfU^^;!((rpyndss!p7!g zY(&OlF2%u-1+ zYf3*pW^*?_v~JvlqG6kF3T%rM-y{8RcDaa~-<8W?PjE?o>B!D`Cz}p~ zWnRC7rbT+5o*jH~3d_SoI#wytI(FZDvgBROuXV6G45?TgsLJ=aC}^CZ{hSfk!^G5P zlm&lruG(U^zW{RhRt2n0v^cJ7_Zgpr4Hduzyyei>mx(1+RUP9rssS1D$}9-+7%m)O=J=~&wse-R9pDv64!1x85I=(_$&cmX0P9B2$_3vnx_iZoEM?0njLM!yu zyW!qvO~fI0435>yc%PTDVC}pZCg+&RGh?4xT=1C_(~qp>uVgh*f!tw=S_z#Q4Y_$) zU)o$g=afl(4@6~Evh{MOF8xi^E-X`fXxqVmvh)r-^jxkoF`y|-mNwLvhMnzg)x^<{ zF0;ppUapsD?4a&RJ6)Zr9Ur)hCINoW52V0yvJi$IhHwi^}m_ z=3fo5YsfcZ_YW2l%B1LeirX+eFg}-^^z0 zal<8;fBk@6W3*Z~wOSY6`xBaOy2~;&UjHkn9_~!6%T=xT4vbkwu0@99eAD;W{7{jpy zxYFv#Sijw5QREd1d*!#@eL9A1p0Fm-*=s|3ZhHjKJ~5I8j*kW6%s=zs4Fp+l_a#;G zU4y~fCGb1zO=*6>~e0i{X9i0c-B(RxlabxXsT z?eTJ_i{3C~*|2CT*I;H|Q zo!5S4qUaE|?2xxQ_|9{B_-ba6=p@E>_V&4ZJmUKXB>_pJIC<4L`Go#qu>ec4PZnaI zEX5ct#278bP?GQL68){9NIxhtDWzfhDXl`Ad~kA#zZbL%&vAn;TQO#RloNd-em0LPFcyFc=1?2uoGr?%zi`_6mjW?paOE>-unZ(X={>e75& z)v2EMpT7x>pmzD*iR>)kEd1PQ;Wkt-BxS*Sy`b}fq4&eXIf-t9{o-!Jah(qtyaPE@ zTBHLXBCx&YQ^#w&a}n5f^C`93ZY*L-NDuRAPP@xC)+CstrcT=BZ9;O+Ik#i%*OEE# z)r`IgjF}mtO%)|e|KwLcd6anLf6t(B`q|Zj~Le-v)Rc$Fdnc#A6&+XdM#pfBG{LuvFXvm%Xwx_xTdQNJ)=yS=|jZf z0zth4ky$PyS?_1jDRn#MoPH?C^@rBL26564o_hyhpKl2+EB1C$&yulhl75JqdsyXy z%Iii3V}_-)w@v!ly~YI>nxpz`S_lK4Lg0wwGZ#yG_4E;&`PDWL>&$~CH3uq+v} z=Uz4V%zkhRSAS&t`YmKJnvlUp?aGORuKMQlS9%_YzgD5bM+vziN0#n>LhFemE=Bt{=Ue(=kk3Z7Kgt2cPviVas=?1 z{>}2p%~!sVXJxx}sWZ4Mxhr>taJMIO2);P#cvpU2w>vTXUpv&v-7Du?Y0BWErgN^e zhf-~(XH#Q*-BK!*l5CeONKN)1lw@3P)nl^98mCTnu3VdV+_}@<bP!kU_1OY}80n_!yO?l8!mONQiSm^Uu&i(slxlx^sG&Q+z|hk1z? zZ5UVQ0dx`)f9WK!-IU{ha`I?IQ`Ks`{?hL9-#Q5~T6ePHn>q=7H^DjyS%6LgUtR{8 zP68W2CqdVqsFN^B&`GE&HY?`5R|`FG%CUi5CqbW}lc2F$OwdW_%mH)~3jJrLIe1I2m(Y*{3_caK->gbF-BNvN$H#D%B;5$<`Q|;9@*s;J>cbpix9;_D(b*3 zv8u6_iM}qv(n{J`mq36*pub+Ivhn7dwdgl*e*N1F{C4vRlJW2bviy_YmQX2rJf9g3 zoHERzgFt{;4k|OGO24u;6As({{_U4#)GV%YdN2x!n*5;b0@F$kP%bK*+?_CU|8Hb_xK^NC%oh?mak=B4xvbjoeyW z6N;m4Vp#!a-UGhmBPYZG|Fc2ZkUQ9#ptP68w0k3C3qQ$x;O-T00-j_8A@JjWkMmOc zBVAC4uM`+dB|z%|13=|7oJiX|PQSH5F2hw#&H!Q+a10?EF{w-ehm48+;^XS!7UYi< z+P5`DuB;Wd0yN7Xpzx6pz}+QLB88;b3raji*`(fpCkopDk{@spVgg8|2hfn-u!k_r z^nI)YkQC|SC}FsqLPY9z2qX>|EaU^1UxEnJ@!e?L)=fjP1PS#6#+(M4CP1?Y~XZWL{~Pqriad2OLjO0U?zZLoyJd z7lnS?`1hfHU@6qH4w$%w!1M=(6sQE5|9{l~iJYQrGtJ9VCMy7H%Lsw6gOCu&g`-5M zU1&fc(tGP}@Wc&Re+4?y7Z@DmPJ^8w0*EW)ABH{FTCm;{0I>m6k$hA*I+8$u_id2= z0mPU&%J$6HoAb>B?XUt!B4q9P=0v1yt_P+eJ^;(zRVWb#tnOPq|5CERQaj|M0vSPMk=BY0i6u%3SZsxSLV83IDU`1N>)ZiL zS&%!eB!)=cNJsZq7+5KSeBc(GC&D&I1!3LzHI@E6aKRb)1xdXC+@WKM%s(kkQ2G&^ zw4J;+(h`V3Qg3Xe@u#GKlcJNGRgy%ckS`g3ZYMYsHu(faUmya(zT0{dfU`}*$=tP& zOvG$F9sLLIgA*?kQdE;lPYMzD9}`wnx)7XZmfVG$X+$<*tNOLW{D(amoPm{mbBVo7 z1pX~oEv1#<)STq~QiCQ!!4BQJU%)9K$=8!F=|l{;d;hS@fb#|NlX?WW>(3;zHslh^+*Yb7`mo&4FHrierX=R2UZ2OOYH zZcp%CBH{myU#Fyj!(PePM@$KkM%V{{>#yr0IPj4icD0lU+uWfj<7O!>1BV!r&-950 zL>PES|MU6-jzc4V6m2Od;r}MlO4^Z lM1ViOlh3(`ArfNizhRjf($WzIvm)@bumb`~A;kPc{ts-&V&VV* From 3893ecdb3c3fed0bbe12fe00d30d270915cc9828 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 16 Feb 2011 23:26:40 -0500 Subject: [PATCH 17/25] Switching to RXTX-2.1-7r2 on 64-bit Linux (working version). This also means we don't need a separate RXTXcomm.jar file for 64-bit Linux. Former-commit-id: 24e62aa4e7f36b7873e8cf5d154a40759773973a --- build/build.xml | 1 - build/linux/dist/lib/librxtxSerial64.so | Bin 61280 -> 182189 bytes 2 files changed, 1 deletion(-) diff --git a/build/build.xml b/build/build.xml index b53a0c462..d6e00e2bd 100644 --- a/build/build.xml +++ b/build/build.xml @@ -327,7 +327,6 @@ - MzfIM2`&=3C@LD3&4#Sx*1bSbiiw*j z$8|L-idx&oODpwKsTYi>xLgBPD`HjDRs!D68WhwP5tO{&@64RdNl2)DfA8a%sJeY@0rviElsnop4vqkp{?U2CRb%t-_t|gX*`OC%7}Sjv0aaGbTH0qZ^JnOFJfg$}z4U31^Rf&ePI7J+#83YL3;kn)IXev03R( zXN~R}n|DT5+R|lb57Ama=$n4|-p0J%$AE(5Cl6^pev|OK1V0@=>ngBrkWRtxG6feR zou=+*AiYZ6Uybw{{AMfoI;3;(^Wj&FA1^YXPGSR|FBluM- zn8}U!EynLR_}zrx&G^;fcMEfW9_17Ib7f5q=v{GP{;*DCy8!tZ4QxL(2UHT=S% zmgUty-En;X<$t~+GJeIF=hjbr@TM8}EuK1ZarRpY$HLokdh2SAC+5Gq`%m|eoHlyRnFH!?`OoCC*v!|b_bPdB8TkB1?YbqGY@PYk_$4_< zKh*Harq5C(wSIRR^&#nL0aSK14^}?6$>^a(b>@nBB z6#wSvx8C{9TLtH?$-n)@Lk~am*0_F~E?>TQ$XDY(ng7W@d!>&$d*cVco;9>;Y<(bk z-fZWtU*CAfi!<)|=F?(L?@<}JbJ#O2%lrN2;-gl7a`)oqe?EF>_So?s|84d=zH8n; z`1|WFd+p||es?Z-5&ap4{!Ci<)@jG(e)04hOJDfl(#L<&=GRtEde2e&i-#9HdEMO~ zX5I4Z>v!GqeO2JjK36PXyyEX!&wsUl_Uo~)e*1@|lbX+8QZcb;;9tw`?EC)q1LseD z_r1KvZ-<@q(6W;TB%WIMLS@ktLl^$x-ZS@Y2)vp0`^A6D+nzo8^P2hh-nD#jdiJ2w z&&Iv|YH?27^UcByGw*Pm^1BtAzq|ck*F3$Xc*bu(yyxXB-YGxy#xoP1dj7dHHWd7H z=?^=<+I9NPcbs~{s1s-lN4k1yeYM>4JC;}hzl`yH*mdCOuJLbogTE0Dvnx72IK-~- zx!shfA9NnZji#O54gSOaUDJQQ8$KU}-|dQ@kprYJ?cB5z_^!%-fP!7&U+JcNxSRea zyD2}goAUcHF?7}L>5i`Pj&AUebt9kt-SBg7H|-AVhR?O#&_BN$I>%*n&Hqry`LxbT z0X(jo@>{xTcRu2fuH+W%2LDbs_z$|_e?m8QKEE4&O1hETH{H{4)s6hucVj=_bt8xJZsbtZ4gQ91@GafYAKH!mzu!%Hvl}^FfOch+ z)6p0gb|e2tH}u=Ok>}IhluvZi-wV63w@Ka5G5XSe`fF7x0D4q)<7A=7{#t_yaAN2` zuSU^se{JjK67E6AjBAC^FO2IB2{V@A^^>BXb%TVh*yk4TbBuPpmiwtwWMs;0?OGvc z@RKhQs#$G_=vRMjPPxPUo`Kjq7hYKxvE|dfs6`cV04~PbyjEb*BlO7N9oH#}PG8s?@pBlMD(?kIIVW-@zECFGWTnTJT8Vf_;m;9%7@3bt z1lKgY{-nxh)=RiaCfYmD59y0VByjI5{DVp_Rg)!Rq{6?f=xkMVeyR98UCA?1A`9NH z$_JEQ8mlCtNR>Yh;IY~OZNK7E71#WVe$CAi^MNW)e|BsaarHe)UkT+up(b%%r0gd# zSHj0BI=brboP3E#H^s$qNjWsQCCoJ|ufd9+l{Eqs>rmc}2R)W=nIQ3&U7e%&X}nD0 zt;GV(lI1fM{i|iRc3U_0yif6;rQ{h`W<&dWFPW z{OC$wbLL6B8unV2>ThAK#NRFxZKbkv=OT${RQQ)6Px_fTpEv~u?1}3>)vub*oC+h8 zFOiT>r;=Nv%6(AvYh_R(W`QoRqZR*EGbL=zj{zm07N5kEE-!9#2{|kJij2m2hWMI^ zqL}uXOtfDs|F%~t{GSS6r{=YmWfCzJ^?4m*wd()M#7dqi7Wip#S(9g>)z-G`Kju}f2*>qnlf4bLz!rk6`foq z=cg6^NyY!xITB&fKiz8g28mb0K+9JBN<1j>7b!vCt>iPOlYDBFU1`d$9+B0w4T^rl z5{a<%UaQ7$jdHY>e_NpXl~ChlqiT1j(pRQp+_IAkA#cjLP{jj&)$aRh93|8^vieo4 z_%H0l|4ua?GjEjy&Qf$Z7E3?SNcOm6_w#4YQqwL3MrMO6-UaeiXe*eK&8!s{1poV z!ODtqC`X%oSwYd%ikZ-RgQ;v+T9gXY{pb2Y(j$pRW6iP>96+r ztHRJ8h=goZ0EN9U7f>uJ@dnBc6QWI*fe=Ms81$C}s;|IEAVbxt4lX-csI5{^jgw0& zDi>C;eu(y0jGp)_tNayy+zDhySc5(K%B!@JpuZcvN+XX`#(_(S3DZc#S5>6cgCtNfUn?mMSs2tQ^H*3lE6Ik!#khei zN^EkKZOsc-MnDZDHJ`T(EsK6ZVCC~M8qqz9Kr8W;(elB8)YSrS5L{Nw>wq~-#$p&O z#Mt+{gF){iP23)#)PzOwNu3oXh)7XR6ik%+%gZYl__eu_Kv}V6)7}z)kw0)mM+8OW z)0<2x^Ukxxv(Ou?2%sAn@WsLh2-#!EheAGYMTs^)P*z5BtT;?=LPxZ$NJuJ#2%!gY z(`7GGK1J~1sjRHhBB3(Bzv@Vxz65Mn6i+P?BU-r6un&6hhQq-lRhy0y0Us()hu5A! zN8Tk%%0{ii(o_GeqIsAhem30+m~p0Y#_5uY%fv(x2u<_*{BX%L0_Fb72zu?ETLx7e zp$g>Cu};^8WhWtv|K2?mnp9S~aAIXeI9ORWrLx!$Ki_Wj-AEBdN>IxuYiVI05Zx;i1>1d7@pT#F%JO*-Cz-GNJKHhjmioJ0ICA06iG5H1jmH9 z0&<9iiYymfCuoy9YlVD5K_&q)Tbt1)*sLKd02h8Pk7OvAmyCDsRTLpH*!7 z&nh7V`jHOi5WJRH{L#GXlQ(6UE@gSPj#6mK0;Mg)1$? zH0LcYnu}?*$SY>xF7?hrGZ^7v0Q!sNRNZaC|B@}Ha1Q!_ugF_W4}oCBTkc2Lo(e9i z7IocG2GkS4%zWWMD~jie`z|?=t|Bk!4OE~o7Bfg;L?TctLTKqN55d;~6OaoVabGF# zk3eubrc0qV4lYa3o%#<2a6+B zS`{KrxGjG*mU9fIib{$#HeDPD3bSPDD;0kk*72sOs7wwa%z+DwA{ar8FCz?u{b4`T zAi!V+T42y7nnDCE)*2-;U_<$;g)C|BAcqY#T2PGeFHjP|qE8B|D7z|D9tio0velr? z#tHzfmIdZk$))Y6Q01sB!am;pQY-RvWsIihhC-a&f>?i}Pb?9v4p&Q!jy!i%_Q+qM zf_90gV8X{M6lfqs|xW`W_np^~4Vw7q|%VPU2*)#I@gd&SMTKSpRxz zy;JuVj%hFT<9$!@FHQUZ_diYaKOpv$<++u}i2>}S_0*mPj#nD)7pZ*0g8~8UB=y$T zC|vjimhYu)RoZTMqt_y!x^+Jj{F{WiR{ z7fXDj4X?IdiPl!w@PhyQ```LlDLz9oI9Q3hIsq<9d5%{iW2fqmJNT34L{a~f7OQ1wc(vMyl%t) z)`l;%;ZL>UXW8%tHvAkL{xloD)P_IZhOe^WT{e8R4L{6=ud(6PBN&mp)P_I9R=&Z8 zzuJbs--bWahHtdthuiQgY*zgG({$(3}vkm`*4ZqcfKg)*S zZo{v(;ahBY>)8UcO&k7ZBGk2ar&HYZ!QXSlo&2+Zr&HVzf33Jve|9;=4e=8cib=W+ zKhlQJwBbkD@I!3)(Kfu(hJVP0A8y01vEj39_=Pt7SQ|dehR?O(t!G@!)@}H6ZRHDX z_~kbIEE|4`4L`?*Ut+_T+VJPu@KrYatu}nM4KE``nOS4Qhiv7S+VEp+_y!w(kqv*p z4Ij7R8*TVs+VCrE_+Q!ZD{c6(Hhhx}KhB0v*zn_R_{}!_g*NCp}8>)XcM{iIWC8@3F89jD~tj&Lb!CR^HY z4ZDqS8sV)1zL{_j!U+N2K)5I2l>!bDW(b#T6!7(g8KNZ{1U#28L$hR!fUhP@F(s=6 zJdH5J;p7|vPbSPzH(4m)355F*&K2-D!VJ-pSpq(nFhjGXQ^02tX6Tj76!2+;8FD2x z0iQ_NL3r;WAch@Fn4wg%MZoty244sk*0Uvk*@Ib;V1^g{xhCazg0e?xD zAy2YFz`F=D)JfI|_(Q_S6Rr~QHo^=^l5+(7I$?$)$wC3IBg_yanJeJcgc(XCvjqGs zVTKS%r+}Xzd=lYI0Y5_cWWt(&A0&JV;k`ex|92C15^fRjZG<_cC$|dtX2PctP6+r0 z!lx5nDc~SsPSMFm0bfs;Q**LGz;g+6>P^-N_-evu60Q>PG{T%xlXC<-nJ}luWTAj3 z5I&1=u7Jl8KAUisfX^k&DJtm{@L7a8)g&_od>Ua+F-c9pClcn=lHB{F&_7{L1<4ix z_an?HAh}h*JqXkFCldlb@Mpm15nd_aZwb>CCmRL)C1JYSWP^Zr5vI#b)(H4R!gQ6% zDgkdJOc$A)BjDEwUqHA}!0QOpRVH%0Axu}5 z)CBw>VY;Z~-h)E_gmVeE2>3R_Zo*pyd^6z*gcAb3f$&7aD+L@ROxKfa6!7(g^9eTy zcrM{dglhzRHQ`GLR|$9;VV&?C0Z%4eK)6uA69`WxoGajQgfAtWCE#-j)3qj@0zQi{ zr`}|yfKMZQ8DUMpCla1Yc<%wBf5L@?TLj#X@a2TJ3b+U1X@nC3KClAtbiykI{4L=b zgc}9?CE=Nb8w9+I@D+q>1pFc4D+yN#cpKqagy#tOb;4H>E)?)O!dDZ{74T}p*AUJU z@Uw(x6Lt#t3BuPB&J^$?gs&s43HU+6MTGbMAoNdo4&fF7-$vL=c&mVKCOnsLLcli= z_7Pqw;2_~*!i@sHp0J;A17M`$;(VmXdcXOYQ`74c;T~;U#dOqQT{CNPbX3%I<1_b+ z>5;j5G$(|JtGPbm}wDxFd*s@+$h_*SJwEMu{bxVqC+tM+AH04fkXyK`?y+r}X9XF%j zwQU2K(>Ux5Ej&i><+$T4%&oO;M-$&NZ;Tc`sVT8(q_+6D+BOGqTHhSaQU3xei}K&C zZ|y~b(Y8J%P3NSA_be%ow)JnC(-%#D(wf%bxZ^<-jkaYp)eHk_Ye`e$*wq?_hhyrx z^~kSn>m{hB_tC=7IqrN{FohRK(GZS+hZ~!;x}F-!-E?1VTbe-c#EX>|pjp|EXj{*c zrV7nb7lN(aba}KbZRNR+x)J~@FLm5`9n!2seVe0h2JR@ICZuhk*IvJGNz=++4UW2V zkx|k#tH)}vBDtfUqHVpKAVxHBEb>?0vU)QC@-Ex2ZRvMq6D| zcd{&H>94l!D8W6>tvc$OSA*rvM1zoC3((wtH6bMHy zYGO>dzV#?E93cOdfE{&DiBiG@>h2QvJb_DoKVr>vS-PR2KCzmX3Or0 zxhcBQ&6%P!=a1-t9^p%(2YQB2iylZ5b;9Xl7D2nwGhWL|NI>|@)s%}_@t?M~`h??N zk>gl}MlF3tkIv1umxnbCuxyVh8SHOE=*Dc9sT*aky&j|3wIA#Z(PMvTL?e3a))h>Q z9eQlI9_y(aUwdMWdtp9p4f|C_JY!KQio^$xEMdt_?zVsEv4JQlYL&S#H4b%UZ}G&6 zU74QP%{q)mH`bv$L)@5lX#bu0o36)RTq&p@)a&rBmQ1p8|1P&ZS07FkSEr^ew!YQ6oFT9RnGEApZm8 zC(Dq>aSZqytNg64%m2Xg7pn3zr|ZT+RC>&(8aW*qdhF#!Fs{d*qagHn#_yOLAACO( zS~5owD&0=l*l6zgx4n+gz43u=eCdHP#eV5-YpCie%7;+iT*t!bfau9J*Z!CB-C6&g zVQGrOC^+&D?8EpPzryyrH?Ud1Nck??JYZz-1s%r z(qVkbc)R`qCj>ZV4T|cv)q6c~zM=dxR)pF;i zS}=XABdnWsp#O~DEQCF8(|v>P(&GdAVR(^F%^PX-*OD;oBm=GFwqsKBd(9g?+p(ft zPg}W?2FiOo2Vn~Y?Li8^8+Pq4Fy5s9HNkFmf$?53#WI}ogK_I<7DiK;1*ZpV35UUB zgBPDBF}|5%n5(%&UL!hSe36|nec!5KCVa55tF5p~I&U%XqzeVjV*NbXLgZqqxsbzp z7X9=b5AI4m7=%^mY<0UIlq0u>OxZ?p>tD@>_d-Y3{Nug?>}PND82j8a3yh!KGiFZD z{z$Lg?F5ImUe&pV03kZ2$Kll48g%&50%Jq#(P~|=J}r7MH(ce3_x>7-I&}F@bJ*pb zt08!+zk9M1#!#18^$oflAAIU>osiep!WhhRG(O~+-QCo1U0k&ZuFvOL-t2#aj|UiZkWm8Et+Yvxo01=HTU#aZ0<#%+M5fH zEr{i79%C1T;W75KH58(YdTg2KI^;h{XxP{u$<}K(P(IgO>z?ht)_vW28=J*w3~at& zv02;-HXk=f?h)KJG)#xZ%&`eJ&owJM5ueZ&-QR1$8IalrOw4X$t8RR;x)9wIh5{?e zfzE(4BS@)*8Ln9=jv_}v@pCW(((cXm9D(ir)IGx!8+QDCPHiS8j_C!M-u3#ABFDB~ znVK)Jft`L7zC;EA<}y^TPee>THgRlhJ|>(*7zQ^TWwwRS(G3_i0b{~gn5TI>ba0Jf zLJX;RHv21u(3^9!&;hOWJ&@v12=@bOtU#@2fqC7E&*`4cx2T=5=4OzG zofKo!08XHv2wB#$>RVtkJAodjiSgOOp}5y={F~#{L2kXEg(B#7@T7X5p7;7vb8{_XWyqOrp7ctNXF2v1e1BYrbaQ4&IFQ z=DjF-!FEU8ACYHn23rK~2atEcCyu&1StCB%m1#bWEH`EjKS{?kO8nIF+5)(Pl$O!4 z>_i@VlAdRr<1#Nr4fZvPRB)^OEmU9KuKG!O>><}uHWPcw)qvC!d(6f5J%AgDuiZdF zwCg9)`U>YwP~Ku%l%Fu;ax}sJ!r!$BIfBhJY~KjkS~9JM)$8$x1myx_zhK@b<2sj) z0@;ZI<43pgA8;@I936&vBXr9CJSWmGJ;vv9;GYhOK-TrAlQcRvR!3Nn>4`t)+RJVj zU+Bi$1;*RD@t(dmIiPkIcXA4hMAnvqwMnPG_UrV%Zx^gJac8F2e%^-F%P{_N*Y4CX zRNS>KOpF08^u~|PDRz$bbj!t4YylYdqylNIN7D%53;$P}UFITLe9$nWfUfXu)(4p_&K6Uf3 z8eHKdhw-OtlbL_6sX=&~w^ol&?x8#K-qsSju~UzJ*i#?zW$Xk!y0%w5DyqJ7>UOK@ zdcpMCR;%)QJ$@A{{|%L+AN2H$*r~@CAJfD93%V%H$KO0 zNpr8BqcwAv$`~i>g#GZH|G+*j3*YydpcDSJZZw;VSOCbs5{a|`l;qqpyxqYpf2$QFMgufTX$u5%HTh=3>Q5rY~%Q}H{z zOda<#9jj();|8zB)^|9d$FOEwhY6p>?s770_hIhq32z`Oiufx&X8A0UXXYCl-80Q)jTJA{C#r`@X@EPA@on}Ntn>K=NYZJq-PU5>AR20Yu;c!UdnN?%@)him z2!0{2>ZLPW4z&LWuwwS6$8dD;j-~Kx2X=8v-=O?Vo?}%WYi0Z%wd^hsle&46F!UYf zi%3_)$DKXoAp4}f3;*pYCeD%j%g86>u0WjprT`Y zgNRww3j*m1@o;Mc{OCR<0wQ!XfD-OKeW}pB5x<%k!JEy>Y+Vex34$?uG@nhkln4QMT&8CT;GB8p8xBE*B*>1+w>AW~1w47w2&&e3?Xk)klRdyFSn;?83{ z%78P^I4^>=!b7gDqViL&?MNB&w21U6mnqWcU3-~dyMet~Zyp84JC0B6=VcpCG|#Px z$l%uVQtKA6_s4a`pbIi1dv)KIeC#dd8F@>4iFH9d=LK{zSu6%q&K-k_sW;cT3OQfk z(v3Qo)YUQb!U7)kLV8w3-#!iX*G)nA}st$kI!)B!fj7Liz3!%P~QRyp7_XYJjnLMUlD<@ z=>$PNuA~%S=GrQxmggEyq-=TyMj+;)u^4~2g<;CY!{<;O7Z00gM99(g3zoUIqq?K+ zIocPzMHEF|5=*z}{(kTd&ljSo-(*U02z&PqaBMF;GJR#Q@e{xm%i(CV>4Dhz?;t6om|_Xa5{D^FqNPy zTHnnWt-phaF~4Hy55iyu8>!XIhJR8*;VA{NQ3cUW=?q!K`V^Jspps;&eVzb&G;D0r z{B1`^LN^LRj{1QJFSrD35EhaVMr3r@;^R)#syaxwO%6mBKD=C`V4IEqT z(=_-0p?41+U_=w?)cEiz;JE%MJV@2yhMnf~AK}ioRqr{&pq}3mbq>h;utg3$F?}<{|(e)uLwML9sVV0KW6?LVvLUM z8F|nq!y3dj9b`xqARgziK)M#jL>Bs@MaHnR!5n&bgQ&IhB^rSUD%S8$Hh!|IdJC!w z1tf2P1kK0McxwhmYx^}F1N32>Qo&3jOc(C1L6F&H8lt_(wdl;zg8j(3WM>yUzAig~ z4WaWeo<0H_=Eqh$&?u{8Yeqe9MF}@c{PXY<;`tczlZaQ$hq}w>euUVAfF@t3LhXAz z+i65F#=U5>%l(KID1hBL+8{P1$o_Yb0n@Y5Af@_=lxlrckmB>2_?QM9WwPNeB zh#zy@)ZafrJT=rQb=y#FK)7U@$mM5x_BWnuxGvxV{7?H0XOYyFrp z5Z&r9OsGbe=+q8#wo1cRy<`Z(g!>~oACTUKz7rUG? zj7S;0MFJT5|I~8}Jf8#y=Ix*)q}kdm=2!FO_qjykdaTfV1|owJ=O9Kc;Py+4T^3a3 z(c~5_m!zoFnood0NduRAZ|D@_;B`p2Bb08AX4hjQH!pE&hPBVZXc!Uaz}E3_=iExnQ^34t zVtO&Rq4Cy+c7K^MQnGhCljv@2j&*#tWY$8Q*zv(&{1xPY1@KU=JqL^Ur(L)wf}2@5 zR{?esh~mugXs`+P+}8S@`3Kaq?7Drw*Lc^q*NbIv=Z5)&(Ksl!0pwB-n@C(g)V@RM z^~V|xJ1%OE;4ZG7u$mJsM$YQQ+m(83wrdvGU30iDD&>+(KE1+z&*xkjUkjn2XTN}7 zl@Cs%yK=)XWhbHsa&d|xn&{E`6gt+29h(ci%8or?ZlWwZoS(4mXaB$L-^1Iw?_cCs zqUnzPbJQ=VHFVcUX-~4B5$F>AoRR7$qCPx;tUZ9gT6o0*C+_gzQk-E5KOoS#D|<$6 zYmF=Y;ndr4tPO*gbHxJ=Fj)_ep_+V+S3KhXc%N086x zF60xb>{d1@{llgpm-B>Nu$!YrcV$HnWQJEQI4*j?*~CLh;U}U8vR0-?e&6~q{A~TU zmA%9Fmo&8AiEhtBWNS`_El9hlHU9!~YW)45_xG-?-S<~Cc$mFU`LF#ghmE1X#~{W{ zul<5YqRi`oYF&lid{78zF|;Oo^QhUv92H+7e#`iAqVQ+mGQm_6-Cfvu=$cc=v+x-` zWlLw)EOy~2BTghi#C*CepKeCDp;UXcsC7ck^9M7!n#MHTxDf2~?1urYv znfTzVBrhkl^YS8CkV0BxzKpW43wluFeRTaWksJUOG<=;fv7TtxcpDFmIQV|x-*A@k z_R3yslP5=arq#b4ISo*n$k#-^7S6;_h1bXG@Px$oTca&KIx#(j4w&xus;SW5D=F5t zn@+N3#mC+9cQyD!M467WHf#5v5^d>etc`BzwQp0VBY!P#(`!EOh1*W8_7<(0ucET@ zGl%cwVXF^EM#N^G*w#aEvI70@AR+8>5&JKbx9?-&x@pXFYaj`NmByVt-gru1R#cfX2~ zI2HgK$iW{ie2(Lmqk+nyBX+;q3z^{)n3L;%p(pFV+Nu64tRHR3b=1Fx8*nTKG`18= zL5`9bV#fc@fa!pNA;fbZZ8LaKt7jgMO^K}lfou@viQY*xmI0m6Ngc+~5u0b*9d^{O z5e&?BRWt0a0l=_(sYtEI#m}q9#jm&;fk#9}Q)nOm`PrXF_vgAD_ik`UH}pu3qNupv zlb`h!*A5wgDHzTDLJvn>8vFCT&#@3oozu&jMSNMjSH!`E2q=y&RywS_R-U=QO?P6#$Z3`=g zPXZHfAyNek!(gaziUIl~*kU$x%e5fAYA{5fRrOvlYUD zJ1ojWG<`~a>*emXKc4dam)ZL;x^Uv*cx~;0-gzT-;nB!(kb^m8vnZY2sh@hc{j~g@ z`5oGU58n+&Ai7OZBSbe-bOM86kNF)ty2AVs#bkf!t>PI&P(nPO7$AyTn*!Vx=R?5i zjyvHHKJ+~cni7*9_1$0gZz{NFr@qKtTIL#T);DuALo77vw^g6p$(eQ^r?$_Jr_25f zmHp`>`%{lYUU+E3CCKUM4<>;PSh1Ne;vRG51Ln!>o!tLGJhD|iX+iug>=;j4cp^(Y zb>Rb-+TAAX!)DHPE)G)Rq0w&x_5&wiJ$~6}YETZA{5algY%-^demm-)Lk-M_*bM2> zm%e+C`4YIn@H93!j8(`qucB?>amqiz?(HxyJSa3@fC%%%aVYZ!N>e=XkYT<&AH@+- zvxzy&1XHOMl;It$cO>AX=aKM>sWdoveADyVLYDr!Zd_r z+(xrwDI26I&sojmW^3rPt@_|oK&lhG(u>oHsnK<17eq6Xsk2-9^K`Pe(oIcZRC3F z@V;LA8Rs<_Oh)3uT?m=JKX6rj*9u?L|{EZ3^URrB9%#T~x|HTlY@ukozOHXMpo%F%1 zQ{!PxZ?MD?XSbJV=g?7iH3}yyStBLizN9}C^uhf|-e>Y&vX1={!N?b`Lnk4)j^|x9;7d1d7txp)1$@5NKxX0i3n|t@KJla5 zh>gc6K6|aS*=s-@0xlgNCBNZON{{jh06e=;{wSy-CJNy7LqptLfI5*6P_t}&bSZ0| zBkWJs>!a%JLnASfE$Xox>XnIlRpXuO4JLFk8%gRLp||4 z4)tyk^_VT{@dow6kq_u$(f?=}Fub3o8~N$HnS&d#(+;1UkC<7=HQ&h_h&3NNvK(?g zbc82xg2Q~_s3~2PfsUkHaj#>xX#`qsK{CFI=NyxVF))BG)}GhCUK;{8aur5|Fn#l$ z*KEEk%(pauKsac=f#E0o70%(?{T0{8p7U9G@B}7ey^iyt2@XFDC8Jr4HYj`?2*bx8 zhn@}Ksr?KXeI{1ssd4)O+bKpn<{zl;vF81dCg_^KB>6FEg1m@9{s4bRGKxY+et7&F zW4r3Gksa$}`OwsMpLs8P+l+@lZQ&_`U*S;I_+QgLw!`3|)7ZyZ%o<4A41yWj%oB>K zwPqi@C&Jm!Ho|$Nx$2tr=6}$oPV)Po`27q#DSjt+=Jx|E+$>-8>V(7jjkY+=jgTyv z{fQEW1lO9qyJuDhv+z3qi#+p>z~2Qn{_?xzFV`HV_`5d6AKrKCPM9lTWd9?7e*_Oo zeg&Q7_rNRd^4sX{N`5`edYUBU_bO7#?`^Yt_WFW7>>vG4^6TBs-(mLn!^`dbP3eNa z+7?dm<}MCBuy~?k5zpYe=g|-Q|39(EnUGm`_E=!!cVli>^6X`fQOuSjmG;=9duE$p zT=X~p94-9KHYRd^(98iJSP8+~oD5F}Z}Y}#;cfbXC^xRK1!xwaI=spRh!|caajx(x zPYNQ!s}w*Ma<}d8_IM?uTsG$uB|E>Z2)BLEd<{Jnts5Jy_C2!wQ*n%9Nd3MgCvcgT zSaS-P!%Af|GUX%ZMQ54Mf*-K;Bzq7aJWjGTsGY56!M0e?aV=Wd{+Kq{YQM`6(@283lo#cv5cHnjymUISc4 z(#6DQ^-D4~5S_7RW-cDknZ_5}9m`KJyiUyGwZ|Uj?P7rKFgH*s|HbiTk~b^9fc&>p z{w-kibZ|)dV{Z!zaq|=)&pg-eW>knC@QY|XoI0Qb%ZKTskg_$t#9(I!u=kUlz*)I} zo7-2hYZ+6~1z?Q59r|Iu4zAoaKcxxAs6o=nPvzkivtq_A#j4xh`^VrxikB z8<=)f*e?%P7~g@Q#yqj-<)XQE1G|Xx%GewmKsSvoup~6m(LQ^g-JN}Ye%2A}GZ*^n zWS?)oV7JeMhuh~;C?bzM_ZlAqip99c2%RbKm^U&^TO#Z8V zhJ+eq1sbuj8f#Ms`sU^SN!v%l4nEY4%&O4mH#B=TZ zMKQz3JFqa=n+miRK?*dvzao_)J3^rKBh*6vto@zz=uKIOYsDuHJow(uwN9)HpJ_yw z@uGP_EYnff2mNopl?z5*dTnzRZnG0Fi8XQkw(s;Oo4L%z(a^ETX!o~U_1N8;S)+bi zjbQYZCkNl+nm>vJ8KYBqL&`se@^6UXyL{)fQS$NH4|e`bCkY| zS96p{LwK2QKt`AldzusfDx_dUxf(TJfHb)|HT^;(x(o@jMGSaWFgeYWnlC zt>Tot+G#1CP9d);}M*QXNNM6dspX|hs=z6TbSm^7`(OH&&nxk`Y%Ofbw6SIia zeQTpTFUC33qx5%n>3x^2Ck+`eVB3u^WkDYW#t;ypjCkR8tGv^aA7X|O=dH4__A}pr z{*n_A3}Hb$hlr0j&Y=B?NFmIpQvL)Hm^^cs7rSIYJ|4+nn+_8=#e?rb#D0Z{M;@wu z0LG8(d?7Eq<|P*4=D?K#J2iIWSS(66pgDuh{Rnx>y$x(ZLo0~yAyPE_Sn3>38q2xB zj_jk=WGcTe^LttO$x?KN&$ki3UMxBB;l){e-v;#aV)syc`7og?*w!5=!1Oqw#_+hj z*rnqB5)H4NPlxpc3V;dHx|A2^Jpz-+ZHO+i4*z|Jx}?B@hnVzG~^-I zN(zuWeB6&p2q4du4mXvHdy1C$Cfu4=O1YfP4VLYKyb99fhoqoYHY)d8Qt{3Xv+*xf zVR#EjdE$@KK=t^OG(PiB=w0g|+8w329U@}77uY;JRj5YEe7wy(9((AS*;}%=;dCAz zghZRu%##F3?7HPG+Gy559C)x1S#PTp{##0pdoh&Ep-N+QDt!oTB+rM+%^-zodtR9l zKaC6yjxyotv2V~;_C6fP+AkV)EK9(}roLB!t!X|w{KEY3X>cFUd5EoD$DYLa+-CJ# z-%tPKkH6jE6vw=OxLE)GOMUHuKKh8)zttUQtuvRgRtyimu^+1k5*)6~*!-u3H~H?ueyS+;OYB7AK{59#5%)UDf5uBl@*tt1W+VD4A5-L}*MG&G zm_prBFAB_Vo{$bIS~Uiy=~88LQEF)YHpdh1eU}GUbZWM zk)?{qdbv;oryF0Fc5lvyvBq#BqJLx{It!W}S0Q3`%u??3)<5EN1YtaO&FKwV0&5Tu~elKn#8l8!IMuB_BV+M zJk4-(CAv;24Tn``iOj)ur!#X89?qF}BR9W(A5377W9ezMHXO~wmL)1+(BSnX`8p!r zM9W8&k9j_EPxeO^dV}tR??I$B#4V-)JUT=Dg19F` zGsiwbzf@h8wmy0=Z3*o49k*kZ$CWl=oad~z@R+;{zWYtD`ovAo>#=La+ZS8-q|##R z9=?PKsbk=dwdn^G2DnJzP~gspY1|IZ358R)DGj9jNY<>#Zv1Wqui4VS2 z_?pEGj0i zLTzh)5RanesUYKBxAAwh``}5a5YM=e309|D#gl1FgX#bgpU+2k>-l)?mh*(5e~ zP<6&!QFZXDQ(1KfwYdSgI2VeKA5<^K(`0d)6j^!30M``XKB=|5*Z|k$_<$@v^i0n; zT7*vU%HT%xPb>JU+6Gn>!d3@jC~6R`z6OUExVXe~0ldS$6&ma znu-seCmDbH7b(Uo(J?`$^+n7k{4|UwHgenLPE8K#*K##*UI42%$Dmzt00}37H<*u8 z67?VDb5uu;X`Re*rau00if-VmA3VQgW{Y7J`OGp{8CPPyfMN0^*=*>_@MRSk*QVYK zT3?XL#?4rkn_~Fev)kuqu1|NLh(YxZde(v)a~fD&^UjpHnFznPfB*H`gQpnptv%Fh z#K+epm;VX6>G(d38}I*RJc)XE?k#3gi~{M&Fq!fdI)qGv#Kse+g5f~GgyVx3poY}p zC!lHR@QM?JqAzDcXST^41Ih9Q^?1$!3}f>O=rj*+u8snaJWllyB1ccD|1{j!4JSCDeoN#mhJUhG?GIb4G0m{!mb&0ys8do^cYm!Q5+Sowcu~H6on=p2a7)#q&w?JAk(FBRr6B zQar&Zj>ymf(Ho^+P?qOqJn_Xi2m-19qeGOt0wSiY4!MmtF;V4VQsVa6Y}%ChIh4m` z7vCo=h+m1m?a&Pzv5TGW!dvd<aa;KUS(m*vk#umyQ2{Qba- zH=Cb98{%E%HuFN6xAY(FsH-IPrE7u0*+7Jpd(6)sNp0}r>p(eQV|8u*8ipa}s@8#G zy>$YSvn?bQ$UdeN=%~L8?dyn_n}GgbPvKC{vyDA!U8)-&nvZ}bq+%zK8-du+o&A$} zJFw!z=W5*nIh@1)iwHewGq$chaEkl;A2Ia~Hzz6)KZ-o7fO*|xQto#E3IBag{YOiF z!L5(X_GbWRqX7un*o9@L=za27cvje9P677G%-N8qL?1+fc7K5Uwb-W;@|O7@bP zWn^D~~7@ZA@;@fN(DbRO11LEYID2VY^@*~#?{`B(!X{_|k{Bo8}*ux^M|R=WAc@42PlhJ7?dzF`kW?$Do* zY1`D_h!Wzw-xua>kiPl`(lbz*IGo#oAvql8F}@McS%&s#eOBzxZxw?=_+-mLi!T`1 z>|d-RFkZ5^aS7UsUN{{GyKxWii4QLF>vCvZ_}`7@4p;;S$eBnnkxF^rARU7E{xIX& z17KaqTz+?pf*6H46W@d$0{_Q2e*ibd@pY{OxJQ#B7^y=q;a2MChsEheeh3Q9N|~Ga z$VQ}e5j2CLy&P+I#&+>BzY$aE4iRUHh_kz3GkH4LgnX3#$*5Zxiqby_x9eZ@z)|O> zNUi-5p14#zb=8{fLlx~2F1~SUy}tovvdvc^Inm=i<}Na{qAU8c&+uJu4MRWUgQviS zB^_j@J%0T^8ZYyHXB#i8g|*A^auG7R9WTcSMN4_TfPSRpRVr%zUmPzl{`Ro(f{$(5 z$IHDap~lPAV(5r(hTR0K`5EJ-SEupvKl`8KAF|2wdWZ(wqr(4u4Ys(Ag4oF^Hr!DksrA={|Kt^&%Z~X z;IKU49L{+%6S)NcBRZbE48_qjyt=AdBfBo#{8CE{?H~L~o`eeK4Iqv9x_0+wOdr|% zUc*)fh%a+BA~mmNgHid;jE1jI)NWuYJg?T{uZZ{m%_q?^_rY_4N6?hLO%gzy1cZjK zzOZ3jnR0ImC3R5}+or5mr~qtb)XC2VVs3O2n4@yUQAa*sB7rRCaQ#7GEP-kTfGPqj6;MrpLy!*uYS0&R(gWzUl7;-n1m_EN zp20ZOewINk*m#zKs}l9GgO+Mc^{e1H;-Sg>g0pw z>p-H?FHlL3^>!g?&gb`bkPH>i5S#Od1Hv<}{IN_uMg*TzNqCI%OrV@Z@w^)~j0{s_nQv+hONcuoy>80vtMkIzn&SRb(!DQqub?cXEk z{zuLfl(BvPXz8h)o)lFBfdM+pCh}tXym*~_qa%(lS{{S`VXuPLDqqi_Wnzbyd-62c z6#sk78cD}dcRU?zyn3Me*s6IZ^ux~;gg?ONG@38~;VfQ6g3YhhX;^Nwf82s^DVzZ9 z^1YU~?_sTK?%3_X>cRXgJ5IN~A$%7d=P_1u{m`5zt`Bsq8eGs1X06vC3geHW9_c+DNh|I>?!pTP=c)I=|NEx%zn{x+%at+971Ep-%}m0KN>XI(MSsy24nT{ zlQPlgWFMeyb}}61kdK9E{czBtOlgOhg?fqoI7j^eqH**KSo<_2o}Xgh{c?POaW1sz zs6QF)(-QhD6P@5p_=kk=((; zR=n+~zaPZg_aBnqvahiPmc1wQ1uQ;dC5=UBLMovT+J+0`>P(7#>6<7GiOhBzmkbd9 z?;DKz8Lr=-LQ!dJ6YfF-@thd3_ocAs0BgR;{>6G{Ug(7B4&I3p@>B*tl4^e0U{TOe z)`}nG^TPt;KO$IycZQ-n?w84Y7wlVmhV^{=JD@j~@@x^$445rl3KUEy1L5oj_rCYPd_y*nB2R8tBz+bJRTo5+38b)>&eI zz(~fj#Ybgt5g(O(@6^54M`bsy{o4AT>?S?_Ykp7m?^=T2lO2)d8IQGT_*mZ38NIP7 zgUuT~x<1XZYGB>AaDL5!+2Mup2|F&lc0nWfeqsnc?e@;BKTA)6N_O`Dawk~@k;uzp zJ9v-z(A~5E1P)-{HbfsrA2_`MYagQAPtN!i+M|k7qJo_A(U`rVd^D6Spj%M!K0NbZ zj|a_o2LE3oy?Gt-+S=y@vlj@6PXSRg4QLi67otKwzFrLnFLlEG;4jP%z;bdZ2Ap{l z2ZTDWh4`g~`x)3{7Vl?bPY53y=feOPM2C?<52wygbo@#RG%P-pvRTNaKc5nc&4)Je zl@t+~%HWg#KMD75NhuXwM}{+Q7y=aI1}9R+4RnD#HJL>`Ht3P8H=AKGGVDtI#Y5Q7 z#7A!E2Y%^wDAlM|&u zyMsaRqTwU@*&ywD?*i}WK;`Iysrl7De^oe8Ss}A0EDHOb!+aNA)K4g~ure4PC#c8_ zh$jVURe@sdq6%*~u)sgDtTNurxlr;OY@q3tPyiooKS` zbbnZYlghmFoTmmViv897y38s;i=+KvAHU;0KctP0go2|(Rh5-xqv!jB<$qm|7cHA;i>sViESO1m%xQtfC~ z#Eet6?}xyidEA&~YK4g^Na3Xw!N>z;qoI!s$60Cp}VbZenCH`<;U_l^M8MKObMxew5 zZ=`sFH~8JMd@ED(Eg} zV)vCM=5<#I+;qqi?$`rY4WCN4XZh=XRD77GP*Ut&1bs-W&*tWzUl zjDZP}k`jM#df)~>TdC+c=ZFscta6|yhSYx{M}hpTa{1L&{vecH5%!jib2=wqR!}sx zVkTxi3_?!Om=75)X@4-dzZW~hmCnAnGf=ix2~DYY$c(Np12<*aX?iRn1e1y0EFqJOoD zP4kymE}$iQU}uxWgf9HAl|NJXR`x&0l74$R$>+RaWdy+nrl;W}#yJbn$C8qOFTl8} z994pgRDU{_E1w_smsdHZHw?> zoKr>-w0+R>`$Tgx#-mmQ-45l02qoZ)1veGma!hM-))V}|T4z@HVZJ`tLOa)R7NLI5 zLRm5Br>Ri#m==Y}af0=iLFGZIN->LG=?zw(>!6rYB_>QrASH`Ymg+_M_VzjXScctK zX}42V!I0e^N?o5RqwJ&C)j5|OWmMvLQi&P`yrU6 z+(Lf;IHz8@5F@$5S>TKSMH6+>MnlepfpDoaSRJmunDKDIWz%Q4Js!)xZR5vkSBAOt zUK9&tK6jDR3uPkUaKggM0zP3al7f&E4cd7aCHVaaRVl7iIV)QESyEAUAOG1I0GAF$%?lH|*%uD$(Nk3Izu9kjA z?N0UbRCxF^YRZmvs?V@%nl}s?{ZD+OcNWJ8Bj$AqD%sM{B&U^>ahOzAgoBl3&M+q~ zN-s6nv`38H=)o4imiQ{dWy2>GU6Mazl4nFpQ?lP(l$+jFIcKq$7mG^0p(6NT-@E_} zydn%2CDd44i2-cm&^e=E>cmS5CQhAs*$k%$N0f%Sq!p8b9EK!S4mlP1Fe%UTr_4ah z`FU5$F1sd&nV`~#&Xb!d=s)6Qb#XI*vr8TV(jzpLDh~%Hrqr(6>8H`J3*pF)6c?4i z88Q~dz!P1Qu^dA?E2OT(w*nRZBIzxQtazr# z>+^@OgVDX#rsq$Cq&(B7i8kqXSazHoAgL7&6fZ^F$)N1xs33$jLg%%Ql7FXid7X=O z%?szX+`-{3TIqpv8Tz%r6?p^w-gPmC@`<0QjF$2{2xw5cvM&5aZm8%qgL1Dt(Fce zj`H5(BCgnqy#Eh-ZysJnk%bR;^-Xeb-*lP)Nk{-85SFlq9Tg!E_9aGS6@;)8)(9d9 z3K0ZR0fQ?tigCx$!RVmkhH)El!?-gegF#WzafuG&fI8&&zNe~hl56ni_k7RyJl`K) z9#Z|*IaTM>simu`yZUhZh)ONQ%!B;}%Vyl%J9QOZe#OU#UvcUCtF&9vWr=9kjOqQ- z&p&_o1%s!J9xxH6myM}~7<;-1({S$mVBvG_oPikLW-W`J#&aE0b7A+p?+?F9=dWaW zr%LAr25yBcvANftmY;Xj|0n$VepEYil-u+#+@YmLX)17HsTwH}?#$`ubFW40UcE(P z7QqGk2L zg2l^MrVpr|Hfmllc46F5(>%1?!L7kZKY*`yM>2UIx9(FRQ95!*$V`s;YwsE3c@k zszjK*uBs{&V_78#(-D>;?1QijVIIP4JRK@Tn1^r^!b*fC2otZwJHiOF5SAk>Mp%ik z1YrnU`=toe5teMg#|9AMD@s)p5tbt?L|BP%6GCev@@%^AhhsIB@xd*6Y&sh7(+aNTZym)PajJVmf|_> zeuNgDi&r2_M|c8Z;_Z-!S1C#n<{>P^J6(l*wc;+wLs)`vFT%vTp)bNpgq6hiLLPg= zeMpb69AO^9{QHp}VI{(1gvGn6s&)}0EJMh1Q^y$M98M@6a&gKh6JarrMIq!l!9s-P z2sa_j`YX~StUy?fF#B=njj#w|B3^4wd;;^az*xD4_UWT!` zdI9x}F!4pCM_7um7-8|tNRKf4Z;+4BdIjYt-VgbBkF5}4Cc+AY*$DGrN4+6Te-r5t z79D_GgjsJvF2V|gnRsNDUJibQWp9HYVcvVFXU4yedPbP|0puXe{}6KU-beP|p(n!P ze^gcFBdq)g_C=U=81;g%@MFk9n0}7RigVb(E}2O)n+Ii|B1_Cb3YOx<7eT27W)1Lj34Bo z1UmjQgewt`2a1s&`ESJkLd4g|UUYc~@$(SBn%w7m=|eZ$8w2an@$qB2H}HRd9r+za zd>P_P5LyGg#1ZRl;r|72*xITpyb2ikF`p!qH!mulw&;lXiHILd?p(-UAK2*Y&UB+d z-wwJTM>fXKLVR&lJoDp65jP?JGcx9SSwo`o<3|uD7FAW@(Y7uNj2Kl0W(xe*$1HAM> zHQMRL;48vCsuTH;PYyC+{+kiM9q}Wn*XYfG^|9x9rE1I;dt;1l|Df;3z3X$*XLv>U z%DcTeurX#m?p0ELrpLYMX^@%kz>Ok*eP9qQz&QM9@8jU(y$dfvM1D-~!bW`%FYmiR z|B+rQHTUucuaDUnpyw?;CiDPbIqrGAL{HjzJQ^=ey*Y;Yj|H9gKn{(5z4gH5Lc||K zyv=m9`*rp}FBL}5*%GrA#?Q>z96Qp>%Gnq<%C{KcE`nhfQfyCr7q#<=yt^Sx}y+ZxymW8p`>U%+<) z_hivW+RMnzG2^|WnDH!&u!+4|AxXv7Uyo~j5ub{CcTGF8oOtEKN=N(=eEFCwY{xa_oa?0y^3wI-!}?3Y+@%8a z0@BBL`3$7}BfihARaNgWVl>-bE*jQkHbS9~0{sN&DHK!(eIe;Ps;a&^P5RBC@5kG~ z`%goE2=tY=nSKww&s%Q~(%NbL-T?g==v$aRiq3L<4f;gPiKN^nCF5f-*Q!7~oNBYZ z<}+aXXo~pEomEvY)83=mh^C@Hj%S5!i81Ynb{Pb|@{+2mUvO>Zu8+L{R>{#_Q|vMe z^wK-4s=huA{Yub_Fem$m&^N|J(xYOj$338D-;I}MB6?itRjT~!?9H)T16$b0biaNB zd?C!)au{L8OX_!&=@CDT^#Z&2V>XjjX+H)-Uvj1FF)g9N+Bb@>DScv#}h+oeLzkbpQG<`GZ z2SLA^5ukJ6o@@qKrhka(G1n|P4gC$!kNpPyYo^B>^^Vh|cQFS(2KwpbcLaSU=C-Gk zKMM2{pwBV+N4L*~pbx{GSmaOl3Ug}AUs%4)pzjC$bm<=gJ@w(Ls=qM*I@;MApzi|x z5a}19`UX}DVE$i&KCiU8-;aTPFEsXL{koXL9|OHP+sRap<{aBO1Ql#$mGj4ZdVsG2 zbNSQB84EhUb#f~cpdM?=VS4WMq+{-X+iB=GGCk;ZrDyxv!}NQqsx~t{Ge^LD-$49! z#GfwTuR%|Jtg7nozv>6+lHSD{gx~7h&3xGp)?;2!LT25rJAytBYm&W;&~)iPgAmVe zfyw<)_luh2ZV`JZ^iOK2h|fm+491)O(gT;bA$~jJmzw^jZ7$h>iomo4|}v7l!?S55z~4 z6;v4N&#!VgwHo4O4O)-!n0C1m{H5UUN`B-w9Bop{kNAU#zsZziMD%t2X2VTPc zoDt9u#9h8zm`io9tB_iYgJYKOgq9nNH>W{gU~HOH!04jbiFji1a96N zRaIv(f6Rqx5$e|i@x@rn-oy9{s>g{kb$(==pxi0oOMMG#TqZ<2_|-h9Ea&{%vmo7m zZ$vu!-f~Pj-R@5IkK1jk92#nR(=Fn&p*!d%Iv9|16y?4W%M7vKP>Qv z1^%$W9~St-0)JTG4-5QZfj=zphXwwy!2j14s4_oaPBix8xuoK+0M7;a^YNtyV~Hcb zKN*ZAto*XM;KGkfNB&OSufzYGs#?OUN|O<`e&v^*szWTn<;UmT{9%hke(#z5v6Ub{ z!*1zSG4_c*PM3IpWmSy5CH|6hU~w;kzeYN+N@df-io_pmrwQ1SlixuTnrtjz-l;0B z|4PFTyGeO`xs?VVZ>uvnX|QiMlkNX+g3#ggI(1oW>Tit+x0-OL33r=tp9v3`@URKL zHQ^}}diZEHf6YwT*@XQ}m}|nRCR}X7H749@!ks4EZNhyfJYd4ZCj8cfr%dSeGV)E> z*@XQ}m}|nRCR}X7H749@!ks4EZNhyfJYd4ZCj8cfr%dSeHu6o_*@XQ}m}|nRCR}X7 zH749@!ks4EZNhyfJYd4ZCj8cfr%dSeG4f5=*@XQ}m}|nRCR}X7H749@!ks4EZNhyf zJYd4ZCj8cfr%dRbY2=%*vkCi|FxP}rO}N;EYfQM+ggZ^R+l2c}c))~*P57+|Pnpm= z%g8rjXA|}_VXg_MnsBiR*O+jt33r-sw+Z)|FzeHF5im3-=dAS1aWnAd(ef^M$!R*? z*y_<4|2lQ;v0P$%wv&jSvNfrP4L#_ToUK*dF++#Ze>)<=w(h+g4?TpGy;E~ss%ZsFrupx+PSY=}MPA&N_v+<*;CQ6a zCuXKC(ua;sX4aFSEV~q^gBDF&F&#%f-v^Eel$SwkzBOvmMRK(0@i^~+7lf;&n+Pkn z4(94(Nz-7lL2|lxkbf}4x)CV~mg$pT`lz$@1)|Igm^jNc14m`8M*aOLYbY+O&q7=K z0Hf98>wuIMeFV&k%{~n?-r)Nc^MuneBj>2YVAjsl@gn1B-b(P+JX>w`9uTPzzKA{z zFWbrS4eQc62)a(KCqSCDd{N;vIT*NPne{UwpqOruvlm}duyn~{oFhZLE64Mwqjhrd zZzk|x?5;kjW?eT#+T*Ngg-d7iFxhNep+c8g2}6IKl~i@)ZBYHTXmux;g%swMV;pPY z(KYLuVYN=BSsxFFw8-f*t6+rR(5B7iF)iyUMCdH4Pm5U{M%FMkj%=a27SFZPN7atC zHX@?Vwpo49+?p`@w|L}~XKwCkSaiAN7?@QFrWwl%7S8g=jOlam4meKtk2&`@Q{ydH zIWxnfIKE!3U!s}k>pZWlhG)o*`o`1e?6do5cFX>z5h>e^fvc4Xt4NDHI z$Ar@;5jmtIO7P&0b=T>5BV%3dLnBt|#M5MM+C}tf9&6|COrV>S)oRl3%ilk>WBvJe zCh*@Tw)$Rh8VR{L19k~&vGyTy|8)7Iznu)jLG5%_CfER%9Asojva~ zxuSE>K5+%7q05I7tUsNO%;d1y-1(g*aahy{S9XQoru-T zeuG6O3yBwF9F{xYwCS^Wj&I>?p7pkFMtq&+=UjRq)LCGiI30^Fzy4Ol$IJCNFQZ(c zoVl@Xy5ux@M+V_v9i%yX1*&n$;yDF#moJ?y^E>NmMAfOG$wdl;8l{gvFQ zm;Szl>?GY+{XSl{+tBLM@Nx!g&A99|)Zym5-m2MF_;MH)pKGwvaWR`0y%>Yj^_UZl zVw}F5fy?kw};Qdx`KzCmYN0Nw62BAaDaa1 zw63P>b;VaFkiq}5*(2n`G4d$|>SEmq4Q;s!sU6AK0{veq-X}`JJ4aYN^LN%x9rCYz ztAq9VyM1sO2n7!{L7+n4hY{GpuB{Qo1dm8$+`lC76gv=RwoU&%lgD`G9n z*~l#H3}ELf%(yLkG<0w_$>l0&;an{YWeK>vR$@=K!R2P1tSK(HV92%X@@BZ)%FEbL z&|Y)~&`m;^mx{|nQ6t|q#*nfymRxx0+GeS-W6eT{zz(gmlAz&i|Qyso5AD?Pq zx^on!icd2z)44ANu(5$z&ge#fO$_YgY;6wM)WB@#Kmf3rfx{e&S~WK?&v^vu#HSlL z(OF#&u%%jnGu3lghMLv?G3!x`3RPZ@2DP?@~(7F zFm0B?V$cd?6e;JD7QkKASi$R*lS)OpsTIgOkg;Al4`3T7zL&a6@FwMa%7$tNzmTzOQ7_bMI0kLaM~PN^ z01YyJPiRFDdBs0PyN3VDfy zha|>QF^Rq1k8Oz9y8%4%+TBs0gcg!lceL|_3~9u98Mw@3E!cg);GKyajci)EH~*~h#nu)NDz()#l)Z_QtMF~{=Oe#MJf zmRIx(FBV$f70leSnY*{{HJ0Vyl)0fF)<|B?aYTXqLfz2fQko0RJ9c?n@SuN|Tu(*ukZp3)NN zaWmY6_s{@O9HCwriI)A>Ou)U{kjn0=aQX5-a2X6$;BWFzsMarWk;02%L2utI^u+Lc zEW(F611o%(=%7ZS@G+vlYm^us%X;}pqtx)XP}e(@hDK#)q=%oP8i#WQWrnw6==Y8k z2+9htg!#OqR|@JAUQOEPcL>T3^POk!%a;TV3l~5;@9U2R<%OHDC4E~ZXkxfNrGF0( zD;&VW14%pHU(mepgOvW`G(m;oJuc9R<$_j*i&0PBPgenPv zp<*oh0v7RvKlJ5LOCAgH&ROlA5+e& z5bUL?y98G%r#VgCR6Q&BgmUs)0XI|s6kKFGL)rkhP&U*IWNfk>+%_z)mC6uYY&#ju zuZMX&V0!}aD;cA-T;(){B z#NJ4CrQq!WXCE^1a@Dt~X>K=A&6^ItaqDT;j}kWn6R-eLKtsuIBm1J0+&*vnTh3$6$_Etua- z^@-qP(5D6PY!$%0DUeYaaMFqAD)@*1J`r#}Vtve4BLo-4I1W3?LUpm=O)<`T+{L^l zYNz1h7^gAqu}r-rczcX9lJ;G$z7<>&<5aOdtyHOKwtUzOtF;1B6YlGS=cz=xZ0`CP^sZRu#$2fEk;a#I#jP-$xgE3AT z2;NrJO>jkwb1(J9`53{+Vw`rwH>iz*D`T7vxbSXK4+%aI<6Oh?+^RkhTomgl;@gyk z+ZEb>taFm}TcX+sE{=6(vp?LW@&s>>bsomt#k*In5?m7N&~a+W)BfLh!LT=YGojT!k{E{BaJy!|feY?F65Qa}s$w z{7Q`wToiQbvwwZ#kAFcYMEh5o@wGVUT!Dt}{b0t|?Lnsl@o_W0mIR%}7)ZVUss)nY zuAnoR_Bg4E1(yb$pPAp!>M_B4gU;FPFQ?Q8g3E%=1S~5&%l=vL{-E9xp=MoI8o@+lOxH9P2>_49Uw%`*%rwkWf zqWz=bqL9;y_1(~Jglb0n4>?cp{*`R^6S08giNux3Iqvyf@@L$@HFDN2rhA)aum$V zw(k_Y%W?X%{|&UC7hLK%joE((*+&HLb(|qgKg3pOhA6+|1j#?lZXtNT~G`jF9e@( zoH^v5V29dKeo@%j&GtFTZYOwC*qO=to@|d0TpV^rvwcprmkZt=b{0^-e7jh1N!Z!U z`kG-sE_heiNv6D6_B(=0!%i{#=Un?6!F$7w69-&i(wBvuMr^+e?1c8zXMfn4!TMZe zw-a0*c6cN9F0uy+J{Weo@qTr&T_Ct3>^#r=`6c$%f{%rrPhx;q+J6>Y8Ft3d{;Tbm z1)m5z&0>Ksw<`n}#XDoD|62QJ!JFcpBHH5$JEa5lDUNsWb!N+3Z+8{EJ>K~T+v^5< zl;Dzh=RVfoRrXxLyW*YA7Vy>fI>Dv!P9L_X&Gub__r^Q_X8Nu6e!*q&&bLfoY}3yr z${+7^bbzn7lR6TY$2)hR;=CK}vjiWEcP7OH-)!d#u84P9u)en28wDSWcOItv9riq}xy}sM&x7_J!KJSA57z%~`yYb$y3Pe0KTB<^Gv$@J z&N1r$sNF{Je%E;r9mU&Yj}lz&Ixg$$aeJxYgRWCS{r1}11y{Jv!<7G&y-)Bl*Lf2i z-+RVBD!9^hb};|v?Bp(#cfxh1^8WC=eYW7D1m~q7@Qe0z!J87C_bKmX`+C8}3C>>X z`-=Ud;Oz-cceck@?c;(=5}b!@;MeWeSHwxaH;H;;9 z@7i|?E=zC@@P7J%{fyxK3C=E#R|gF)PjD`vy+5))75YK&vwa-4ovxHuk>H#k0PG{=(mFcgWJSU6e`#1Kr!e8t; zZF#@=&b~|VcF(z=?e7PBuiz5TSw#E%$37@{m*@1Z5By*I--1g$=R&4GX?xwM?_STL zR~he=O_%Mcf86;lWC7n@0y6|d%kek)8??|hxCrqg+j}E`7V5~FbY}1fS~qvtWKlZH zz>p)W(%A+kI#;sK&JFg53_By$xrs$82+kIm?hNn%7Z_@$vx^;OQE-D$vz*Cn4@(Sl zAFGk#EB(WEhdm& z6B7BVYLQaO4f9W zv9ocVrnn&YV^&KH*W@}6lY9#zv}7*NHHp=QJnj(^HRnoQlZ-u|LqwV^$ZJJ&plYOO z3$D$pNm8T8@%u=QT#IYEF;jg+G*Zl-%kpZHR6}E=?HFNL_-lq##({}D$-xkJcy(U4 z)WOO)Fx`&8<6c%Uv{Lw~2$%TPYJ;>(NGuUo`_&}Bc3%`an+jL|HPn`dY;63gFtKdh z5~z_0)3IzJL~Dh)M-au}m#tldi5myeOr})1!bE+!n-IlBQOhC>++K)c@I~DiVd9=c zG?Nkaq%g5paw8&&iJ}fi7`QVL#o&voiZF4zBAUsFY6Vgw#oa~jVMH-e)bI!cH#MRd zd{OfwOx)>+W-_9#6DICoa{D8SiK6yI7`Pu2#o&v2Kf=UKl4vF)>L+1hXXDOE6ca@? zN3`ynoWVsg_@c5SOx$0IW-_8?3lsNXx#<$cL{Zm77`O`)#o&vghx6Gi1m7`XQn#o&v& zBErNCp=c%}>OPRtWQQnf|(%R|zKv3~o==#mN%h6XD{fRb5=Zla$~GcP9u4-aB?`|mRVh#l)OE{#f`MOxO~a`BAnb} ztCQ16J|>(TUAXyH7bhh*0IiWC7q{f<;_@Z;iEwhWu1-!Pd4_Ouu;DgdU7VD>Il{%w zy}G!3$&W@jx$Rdcr;&U}I5}!?L$EGRN{$0fk1gCLtc%N++%dw*4a7P*jpXsd$w7o$ zi*<2QGFPj5sNsfVU0lB8`y!m&ima2*l27pCVj?PQ&F(P6xe_Vt$KH z$7v*w06k5%I_uJpQZE*c$4{4!nMvI&T!&7_Wu!d=dLzY|EH{I+L>aPbPJccTCQg4^ zM3{(DnGOmC5vym71L~x=6*`B2>2=bF3Z32giaP0Yh0gADXPxw`gwC$_~$pVn&z?j1)l_-wnZ4;{xyRy>d^=jcfg}^Nj0KA6ehL}Zi`1TQPeLH2JVwbG5Dg+07=h) zxp^MVWJC=VCblx}s7EnT)Z7RIx7ec?d{LVsOx$;mW-_AwB1~+r+>DQ6qNsNw4BVZM zV(>-%JHo^*`)DR3DitI>|pDT13 z|Lde*C3G48>!jZ=bQ%Baq`x9`8UL{nSi=bZ_%C!B|Ldd&5sUHv*R;s^FARKq5tX^W zeKbrM_&g(;!5{yHfe$#M8T|2I82H2^n!z9cg@KPiq8a@0Ul{mIB$~k=|Am1MNunA2 z@gF3N|8+3<<3C7^)Q}jw5gK8VE3-{lh**yW3WoS{Pb0;6K4sAYnGmGaLSnkoTBKA$ z(0NY{hHeH(Q+xzdoj8?=Kzjy()`O2^G~I0V@FaL6MZl*qHIkal{|$PY;**&gG?TU= zVzthEQlsg72MZFr2x$)hAKGXV3n$x9elr;%Oq^NqNseY>l2l`!C5TN^e5w;g^E0?k zGMIuSeAH7d1q$%Qdm}X$BtG@2MS5LGOF`lTpjxEQg>*Scd=^xTq!7_a@k980EL4ls zR7f{~#3w|xNWF!$6C^$?szn+jqz6FabE8_MMM7c?@DY+Gv6Oy|ZxZ?o_{;yA&Z7Es zb|MUf!gUOP`CoIf)IOJPcn5^b!=oYo*IYD!&&BQ(3>_D)9^l}A%|%=IT%QS=7 zMa*OvdIPSXrx4Ka>kSSbvAzNQ((5Mmd@aH}xzftzL!J4lC< zKc+K3C0u+Ar@6!!)m$_SY+4~)d^o4M#3I#Pv<igPjiWts<~({aP1T>J_^)aVybE`8Vy`8 z30D{o^fXtLy+{C8A_7kjuU|<5K0(w8qD;q!KStY6o2EnepmKB3TOwG|l)5^7CcaU}#E&pN~zo zR^$h1bA-evsG7vP2uSxwNFPQ>yaMT;2!bKL>>3Qcfa^5H=czh1@3f}f^2#*vFNKRw zS?lEDySK=z9_WqK5nS{6tLEfg&>yIJA~sF&S!@j&+5I7EoMiAElJEhnW-`UOMAGs( ztd1rVL~fH5Y?yo`Ddd@tJKc(n%rlWo16T ztwl;ggsxIPbxoy#p+=WT>@+_%Rjw!*bOv||7~$eWSV^n7OiNgR*idt9Lnqd|bPuk> z*T&-o>Q%2JF`fm711*477YGVj_LsOwTznFLlZxs$`+)qNuzIiGoR{FY+&-Xv4j`}B z*R$-=nB&$@e~#pHaoL~^iJ{pE$fx0`*4>T3hNFFfdlKS%?XS=HYLX(0|bsi<7zx>w(0F@QfiTt5CAH!p~p< zlGJmX78c%H59plx1to@?pfpMSZWELmb|5q2SePC+lBQ@h zF+7o+7iu&w+<;}6&*In_D=nACnFt^Tu=P=fc|LB70|vnlQN1_?^a4s`e;2}A0BQXx zG!gr+$&E+zG7P1YoA5HU8P6p9CO1#&32U*9C#P=~Nmh7W5U7PlG2#D$Ik}}qp>Rv+ zl$@cF8*a$#T3sUP62k-Va5uR%Gqf}6hku4L$!)F>T90ra1=LofK9Qr$ z(N0pvc0o)q)DwTx)ByZVrLC<{8TQP#H)^~IG2zD{u~8d2PHqa-c4&)kJ+ z@Dh#+a|CVF?HaJ+9VBHnRlyLm2)zYWhNULn+6&orZwDzj!l1KDMs}$UG>QoyX$jO& z%$5;4qhRSpR%()_x#89jm71(kVz@b~G&Mz|r0`EDOKKyHQp4@jfutaIM$_;l2Pkcb z$V(5m<@3YFa`+^pWjG7nCbbFA_u$-CcxMBkraG5a;is9)89H5NI6%&38nq8!?E*Dt zaqNt&a7%Qg)O1bj6VBnonijeYeZxB&0<~n%wKK9|X||5g7$nb2-94taWt}k-U!6#O zSPQkzn6+$a1jU@O6kmMsX`wS_&72iM?iov0VszHY63@7}8YG3~#eqkBV|1Vb1~m;o zj-vV~{frq)7er92a9eUds?%kLCz127RMF1pXt|7@0U)g>WQG0-oSioGK179Eq1~qq zV?RLyIRj_>(uVuF>_MfajUX2d^(Ks%Hd3QLFa|SBS%sX+RNA@Uz(|rfPbap*E8Bp5 z4CC#LnD7kORvv(mH3D}VdE!l!v7?f?C?O; zRoXlelxtwQ3J-1xIGsZ+-W6A2 z56wAk#xLk&fOfb$sWY`!$L#QV&?l|n4m7?%#@85>NMP}#YQlPuqLPBog_$|j1VazO z*v(W=Ska!VkCdr1!PWeG+G#GA!;L}DOae+b^jV;%Pn)(3F`Ou9)^yFuBQDz=P350IO8L7t7fazwW>)QbciG<8gb+CiwzRF8BRCf^w5a+1$QDmz~;#fJIX zFu_oL*s7Uo+8PBfmSc+n^%o|%1cPd@SSb`crUfoA)CpE*FEy z0&4U3(^8tLQ!SB2SDCa0RO;D&{$0f^l7H73uzK?hG__z?u~0L0CPtoMu1PdHk|obh@q|Xs2l^n)9%FS|UOEft*Fd-_Y zllnLTv^VOhbQ4)-!Y7cFpAggH->66N-!Z#ZsyqWp&POA=^F*}mT4(x9NBbNaRF{uqCmkN{m-_^PB`(iqm)CSC@lDSAx(;Bd-4RL=&lgP(k zwX=b|s%PZ@;5XKUy}-h6lIbGsY``KcMx3sI5}6=rYu+g$?qQZ4)Wd1Wa&beIZ?c(T zLYRx6Gi$SG*<8}u`Oq9XsLRj5#SINrq2XIv%|A54e}m88PVt_mjx$GwO3&7)%GVa12d1;fHS2{eJZ#i8k8>9Q7P6sU|4E% zE2NmvKwe=jG~%u?;a;%BX8Q)8pbd^VZSaZO;Aq1r?MF7U8P-4*o20jy5Z0Lx$zhn} z&b=vC2pe?IedYFktH(+Di^R7NHeuFN)0shGL_eBFDs7si<<3 z+nB*1;kOYi{QjG+sKi*dtdGH(>5Dz6+cs1J}MzdMDDG>Mq4YEdoVAu6;~-<%2!&nXl3MdBMEKo4k3<>quT z;`GgFsob3YOw6SOGND;2fTdLHOeQ-_sIH4KrRt=(=2GW0RMt?cV_6@XFa@3AOf*?7 z@SKLMw{sEKe)h_lOu)+CPArwL@zcaIxM>`NO@kgYmd~+N|Ax#fu&roq=6a6f*$p|4 zj|ROfXS;)p(Ag$bBO7*`fcS2s#P;&70)Br`=FVrME{>tcs<-RQpj}}SjU28^upYU7 zi58|cf4e?4PsjX6_t$sobL=l5ZqW#tyi=b$ben4!oZhpNF-19JoMfHAtPLiEK z(WmK>eb41=Wgpb1guu44%R6IXr9P}b1H@)1S?2;DZ2`*6L(5eM>$6wB2;7T>%^Ifl zoM=MzS^aj%tVV%*afa`c7y4{p)XyW^dfH_L?b7RJ{9R+nTYR>!>#rc&9R8S&L<&+5MVY}$_k>znXjq`rD`IjMHn=YlJ+ zt$3}pszpY?wZ4}7>enzbw7QM(El(1_}J^WLp_n&ym&BFtjA8@BT6r z-$Pi4#;mw@{syS`mnN6vChRzzIuDa?hjfeyMXouX+#d&6FJ9Vkp^LSt#qxn{)Nff`W0)W zVIDBDKQy75mYfLw8ttSP*P2HS`Gn6lE4eS(z5=b=$Ncji{#bpu*bJFsWFbN=NWOr4 z4i;uscQ)|>hMG_6lH{6IEdvO@|0xYJ9&_d^VL;U`Syh@uAB;vS{CZ#s#Seq(YHycJ z3-OGBwop4U$su4ptaV7{;D82qc~7xuM`MD@HQFRTE?Luu8vbO2l|Yc{lAHlKqUD(! z=31vAwhw!L&L~{rSBd|sTk;UH)#&xiX@z0=+=Qx6GFy9%GRqj^lYjKt&Pl$pj@%MV z;X9a6jZA*Jc5c$2N(}iKpKWk0BX?3&VJI9WRiF<&$qM<4=3{RR6 zQvrU~Ro|qpkegU81b64gg3p`IJK~iMyA>j?+id*7p#-&$kvEA3WhSq+dAf8wYtRHW zn>mzshV9ARdN_%=o9zRb@?9v2V$f#01I(Tw#o{Km=I(Q8^I+Oyp=Pb~ZQl2DPmH-;h!^~9Q z@DdwR1mAeilqFVuxiu$XNz!>f?`;hlaweJs-1}Xl$Hykrqg%6vosfYZ-SqXZ8AoKI zG|d`P>5hHV<(hX){P1KGkzRjXwo}hTlIqk@#;!6`*aIfq3=Q~MU0vu-Qs|curwiRl z3jGDJ6uOfXI^4`Jw8ou)(f2RL(5`NZRplnH^p+$Rj5-f;pSMH z^+MvN9Jz0f<%3$jG2OO;8QvVr8`B};ksMq!ZjcWAA=WD-WkhB=u=u)*4c#+fjzZ_Mr#!4RxUF}$Epew_0RK>HGcPk zou3fa1sW{{>f90v$tpNk3bX>a{d{zRdZ;vR3I zQEusYt>jd2@B_`~$eQL&>UayH`bpIs;6`x;;5o8&^A^{J?b=|W^Z_+~hxuVHeHjM2 zztILyH&8_;+j0|PpyMZ?N?GyYh@lQ}z zJ=cIOw-sg@nfKYi&&#I51;9|?M@|i+|N(Jkx58 zwC&VPcBa;Pv0G^}dwa4r+TW1F2-J4l&Gm(ereA@frRNW@m1>(pT3}nnWj!xW2kw+| zf#CAXIZNv->(d?NmV0&Q6x~S6*XZd?=M?#X+qM!jo$={KYk2eNBCFx7`H`yy{*|-} zP5u#?>qet-|91XFAuB~s6v|ikyfy`@`M7LV&zRU6xb#xFZ=XF;m-liLs$MChFj&=` z1#qHJY{+-{Yy(r4)xnlIN#}N!3Du009Z_tYQ;aa=JwDsal;_D-y$}=KeDX^^+x(Pc zb>w!?kpJhiO-QMS;VC*dpPX=k)=^DL=|#4v`A)JSpXswLOt~;BHx_}P#pds0pKVjh zl~HW8n@|4EXS+4!!P-LmaBBLKAs4Zk?o4@?EYZ_au7+&WI?Kp+d&-aGi&}n7H!N#S zsP0W^fwn2uklEi>G!?5WpGVwcSf27(A57`?0ph#8&Oc@NgLe+t@Y%R!y5MR&-^T&m zNe3lBmm^7XC#^6!t(wBLSmHw#zu2y)V@HyhS*!MbQ~fkaH`R(Y-D#^MCUGST_jyu{ zih-1SLx?QVQi@FO=S1Q0KZ4Fn~Lo8#Qa`Uh|`aMNRPA#$ah1V>pm^%LELF-=r1Ydc#p|Ctzs4&rwGCh9Bk;Tr4 z)Q3cBr!KzKheYZn#4?+ED9qX1t-yMEwmZzx?Gs?p>S56;HOtqk)M!<-7FsOiK(tP4 zAzxC3^bcl=5>_sf&>Ms<`#RC$D#YosuM;iGiA9TbqQ$>~wH8;37Ja)KEjEZ2O98cQ zHrCW)KnrLw&Dg?7e{Gi5g74wc#e~*knrLwZaaxOMqDA{|z82F&i_ySZi|L}p&A?)d z8KT7-fLe>0HMRIK2rW8jEy|7b?X$HOc6ZEeFYvYKAX=P_IITqo(c(&Cu|)^b;(lPQ zMJLgs5?Hk8ELyZd+t6BciD=RBe2ySlLPzcJ#Yc5voNSks7)?6Q(Hc!ba^Cy3U8cry zHvItNj9ub5Ki`0-NiNh%-e^U={w8xP4<}P?f-q1?%icSv_6WqP5bn zY>&nr4zeCtn|qCo7--Np4H?XFRk4Y>Y@ya*E!gQmMQbqEr3QNur!|=CQUlc&co4_L zxh^$mMV!+pf)^40KmnRw3@jQI$h%K}1=N)@-}M#FoSX#q1w#K4bgglbn`hKQqkN2# zd+S~CA`^YVBCYk{bI`3LTKAS+@imCkTKAD%@mGjtSGqY+*yNk&;e}d@%a8?q#Aw@%k~b6{L!8!PbRunciddd6SnKOm=LG^!f}A}#caWvh>jxHVon<~#?w5}y-jcX;TCMp^??(*pAXCoFg*3vg zl4qA}=(~}1zr*NTx~41FNLMY<0&X>-s!EJrBXMJI3p;(UdeUq2O#U~Ra3}K4%BMZ~ zmP{{sPvir{q4|D74UT%M#IROfglPOuX5(m6zMtf%yxB5o094$^F8H}O8a(#4DVcl$ zQGFpD1^_cb5qZ2f`rJ{ajy=T;E1qPs!#b$tJMvmo-^SQBxY~ ziRa6nUc3~omFZ;{li&F!RQo*-*~+X>7JH3zAXR43J`3J2m7jXot1&r*1qQILZuV5E zVF)ePjOQQ=IuO!EyIFL76mdP4(TKNs@*W}{grUfpO_0SZUF1ZQJjaATBME&B$<1Pw zl(73Czr>ddwzn-rCJ(egCeI|u9VyQwe%FNKk%BK9>kjdZ3}<&CPIriBWjOm6ur%rC z64<1@!4T3f9eI`sh7jEgKTFtda)r=Nyg}jF4Y!{vO!WFov;n#zhocdpcmX>Z&q5KW zg(k=(k4iV))id5R_5!i zjSk%DQ%%A(Ypk8RiEZ|4N0cu18CPhf&qt28&h#zywL_T}*?#^KQMBC#m_jl-ei zb6{<%?;N)4YNab3js{JK8l}H?XxaXNTInC0T1vlfbjhdEKRdOReuQo04Xt#RQMTNK z%aLR5EMMt2MClh0rUrZlzvl`4h{2_ek)4pa#6Y;=wj)&Md|5emo?lwwUu6O zbSS3MA4MsBJ?CZ*X{CK-m#oy5z6Ci3X8TG%BuXDeoL2fTqI7V$uk>F;>Gr_d(ho-| zT`EfFgDy%xB1*3(yD0r=ZKacq4rNsO=_sXl#3FLDR=UtIHoR0T{Umav&nw-LHjC16 zBYdT|h|=wd#nM|u=~2L1>Fc7DE*7QNfi6mK6Q%DZyC{8qZKWSGx>Qo>KSe3MF$0kc zwbJQE*)kJ;gdFL8ODnxll+GOKE4@gR9!V@pFA}8}18b$1L@8Y;O5X#zD1DJA{R-Jd z>7})mo^5nUr%_i&DSdrCM0N=2Nwi^Xvr1d~f5?%Jz_ijGL+pqnM)^v2l6SLj02U>> zhuEXv!G56Z8Kt^MV~li@L^EOUT&g$t=46K z1X<8unbzWMmV&IXQ%+ z-x%^1WHEc5FZBVD`ZvUBsecx!zYve7>JJ84cD~CkWq(Ap7ze1ec+_Z7WXk@0@Xx*$ zom3|pZ){Liny5D})8$@?oFF4XQ$; z$aQP9LLHIY-1)vjO+}&Eh|>z4Aqs6G7OR~hR(p^dh=R?Hf?sQ^rHg{cNfxWMFbY<# zk%?1V$*#h7V6D^_ak^QW7H~{<8J;Ftl=?ER)*RqZMpgxt z`X;W1VbOcfKLe4kX{8d4G7p*XFmj~xKdsbjqEv^mzEZD?Qn|odsW)pYbwHH5h-6Xf zt=dYRZDb^}dkd zDAkr^QR=bUN_}KxWmBmqqm(+6mbyhNm1mSWWWo{1kt;@Rsar&;^@!6-Z5O5Pizv0D zwo-o*rCyCFbz4L!Y53P0884Vnl|(7_asw!KsaCAQFkQAzTZ_+P=wneUcBv?K=6GMR zRifAwU|ofm)mH3sQEV;AVy!h1#bmgPH!=!ot#wgKErEQsUa$R%4CACLwNiH>NBVWt z%b4}D_OmDG_kA|hgfh6~KCXdy}Mm0yrB^4K4L}y~oyT z33^%aY`pww16Stq3=mu zgn_aWy8&@M-)V|OgFXLgT34RyDKd$#Goi}$x-CR}w|xBJiuW@IiO#F15>4*=O=wTD z#F3?za-J0TEW{znVjBIcdaB&8#$BZqdjMoQw$v5=l~}CV1%8EpB^DhE+(VyEsi)s6 zD85WvFf*}Yqs|BAKg2uYoCKNFB%18cGU2tzf*vuom^rfgdodzruB`q(2bLRofvonN z$;kOEwS|Tr9gn-6m2EP+%Y+@lk%LTo&>N!4i|3&`ds{wK`B!r!x+q>2@TG>kO%2GhWvz-iWH@p3M4Tj`pfKcVz$ji?h?amdP)*N-&r34UF_ zYvcJ6Nnk~}HJ}6y<`HD3TmE)QxB!g01`R1lsA zCs7ll64sl{*vo8m8BHAh5L44U!yh zx?4S^@;B;TiWNyHWN{k!d+9<}n!*jFbE4kiDgl2Z^PS8n>A37wSyWVm`4H;w&iyABKq1eTDo1$)fZ*g55b#t({5?L+b>y zfn&6_wrZ^hlI3Dw>jcsIZp3M=J<+-fSerIcvK=uOwvmogKY?lOZPP@F21307RINlq z!H0k?w?F>SORc=Mz@aOREqO&y`HI|U6siI{-PY=N2UY~R&v6wO4}4u|g-pCY1?>2G zYoxz4$k(s=ijThPj{&9kD3j9!6Y5tLHw8OE1^ud`zNQwR#37e1ajMkt9x>r=WOO28 zmM(I~{2X!GELn2LbTB_{+nKh_isO={Be2+^o7^#H0K)ip2f^@jtc;(PCie}+AmPX7 zA9Ss&o6OJhl3p*&|Fo1}%b!Al)QD9gh^1AjN(;tj7Y!8W{CzD zf~H3Vjc)+f_i)?X!z=X5$;H=ceJW@TH|`mzRAYYFJJqN=%!EDVRkY@D`mRy3n#DYs zfuvvAGBy_*z9u*5Y+r?3dJ8spBY7#$USz8DD|!5K5n$UuisZpt|5L>JT&K{vnXM0B z{!8#EVma@k@lC*bQ~jIB;~+ho`Btaj_VpUQeyp_3Ds~ODJ}VXyCj_K&(v7yCnvio7 zIxN;&Pn4sl-&3rtl_v%`D>)1ISbd;%q8vZHkXY2#_&#DeGpg}-#G>{j;qOyuDsysx zrM?0%Q>-vW@Dsq$@_0RHxk8^+s5IKWeWSMRH(;R;W!*i*{J9w4wJk1H`T;}n+JW-s z=uHZ_9pntQi{8+wFu8QOS?Bg=B&C;SUFI&bq4O!?^a;!?aXHr!1G(PN$&wA7bBX0X zl;v>Uyd79~1KGeiLMZS3bQj!y8OkWHPxjC|YsCH1p;J$x-wdoLZj+srH)*xH^EH=Y z1|+gW4RUI{j)8tWu`MD$3aKnpkl5`yZmK~}? zA?~`~PAm_g56L#nNn)u~jR&H8=t|XiHgIdHRE_Ozy8MS_*Jcx_y8Istej8Yqzaqr3 ztuZ==uJa>8p9!qXpXLI>vZ_uINq6Qoewp45e! zD1|B@mWrJyh1yIkg_ z)*3an-+&SpYGG8NKH>y(oUOMecj#27Zqs2)6o}hLx=`a~pnMl`ddQg|Z!b3m-4sgR zUY-psg_tY@-EKmunJI$lU|f&+7utG1pkj?K#8i2EIU98S?0cF#`@Wi34uR*()9iPE zbs=xJuewdEDH}G6{-nXRCR7{j<50E6eM25RmKt(7ZE?Nb2K3~n z*{WYYl@;)GLtg8%t+8vcSUKuO7b3n@*6ms`-=lbwHQ4V(e)?_e@3?pzi>Q7w0#tGU zSOM7o?#4)ciXYqwY%9OKcb3Ve+fJQZPp1{=0r?^iX6Bdm9M2nGjQYMC6I3FHLI3vf z<&}FcLZ4P@&>&1t!uJ`_8FAtJH5mLnM)R~vPMVby$UB-Y`41k@rbcYGAKL)hMR>C! zv6wnVV)OmjJ;+Hd;}KWnoByfF_rsRJmvEMZ*m-{J9X9aOTooX;(8S6&7i?=klr>J; z2e!xLA_+@0V}wWU7iFy(u%S3pg3s{hN?iV>6ty$ zm7fgJp4mg4{t0u5XLhHyXLcu9ouqEYGrN;wp!Uq}G%`?oW_MBz)SlU$Gy}C~cBip{ z+B3V;#6azt-Dzr|_RQ`yGjN!AW_Ow!s6Deg=>}@g>`qISk1Dk@v}bmwm6{_^duDfP zduDfPduDfPduDf{JhMAdp4pvlY9aEDcxHEcsmla!(w^C!D9`LplxKD)$}_tY<(b`y z^33i;d1iNVWda0mVA?aglc&~+{Fi*s>|v`VBE&O$xRz&jA8F6*;aZ;AePledJH|7+ z^AznWp4pwJwTZQ7cIO#wVeOgSk@pGdKsy*}hXnd-G%i~ljg#;$E*MM2B=&kgmY&(S z19;>W&+PFn*r;uKW{l*#N}eSY}yK!OXQNC+1*095{U-HW`{QO@5v~$0HPmuP^?tXh*koL^( zexH^?PVJf9J>El*_RQ}7I98DM%)%b~jD&!}9RVtUa^4P1U1 zaFO=R?k-dXf{kZ(cZs?|u<^|9E>lkmHlEqt=J+r%)Dc*)6 zp4r_s>O#TBGrN0*x=L`F_RQ{XP=66@JhQu3sSgDk&+P6sN@1*zcxHFEscxHERP^$$S&+P6k>Q2GNGrN1MdR=gl_RQ|yrv4+?cxHD?RFmeEXFRjJcd5aG zjc0cEUbRGUiT2FyKA>(AY&^5O531(`8_(?SZuO;Ls zcb`x*1sl)o?$c_6VB?wHeO5gvxI%kocgxh*m!1l4=Xz0hG$~!ncb~WJb;Y)*PhwkPZS+e z!!xb+%5{-}vL7_RQ{9n(?(* zduDfkFypK7%CFR$i+1*&Xmtf;7Rpv%7ull(tfS?U~&@%kCxE zcxHF|+T#Tq&+KkL`x3#%GrOB@-z?a8W_JhLj|(=Q+1)|*2ZD`fc6W&VqhRBi-5qA9 zWKzEI%0yL+yEsbJ%o-969d0p>u4@yza?Z$B;AcxHFU*@pxh z&+P65`+tIqv}bmAlHIJGlwW&hcPHCCqm1!SduDg1+We4wAY;4s%enqhH%}Gs zcdxMHJ4pGpXLfhJ-A1tS%?wkcXLk2$dxc=*ncdxN-y+y}W_P#R z&k8Qnp4r`E`%}TjGrN1e?R2Dky;`JhQvI?Y9IQ&+Klg{U5=`GrRk!-K4YBzxK@T?y(05HlEqt$L+a- zjc0auuf0XE@yzZ%W&c&M@yzZ%WB*;S@yzZ%XVbqz#5245yqzVuNPA{?U$n;yHlEqt zmuOF8+1*!cdOSe;*PhwkS8X~p2xOFK&+P8&HvJV~{-Zs!yKmZbM}YZ{_RQ{< z+w?hr`=9pA?!If^EVxX2W_LfZ9}#Rkv%3ckHlEqtkL-g&H=fzu!}ck`71}eqTVc2A z%KVLIcK1_zkYMAP-Tlm-E!cQwcaNF=YCN;MUzz@DJhQvs*hRu`JhQvs*|!Kbp4r_W z?7s*$p4r|1*l!9pp4r|1+Q$SN&+P6=JHWkA-!r>=%5EmO%y?#Z-_>3c=41IOp4pe- z;{UMs=7Cif*Z=TyGawJE7s3)$G{_dVBdow3UiuPf`DXSmWgXsgaI>f0%-*G(F4ddayR>~XdzZ=%W5EW$nY~M_ z!!miP-pt-*E!C^ zE)5T7)H@v{Pe3K$r$Ifv^P(q^N(eW?QlS(A6u!T`Phfg`$H85(s*-05@B#^0h410U zEpnTxCRv3z+%Kyt^eGJ$rQsO|sJ>N#kd51D1A?k&WEJ9YPi?Bw5DoQj$$Hd}yX>TT z^oI5STY@l5xcOEfJUR#Y3xJ^_h&%Blc?=cQPnPuv#=W_$2ktVU`v!1B&YXJ5!9BUs zLE_E8Q0j4euBy-v&~b~bLeFt$uBs@Wdw|hbZphoPUzHLvX0R7FRs3$K_q9gci>rDH z(^uzbDSDcA8xAV~?zVcY(r0eD8> z0dU*ShbpB74-UK_YSnBB!XCiW1P=&JRcQboFL(fK>N*X=GY2n-Og$(;c=m?}5grhl z`n?9=$%F^MrasjmJg)G9$W&jbQt$4=GYk(1O-<7PJk;<2*i=M=@T9{FB2!mN5T0M+ z@rMV5rtZ@KJP+{z*wk+|2oFiTATsqY3BtjKCnp{dn(7H`8u~VXO^wqaJYVr>;bh0B zwCd+o@zBKsLQ_o|fTu7X0GryWL3lLd1yQS>lOR0h#q$~u2u*#Y0eFDp0kEmgP^Df@ zji)+Z5ShxBAUsFLqaF_kO%-YYp8a?LY-*hb;en7BM5cZQRej|Nkq3Zg4$4YAF7i~e ziFYIb&yTzSQvWRg)Y!&Dr6dqeN_q;s0O}M9kDB~YY;dkd;vtkTk}TIrBu*H3JmrVP z7T%&!cxdH^V$08HBpzq^BFXZH5{dH%9(4I3(Q+!(sW*1x@s}TpE#p_m6%r4|e34|i zOd|1N1s<9CA<^;=Gzt&W{7`K9QH{hSHeV!Jen%p4cEQ6pKO|c20CnnJy?7+&hhoe4 zvwI4OhjqS4vbJofWNlI7n?BtHMa13^C|TK-z2 z@R-mK#g_X+y_(DL0MQpomKR7QPDOaM=!Znh_^ZQes=)(CKNMU3nMUGKq%V>zKPQnm zGo798k3`G=(x^$NL9yjtP~Rtj`pQm+B+JvGzHi{F)1c65Od{?-4T3FRFHyfc9g3_y z4E22ixRU)`BjuEpy!`p21mViDH=JlDg$?Dvp>Je8PC?^+>(2wO8rWgq`W#u0!+D)= zJ^r+#8YDOQ)^C#aI5Zyjt-npyV*(%bt=})}G0i^rt$$nAW9Q)su21`+zOOvZ_0;jQ z`8*KoE01@*0J+qLmo!TNp7(kIIK4uMS4sdL0DA!#HUKn90GRej~DvKN4{1HeE4sKq!Q6)RAi8{}LKz_Viy zfHS*7gYZDv3!=onUV<=sc*^Vn;V9az0Viz$o5G*MRGEus(Hvo;vNv1`d9<-oZJIoQ$3(ct$^|H+zTR86C?<;3{TWOAT(8?0eHmj0kEmf8ieQW zUJ#kOU4k%Q@i5*4LQ^kj0G`f!0Bq`S8iYsoUJ#k;3RP;#$8&rS2u+RF06f_D0N7N% z2H|PH7euDyYsUh3{OY6AtuK-FnDsaL)^C#aa{l+NzfIQ5`QNvGzpR(@zi<89vR=;r z@WjBU{ZJ3{zg?&2f2e}_-v_|+zXag(43G9Sp8q8PA8>d9JpW4oKJoAZc>b3Fd<5bJ z@cb_U_)NqL;Q3zy@F9s8!1F&;!Tj$7;Q1e_`UIx5bDW3R7>*QUU%N96stXR=|O~WEH+}8K3&JsX8dD@ZXf-1E4ll zf09)VP=(Ke+Ejfmt2RRwJ{D?I)g2h>rS15Hs7=)fS%oh~#)n01sxFdM_zGlvZq%k~ zwXDJ(z(+``3R}rNzFF2k0iXDvs+aGUrcT2_1QVe|y#t^4pF&})SqqM&4(_uOCGgP@ z{HIVD07l_(>fnATQ9}WN|0xv4f>Ai8;3dUS*C%iZeByr!CEp@Ve&N7^SD8vwBYfh2 z3WX73T{yZrxXUH#XYh&tDU^I)H0i=22Kzs#>l?raT?(P2lt!cc9tprFUkV_@B%?-v zLlS_G!W2M8NCu1mA4&i|8&d!o92qMDbb_kB0eo1d05UEzOavG%0r>Px0c1#Igb1)u z0`PH~0?25{;1Hlj0`U2o0?0tfxDeon5`Yif6hOv74mkNiF?&d&@G+c1$zT{1h6Q5! zxbYYYLb&*8j<2{9v z0Wv5I6`*P)3Lp9@l#G%=VY~qKBZ3o0?w# zECuihqEhP#9X|X40eqEv-vB;WR47mAXgVG*QJXZ%6FRH_UCmH*Q=_j$3Ot|%JW<37 z5O@>?Fdx)g-TMaK)dHS?Vg;a%qktNd_*VD6fwSQOqi<}n0t9+NK`)EPx4QQY%+La! zFk=M>%tHY+QqzG{E8}`CB=ZR@qkB?22SBQtIE3_(nf~uLp!;6iB@WMF$tZ?ApP70_eU0e4eVL@lH#5ixj2!yAp*@S$$Dofm+bj4(ipL+41?S zLgHPJr?X+e?Hj;nu}O9BfhbQ=^QD44Ac7BI6^NR%R?6aYSj9#Vn7mp_U}EAUSy=_# zpGz)E;)7WwAnnk4=22OX9DGpQ#?J3$72@!jZJVlp$}0S_GCsa-Q`Hd|s*CWcYZ?X^ z+!(021oE^&Hn z{TFb~ehQEQw-q#JcNKR|Qp?ej;;T-&$Ls~5Qkv#Q4e@NIY` z5rki|R(|1&+=Fdi-YgelRe-0N5A&0?~8+4w^3bE1JFt^*ipfK&J<$ zUWbzMB|Ov6M`;Y+4u%Txt?l?S>Od}n;L8j!Tu@_5choo^N%v3Cj2VH2gT`|J7Loma zh{e}Po$!M8T6i7fB^XysQhY&sAf%d;)~P+{;H&dGeTP?hs1U!%{~(%LGF)C| zbef8F`J?1jw(^ax@EzgU3mx1Wz%BUR0s8qmHn#xDbomPE6n+JDEokVEFO&uj`rH3m z$XuY255hPG(gXhlV=1qwf88v0YKd2pBwkSx7s_{G3w{^&i+~+~@4^=8IJyRY3?CvL z?VJN@{{>F9JCD@<9o6ESr-6e2=R*1RXu)rf?mYqIFG2ZLl9Z}eDODZZvjQk^-XM5l z*ed^Nl?cp30epLO`&MZi-|pPLl~m&!m)pk(S+9{XgN#Y!pa(I|p9KQ{M2yVq&#+>k z?6=Rb-v&M>AxI98J^dN>^Z?n-pJ6u-l>PV__T%7>uoJ&PWkYJC@Y^Y0BAGE*zK8Bx zSiU)f+qr;{B*uRN2o1Uo4J;1?E}IC-WNI&$K8!+T%Yo?({8U1a93Y3wavUxL8lv!W z$K~kRkU0F%^9tLjVZ8ZOWmWpyiB9Vmy;R%x|7)&RdagxDeFQTQ2;*+31JEx0llndsG3=+)3JSR=!5 zoe6^^GX~4oQ(dlVkUn+(4r@u31>(|-@Vk+O?Z1K7tSWooE5D~y!6K{RfN z14RED<$FndCI+E*0HYwb9o&*N3v|riuIxFO4E+P`!DMzR@Usiy!x@DMdn-X^AY^bL z`##`hZ^w_#@G-g-*kgW+mwWKzNBD6qe2jetK01o@kRYsEYHNV56G@zvLl%_{Z48uK;TT_VQ1#m)mWHuVl%dz6pC8{ylDF zg3QdHVvbCP+DS(+1~+40w!r1&t&nCTcET0>9m7W5SqKKQZwB`0P)GPD&JO~0YAX;= z0WswYXv?!ewA;4xnWnL?NUHVertCX_%Kia-O#T!aamh|p=QsyJLE!t3PJy}$5zq%e zy5L6uK1OGE0&Nq4YPW6cfuT(F{Zx5Z5A63&e3CvPS}ulYl;9 zJ3B#9;IeG|N%!n)u&=-m>`-pl5v;%db@apZ=VY8xb9PF!6YLC#?|p%Yr%}tJsAcLg zs{Mk>F%X(D?|}6&e}j*4R`sV?^&Nab<7R-yG5F(3W76PbbUj|;Z>^8Xf)D(Y_Q&p& zw6hcK-@YD0Z|qOTiTqMR97Dv;A0y&jbZ#0>-M^y5c$dn+ec2r${@LFm0`jQ$P!M`B zaFVLOx*OS!Go!_E?wAh$wtK?`SdZiBV_?l_fS*Zz@GAiHyb>}+PENZKw*oFFz@+vt z-UIH&i+Y$vA9)a}4#U;pbJ5JBsAkfLVN8ApH8T@6@Dnt94ge6}a-mXlk4nv*D<{62 z0pL9^%i66?6B|(WF-%Rd?Y5PZeu|fmv}gq(W+3A5H;9;wQOtr5)lId8s7J($ z7fvG`voK8tUk#|)s3&+A+JRd)m4P=eMx@atQCyqDsJCi8D4Cd4yPQ#fy19+jTy2#R zYZ>|W(;j{38`m~5>Yd{r6k7d~YI{?n1pe6xLYb73vIXZ*?J!3DD;rTs?L=KwRNKO+ z<6$3NT)UG|CpNX!H4SzBj%q(;)KTe^jQ@>SW6a^}T_D#@Exz^u*$cNXpYc5hb4)I% zJF0sSW{A8Fs_XyZ>r0$DfkMo*;Q@JG?c9Uf;kUu4JOtLtXE@GW`HH&X0sLNU;2OBD zgP%15A>4J&$LrDtc%S`nsiOw2=S4A_M+R{F1vi|{7sV5Blg^ZjuE(L?>4K}r%R%$S zcx(KQ{vhp9E{rB89zb#_t|2;#wBzXj1Lj0@wn#C9#LO+Nh9yGr{uuy}zgIr-SrjeC zVbW1#5NbaDE`xg){*&xLU_SmXgL^k!;O{cH_o#~n((`fXIQ%BURuaY=^`LI4okh2E zyLAur2;dJ@ru241x5=HSbk0qMMh*q(ut2z*8W7z& zcSh*|Tz2fLRJG4do!AMM_H+qQyzI<|AZ&p^ZYoN2?eFBKW`W9XgMoleY$|BTf$z(tk4rH}2|$3DFRL%JyAY3-%WzQ{pm z&PjtvpF0>n&gAz>t;U@kjb_}9#&m_zI93iy7=o?pv;ZBM zXj+HTlael>c5;#{G8j{&8K}ugu7M@JvWk(IhBasprU$rfz&H9id}wcm2|QlTO!5ZI z&*CXycCr%GI!Cw0%(ybJb4ejhY4fN7cnJzGBK=f*vFxP|j+1Nbo(E3OCn=Z~)OcQy z%#h<*{>p^&1@w3z@O8JY@EHKw!RxH5x|(QKO|-f?7SCEzR8W+anH6s+bFv!a4Oy|; zvg*e2Xx8djBHor0-`JL+YO7U+QzK0nPW4@u{wiY zv#usf|!)kkaBl&@`zVf#{%}8m*3&k+%dkEdVMJZK#RW zu^X|<+R|#J50sRaC1Q28tOvsZG~|Q{O_dDI#&}I>S%Xvp)?w|GvJ>{P_$TfVfmD&2 zBWj97_I42}5;^XCF{eoU+Ho_*(@jNUdZw6JB%X7c#Xh)t$=N0LG&Ro=8|I5H36Urg z-HwWeBGENd#7~L-6(Uk3(vFI%B5`h}h&GEp%_3Ix);w{@Nf{cr8bw8?9I>uQbgU5T z-Sk=FvZA%_xng{Um|rBuRER}xg&0;L!bM_8g*YgV_bksA(^6IkV&WMP?UJ%GutUU~ z#o&}#z*^dzGP!s0_25)WcHmC&U{i&7&2iHuF*;>fV7XY(Dtf!El_HkD{O%T!)qIsG zNxw|2hcedOGO!WcyH->;Uo9?eZV^L(TaezkwMC3hUoS3$t8n^;%`IX)UConq7t&Qh zvv|ijmAYJXhIm0FVu^pZY-tqdr!N#~ZjM;fEP5A-x?Q6CaZxv4bk7m-?V@Xucs8(0 zbP9++bv`A!2gHkKopQ4p*G-<5GAwo54OvTfVsp33xu`W>-y~1|r9bQRM!??y2|R7Y!-n0{ixh z&Y7Zl^U)RgKN*^E$GBGk>V=Z#c0$}EQZmq;+tb0fcH+enHyy5?7;t>Sez6w4eW4^% z!3H7hNr1US+))6%za&MnUIy$t;+|Auz-|q@dx8JS(3A@U@9Y;F-Qz89i{qQn#bd>&cjsVi z%dui?%bsCw(Wsx*=0EYd+k4{Tl!ETX?)^KV`#XqF3eoCMhNTP)962F67D0G_<7^kV zH@QdM)XQ7kUK{tjQxA*7PLXK6tRiJV&&gHW+)I9Xz+H60{Zhn1Y@hqc0@2{+RE?fT0K%ojBYk=ndXbaR{C2Np~_A%?rH%P!t|n+U@s)os2w zA4dM_qvE1WvA4;s7*n@!+}2lozia!<8APKfgoq8tJy zZrpUr?LX!4(u7;Q-0kUJJgvoj^}9b#aZ|;610eRPJO2dv`3z3X>-UPA1AD>hRm(7{ zUk}2lUbPIP`kUcy(O7X=;R;r$lxHJR)e%tDXFiON|G*^D4pI@w_1iUt zY4FL2M5B8ph<>*ObOPh*k?tW>bu(BGh<}cN5p#HjcwiF@e<407#?gNZq#4o}kXr_$ z!4HaY#Ql75^O8NEx_v|(Cd{rlX&x87pt!T?I4HbgDUPD=GSn!#VktJ{y9^vSS1gr5 z`Yr=UaH-@MmFc%bi1E z@EsPlsO}$O9CkMi#ZbWIhw}2lq3$6F%*idyM@1Jmvv?!a{C25(6p&A6K)!*^qf62I z-5Jv6k)>#JcSa`Q_Ajjf`lXCRK<|+g)Jqv?Xpe0BD;X)H11%?R6tyXX!6j6zX0+#J zfc8p(=cT}2DR4N$tvEbhe0h1|!apo?XDv7o7hPImnA{l^YxcSW_K8pG-JI0d=YLZ2 z#tOIGP0fNk{9bqaCsU?AFlFkp$xGXbea5oq?!6I1U9B%{dRb zQ3<0vRrJji-`{Yk|LciQmcMbW`}>q3J+nk{0F-xL|?Z@%Fv!}CH${gtWH@H5DB=2ZiKrX4D91DZ;UGvPxd*r ztRcGr!jZkaaQclaCtta2*^K3D+&=CB5rYNU#H*K;EL$NuHH+VDYAudu#Q`~HV#&lA zqc(l;$8hlu(Q$9esIyas^b&hEbA47x!HgTPD2BNvWpvk+K|SBd64!Rx<<^LenGlU} zVq?zb&xnCVqNGR+#5?X|5lo^t(vJguZv=Dbjr5$)#SMYu54ioW67OtDDGIm?MUP$J z)!X8}Cbv}_gPD70(;+BR3Il)t#cexb*nhbar={2WyXhsOd-Dl#LzBDr{mA>P)=ge^ z0#=tre}u(Hv)Hz2Z%A}>kBbd^-xWKW-1MLPNNh}8SM1*9rY`&>^vRVii(x%;4-D{x z`{BNnfxX>nEuzNF*(dt!h4pRo;)0T4pF_U>X*JH)kMv8K6L7~sl0bh?X}n*+x;YRK z>tP{qNPaeh&slE&zpQanJ)feYLiA6G1;mE|9Ye7UgWM7 zX{}=8p{F5)3&bxrxS0z_%>Q&sOXclr!TzP1a>yKS8m9^4knRLOKzQ!eKDZEmD;ZA<{qlVyUtga%Jt=SaE;n?0(Xi03d^0F z8_=DZa{ZF>T29s%I@rIKzE++D&2V;hA~{d)Kvv;8BEdS_3IM0OTmsP*dtw4 zPb_nf66#+Pb)!Okq)<1LvgM$xCxoB{+uZ`Q02pfS3Y#ji)ICJ!Pgur@MRfrg`h)@oBg|d#M!f4*Sk`6L9fdDc-~Oo!|P#-x?cXXlA+@|Jkv#cw|=b zl*(o0RqhO!Nw1%-vkor(|_b%(z0K4ZQUn2x#{P_@4}Wg!$kG8=&@Z~ zDm98|y7*z>_)&MvgcX(7!0z+P;;SZJEylKrMJdB!{d#texb&zPvFl3MT#8PI#5rz} zsK^xO%oinl-RylWV(209^kv6WUtduCrg-o2U5j@-lakrlo$cin;= zUwsx{mQ}nXJk@P+H{*U0_PSk*ZeNK@pel_2RefNy*QrPZE5yu0;)t_rzgXzzyzi!7 za}Z*%F|&AGc-cM?&J>>txW`od__dN^cj?rXa8C)W?2d zAsUPJi(atN5o_m*UNCF#+7BHf?rA#o`D^a>t66x~xD!(Euij=1ag6R*45D~p#-T_L*8hg?1cnyJ z+`i(6n~pbscBOz5fOXA{EiEm@E4H~kKXn(mi#`{(o();(#)iY*`k58^GjD7eITdc3 zpVi>K?}i?D3;nnT2j>kv;Fi_3gHIyF^nJ}Uj+J2i!GFGXwV zP_%wMo~gn)tvO0H=evBU3XWtIecH{mS~KLg?lINO7Z@4#EUC=%s+-KnssOv@pmt$OzShX@zOQZZd)+DSj60%{kaP&#fS>n z+9q0lELOl?{yR6#&4*1yb^u=X0UN{=T(bXiv%3ohefpt&VhJ>G>UOaHcoQyG4ms{# zcMt@iE9@JK-5JGD^XDz@F1Smbba1+zxEIJ@z;W>qZ2v?&9e864R@@tq+weEG;Q0^+ zzP;EEM^pjv7iZUDx8)5(g3y=0l0U7 z=P<=(PoDNb6plUnpGcVjqj@%bS7LEp?YdY)qOr7k*2YA1Q8ZCiS3c934^Jy1@I)gL ztBc^%l2BbkB2rtLh^>p}M-y{m>tgY`1_$MhlmlP8O*BMHYhW}*;QYSEiNzzaS~%u! zXsk~}%bi$lECDC^v3N8RT?eB8Dl6(xrm-yHERL?9Q`fk(6OrabB5qG)1n z?K%gFB*@(AXbmWdqqWu5b!BVgj&d*(uaAPY`i3YzYyk@VipMUCMiM|()Fhm;NLfX7 zX{9r-G*MbTx1j-AlBjB^TOYw^G?D0rvS>X%eQ*lumP9M?8Ah~R#l@MwI2Ro$i_|F_ z-Z0IstErjO06}VqRD!e7b+NMO92oIsiMoc3X9}upVfiH$xwZ9;iP=@94GunlDUX0( z(bDpGX$6EV7Iz}Kmqg;F>)--jYFSnlEn6F@Y^ZCjk2E%x)x!f9d{Dvx1(l(OSPg`H zor>w~2B6DIt7W&TKvJ`?AM@eKQmkxYU2P@jfZ@)ot}9ItR>o*u4LrSxCl*4~=f$d{ zi%V;w5ZlTaI1GdCBTE5Cdu0YbT8TSA*G75rZc{vY%9=otn}( zBoAasd31wTE7N01v=TcAG7IAj(O4%#vmRO)jjS$>NBv_BkESkZOhANZHC9wa8}h+e zl275f822+y!%@sP<@oIC(m3W>IT&;>)i80Tq!~SJ0@@ITzE}sTw*;Pnfjjx~L0nhc6D|2kl-;nLNEoA!h)AcCc~71WtFi=SzTjo z!awSZ<+KrtFNu~#Ar}kaxnW%+4CqoA?Bxz-Zltjmqw37%p*JTQFKdXchNLR1Dvi}T z@zMx{6oL_L@VB9-rn$9kMiC^V>Oz?|G-ZI+#s=`Xy0NBKcIWEG3heCM+C*}8L0oWh zqxlHF;|3`VF)Dy(r~kzX^^_9l%us1VX-yoJ=Qq|z8_HxNMI!L6eHbYiPLn`Mfj#s5 zD+g+Bd`|un2a{h7NSHAqkQs?894Pr!U^=-erHMKe6Yn8_Q#Ld?0yni_fY$(ohd%tVtS= znhoFn#i2aAu>qHRY6#Da&nb=8z_f+4cui@;T1aNNljwnF`bMh>`v*;B`yPo?EyVow_c`^a+*@4thx>sIpxu6 zSjn8u>#(d*PGS#|Xap8BYiYT%M$H#%V2K3Z<4D4rMVFfP=jAS(YeG+Pui1#*=rly@ zaq&ir13BK}W~P<*0vw|FWpdxx-~t5gIpvKFkQI=>YPOC*D&zf$)+l%zQGMX+CuH*C z+{h)h3+qbD5eg};CRUj0q3<`M>F^?d50XdJPElXg!Cwjz?X2M7?1}1r&VhZs2o+xElk7+{<9LeCBgbc zO(DtMu?B7w*cF(bQc&kg1gqd4B5xp=6-F%VB-TL>mEjtwJfc^w5$w6-&cYQRTCOUs zEw6^@Ll2f@ODg`Dt16}J2{dV$8jH)@R712Pwjm75FVB(%6Ep5r7@9?J!uXfhm(#5?a~JX<7ipVIKwmObEyMe_L0=Sm%8= z%ucm1O~F)vZ;n4hV?f5cJSwZJt%y}NHo!gtjDqK|<%KPIB9ed*CGB`sqt(EKaTAGG z#VQhNJ_MnPay9!^!L9}F31u+uE2D|(cmv#SfQGHl7#x>4!^uFd1d~shVH;Q1)7Z-RTD67@+{%Z-6;1$N&CX;C^Q#j<+5D1K~LnU zQEuuLd}et$G@52fx#rO8x0z;&O!k3p$*`M*qlY!6a-EI6VHR5$I~9ZE@If!Z9y{s& zstv(1G_F@CFgmKEiReYub*t5`4jjgbJXuxp_8l4{ckmeb$*qV^_l;F3PjeQ}S$P zmL*PwoOGbAKJ#Z~@{(h*+%7;vi*DhukxUvWMyadOsu-h+v8osc1#XEVam;;87?u30H)!_?-s>EL6P-GB z?h7f3_w2e_*oP&b0w>M6Y7pW!7v*sgT~SW=F*$Vca1t781_I6J_>2Ni(`riBz}!jQ zWKg=FaQ?1;D(g^|>Y6-pDB8feuF?U`lr zFgaGLnWs7Rl=lGCiYr-KH0Z^!w`H&XaK(7z@gO<;<{6om(op7D`9O|8MW z`s;u(Jm+*Za55T!jZ%$z2w4tiUNjBM+>zNr_bZhPuqB4Y8lK1SG3eadIBeSFTsXV5 zzO)P<0qK7zh4D@reZGxPP7?^A+_vH^tF&w_JV2CpEtwI}*|6Dz-3W#e&YILJyKPEB z^eH20#^vtN^DW|4&q}{|%!A=J?Sw}JxK2II%?q*?SKak$6R(~U)J8WX-$IhK<9P@q z>-e$I0!TJJO~H-=pI@puG&wPSoJ~${|Guom+-v&?ktZ^o#XPCgcIv4pjaADBr;x39 z>jf`mZYkGz0fDO=OqGSW16>0PjJoxB3T-kasi)g^OR_aSa4m#KYjET$PjE2QaP!0G z>!yFGKe&fXg*mO5>8yrlIx0sh^b#0uXpPm$ebGi!^6|FOL`y4q+z7pHz7YT$gT=Xe z;UmvNWD=%B4miBB-vG!amP@o zvEnqq>AKknW1_%g0ysg(hbIY`vP|BZs2k(SDLd1FVKY~z z1u?fYJkG~WrupWFI^yy-CJ(XkD8Lv~t8%3umJf2h0}CSgtp6qM&+W)B zqp0JtGfc#wLmkbXsdH5m;lUa%4j1RPNj^D7s`afN$BEZmINA`02R8J@9k56Y*pwt> zZ1B4T_9)W&%7`~iJL>607Jt&Jy2Ys;dS$klrC zDVe!xslBTnY4q&h>w5^#!zy7x=KaFPnhM+*$`NIHHt7~U6Xtu|vP%Rgpl|iyx18!~ z@f$<(Hh~AiD4w*;O5T*=;d?_F91}p$q;ARzyf3D=SyjQeLhzj947xDW;_*^gO{>Kc zEGEn3>KY%e*45(7tWT6wz-7D86vZ{^EJA*@3G3U;cVX;7dT@a&9MaiLxF;r2M2O_kL>OTRw7^s}>V-tD?w4Xun#0FP8i9 z)2sxN=TunZ!f=2Aia&S=TT56y;ZgQS30oPDU1z!Uk8-$AKwE+;nuXD_+U#4Lwt0LNgt`ra6y zs8_0IXwDj#bsNSn1J2Ycgeu!N zz?a7$Me#d&cz{Sty?nWL(GS|!;Zg;=VU$cR{dEEK5udgFFLx{SA5DnRwU7()k-N37 zgjrB&q^QFezTh!dyvzYtYvj=xJ`0b`$)D|%!8e?oni$m8ub1cMaJ(8r8U}!qADTH^ z!JyY7wT(4c5wC*Zhj3usu^yi>G&tq3H7tYK5S7dCMZqx*9t6O*c<|ehHE^5>6DU0C zheHMEKy_4<-1+$I5xY3I9Oj)^MGT&Zsx*j0>2Fh4Tf;zAf_){D*so5G(1YeT|uAdw^LFU$a|Z?yQA}u+SO^dVNEk>^*q~hb_k_I*6$SQ~vm1X51pc0;GT;<2`I2UZn7jbK zd5wR7VsgA@g_yivvkI8prdc5-|EyUBOunaCAtr~w7t6^alNp*7VsedU6)?$VzzMCT z+9wQ($tN`{#H4(OiE1O0?->-6?`u{8lOJeSh{?}1tANR`G%Lhpd-)x98C51bYF3Cz z`OD|ZFDAPi6qEfltANS=niXPlq-GT`IZCraOpe#A0wyPER*1>DnpMDLv1WysjA~W^ zlQGQ-G1;hD1x#+#tPqo1G^>EgD>N&_7R?GVd6#AtFnOP5 zg_wL$vkI7eShGS*9?+};CV!<_Ats;KtO6zvYgUNK*EOqv$u~7C#N@l0RlwwXniXR5 ze>AIr$&WQF#N_9iRlwv|niXQQJ^Y>y{=q!KWJk>kG1*JA3YhGxSs|ste8R8W$v^m^ zH2DVu8-8|4{w*=Ad?J?{7LzLstCYyKhNU!_&w8p#P?_SacbWdJ;RTI^qFC;iT6N(Q zM6y`GDg5tJ1-4Yd>7?$`w(@MLJg?MALJRoiBK*UaF}>FC(q(1R2g~&&j88KYRWFM-!L_@+}NI6xpGtkps$X0?}K_#|<>2&x_B>V%O)jKTLs;w6?`HE)cGx@4! z6*Bq0X5};afo2sl>5>ZpC!fiVnpMc;1)7!5B>V0YTdo?TrK~Js$S@7DQf3BKJBz>^l7OlFF$=fx{%7;d(`niT!S#^x6{z)Y|#PoCU zJEQmqylh38PnP>yt1e`+Gl>P9Fq1trtB}dTniXbpf@T#m$u58{V_Q+|K_4wua2}D< z4C@vmZ!@g_t|*TcP1aI*-zV}%hUHcC6~)4M6kQ67Nx^KbC=?=crC~ivo#GtI{XNs|3oErIMW{)o;3lm+#j_yEB_gSKYxyYa1&F6`8-KgUucM> zg(2T)$Z540h&5L`9hK3}RAMukKF9E^X0lud!uZT53<+w8n>p3y|tn+ldKT3 zoJphGYVR0QFjXrGttPV8ucLmtr(%R0VB0RR4AEV)dtgkR^xKk&%1&T{|Mstdm(lEr++D@%Q-r3!4R z)B5q* zK1pmVvYKW?^4L}vG7xZ9+O}32cNJwTTEdDtXhkb*MJv3Dc2E;<*G&!CQr4Kl*9_p_ zEGjXHnOzIDb@PbVDf*F6|_Ju>J{zf#xz9(X8;bMBZpv zOx~hd)~$#YT%{plmb%HXSOI(Kv)33+=w>aI&mR5Auxv%vxHv-S-%*LtWcojbXW#j# z?oBEoN=$yES*NweP@e@kJ7gf%J5i5k*W!`_+9U@2r zl^98;$M;Z8!qowtbelG^;C2#(NHY0n%_{j(cO~&_Dj`ho6Zx%SjUq#A&?lH|?hdWH zfXUx#MJrw-(kQa-U6+x9N3>MFEoF7V4DxU$l^7>+D#`M?3!*E)QEjF-< zKoCwQU)QYsKN88ReC{B&q5@WQR4WSGimauKld8I|2bJIvlYKQS&m@GR(y8h~Dlt$@ zk2Jg>)0u{s#`I{zOK1AeJ(Ye4-Vv`&XB<^drxK1d?jUlHVR^-lQI+VWYS2}td-vA7 z?9<8hAUO;Sk=JWh{+&d!CZDci2Ur^BLMC@;MJsJZ)*8uHl*ft^TG5ItiM-XYSoe#D z^%o+I-_{Va%`IVb1zJ&shSQ>7ItiU*FrEf1%@Dr`5ggr7+ z)|@?((0N)a#8S^27JKq{!}7Kxof2rENhFu)Ov9te$Vju?P{KfRnMy_3kb?gXu?H#{ zFou?RwPX`ywDH$vdV=8vnVw{LX-rQuymY1)8eRs|OARlZ>6L~zk?GNWl$XBq06Sbp zf)G3=k7-u^mqeaVs$gUeC6cxK3|3pW6?KM$wXHlR-_xuxo4-Jt58LL$r|XN0wW1|V zF3>D1o!KLfLcl3v@`RRJ!5$6J9<8uFvStBWcd^&$?PTKtZ7Z~&$ma|zh0J!)=0j{g z)3Cg{$B}{-tti6e4>YTwmBbB`HoPFyml$3e(;>r4XS%@fGMJu)_q#P!y1yu z zhOA_%Ck)FgwU?x-G$g`O`wh!0b%d(Er4rMD>DS;@_4o(ru$8_+p&0ndf+w`@0wy0I zIat!XOeCxF+48fZFSMdOCLhy^N^C_|hOnZ(TFM%0$EfOpb5%oNb{I(HaKkc7ikN=yi* zml|G>>9>gIf7hqV5S7qbO#W1}@^2>cDZ|=Ja!2RZ4$VfsQi$^8tznP93w( zl2|aC1i>jLZ`Q1mrVEvdgH*yP(Gep5YFOu!p;9UVbu*FdgU|62doWC!vu3FkWFw+& zg|-p-q-KTLtg&U~StqiwTTA70JB(DmYODF&NsYLjN(?U3cNkuf={pTCjp+vsFP-Vk zL8fB@#+;(q=<_599mC}KfeHc%`%5C9Gpxfz{?)LCk|8$elj*iOs~5(SLSsI}Ha`8| zvXxEljG_|b$@C1v3o<>&@Y0w*WO(V`(6Rnp!uZUJHpFUf2}y6)T0%_z(y*A^Z&+S; zmQd9;4asLk+zOu#IYQ{aP>DfedeUHJ14p#in!|!$Xmi#vh7GY={|!r%DW5M7&Y-I0 zRHBDW_ZXr*^f_=PflXR>A(Q(wEB{p@S(Q(W*n@Ri%4!Eg;u@02LT8zJ;+Efun*tjN|7`aUgHVoO<(ok7uCPNmFQqK6GVLu6TS z6M-SJOzzXH{8v4yd?L$=)@dm#vJ8o9NFI|1H7jh}vLf3==w>YyvZbuZ{(#WWX{i!h z%8G0@MQ;I>7+I#jJX2&@u$n*+Stjq-to&z)WK}+qWknTQ%8D#Q$}}X8$=#Y2wryFF zts``UmI~QYR%AC5`Y|n4VoO<(b%v?D3{Z)YWxBWF1)2UK@qF(NtZX5NQ^CUhB5j7z{bAA(n>>c~?U$5C1|{-N_qRhV&+q9rRh5q!VNTmDnz( zPh{$L+22uM!AfnekjWt=2kEkuNLJ;OLAIg-R+Oa`g>6OFL}M$;V?{+HRZHMpxEtx5 zu63`t&2rM3j{1>;Xr>)2u$_#0* z73VRzOSAHATh?BC9HA#_sgNyYMfN12)3TFdMD*KK`p1X_#}Ei&#N;iS6~5P_$}dKi zB0EL|X|EOMG5KT7%C~JcwM(c1M|BWO^_0e0z}v(+LE<$Yhyj<*z4_RryTYwj!$+8SytO_NB?WA?Y#Dc-m3It(e z@|T*G{}z#~$|r2BsFRkm!p4v{b<^^gd_+ryZCh51SP^^bll&t|;a;t{4Ktd0Du>E|6PZV3$gq|XS#DUHiM-RW zlydXAo2vFui5bH5Cx#a^5{hEE16sAU%yY&mXn;yot!cvxrV!oH@Y0x$j8{59mIhUl zBZTiuCFCfRw`*2DlXq%XA(Jaexlh^_5PF%G%Bv!BlV*jPyi&9BzE9-O4U5e^W?1`( zeA%#$64^mJ6=t%ZX60oPImxh+0wT#(R8>kPhMnm(h8JYI*6`9aJwa)OxuMmX8`wZS z2}0bN{6@3F|6#SH%IC)TJ%YTarL6l|kfeK1iH%`8)$oE$pKEw&Ob;@=bf&8&=>{IO z8puW~Ne~*yMd=MLks|s6_9XzQgd+PbYVkR$b_Y?53)RjT)Ef=M68& z^q9#?1Gr$BV_h#Ab4;Gpto)CO98H>hMvbkg!0Y#Gq~%SmIOK)QAOkav%P!ON4KK*_ zUy0{?|7T@u2?Tw4I(u_8#PXW8%+ruOCXHfCs+Q1kEoHT}k*aRckitVmacu`rn2ZWV&^VYO`;ISlPJ*I)lAWNw?2G4`3~yYe*iGMzIy4^U3A_ZQF{_AgY?K zA%%@ZajciV!PXPM|Z`8>=B!TUpC^4asBD zD7K_l61q%FSrLj-Rg;Dk?je$!<>T=Yg8Yt3j1bdr8D5a-_|!Ax5G(td1kYga4;o^% zm9-qzkUS=hVoT~N{}n4#0W9{J;Mt!oj&ah5n^Tc zli(TbU8x~fTUpE18j{DPQEW*)Na#nkl$Aq|QPry&QrMoF!_D&Xn5+5|6eGm+c*6@a zeYJ_uG4CAXu(Bxx0((prYgYa;B3YHsecD!JMPvqPxkxLvBGO7#4^xS4V>)ZP?&*_O zJ6P~3t-FxPbdvLN+Lp4MX2^D}IL~YRX{tJ?Ay&Jx$%j!?V!N20XLv!TZz3MtU5|Oq zIjn2}fuPTrjA>T>8X{ShPxEa>R`WxoWvNzdwQVO=9ikH3#`K~Y%6s2c0t+6|x(k_{ zMshwYd|S$Lnjw#A#d%Cl(u%Ep!Rv&6LrYmr4Uu#WmDp6KN6d8OA2ik8->~4#BureDdWM{< zwd67RfM$gc5XlbtWb9OeOxIE&mU=<6tc*QERllPWThH`g4KK)aY>tYOZ^p8+_5|{Y zhz+qK(uJf`wH7O5>#6EeD$#4ETMRGAbeFkj@S2s~rR`apRU2Y?y^EwD)mkjCgXBeb zD$#4E2N+(E>HE&)H7lD-AfH&<5XC7z{XbfZ<>3tSVkVX7A=CMW7i9YFGkML*8VTeRR~uq^y@{k-v=+W5m9 z6@e5&uho#SZ7XctRg_Cot=d)|+sf36@>r2EZ>>0o5PGPVTH>|E5Z30?(+5fVS5#sj zG5w<91(~kRRYrX8maOa#+NDA!-_@*qCO^`wLMHP_JM_^KBH5r%x78EmQYz7RrZeU% zKY~dZB6#@Y1~v3sPMVgK?SeX?Q`VdmCOF(`km6 z&U9bH%V4^n;bk*D(C{WQ-Mm}z7SwTiW%L3nhEQ`Ad%d1pQYhH$c@xRDk5+cHJr!^hV>AUFB{eoB2O6BzldzF zJqWP}=NMKtky8!J+Zk_>Q|uf(U;T_&hqSo}lg6n$_TX%-JHj4}HY~5Xw=Hw|r--#* zo6BeNSDIDuA(3Zk-TAh;{3TSo)S#+}yxg!h6KVR&nq(G|xhu2>R_Z)Tes|T1SF%UO z)=F;xrjf#3TCtUI_mJYwTJZ{7v9*DkLJHY)_yRyHv3{m)S&d++TQtO49k!AiH&Ti7 z64O66ydcxJ7+xCFKQp{^rf)O645oJ)UN+PB7~VvtFQ(ZO=9iP+2s`ZXArgf7g~@v^ zQD_*QyNT>Vs({stNY?H%hHc#itosw=X9m-(3H~|WlTBVnS=STVPiqEa$kQ5P^&mso z4fskElMm?DuH@GC(5+o*x7JElTX!+*PSd*ce?jEkhGpxvM%Xw)uhLSX)kI!zSgiXl z!{VkqZ&*i&eA}=(QA4?e$#%BYy~Hpb!>yX473DKIL$j7t5P7X;<+D@liO))~6QS?d zQY%<0sC&g4*8K^6pOz|Nscu@T#I!(B?@_)yq@^M()l*AF>|Tm^6@>_W9hErvnZAqC z6$arEubjhzH)(T)O!g)@h|yRgS(Q)NY()jEXn&GZOtU865y!eJwNrUao~xY-+fG>< zBvw?b6)jVz$(aYp#T6YO6nyYnNJ z_t6f7*r$<(#Xe0kETckEA5uH}X-JqAjWH}%#4h>V3cn^rowbzJc`Ut}AlO)@D-AEm zbiLuFF@34wr89k*;bky=7TsaMMz*)j?8iougFa^RfM$h%L*y~T`hdvq4C{2dTQsDA z$!9fdCF_3Quvm9{avXk5*sFUBq3_gE`AqK8tPqp?H7lRZu?@KUG5M`QdCdjMlkO&z zF4Hp&FL*k+!MY{ZB9c`bh`pgB>Hb|uavMQe; zYAY&WMUNY&T&7tQ+&gc+{B%xTsh!GWvR*s2!gk8Kdpt-AzSN3VP9X&iTG2{dku^GA zA_Z@1MWH)M!Sh-X-E2&2N(i0Mkce%|x_O->^f{E-m_tlozEo+)z6=;ciekb3BnW-U zWHv1;;Ks3v$f#j$Bl4(WeMcl4^tt1(xyjmG0h1H8qA;5?im1+b&x)34MR`ol(27cI zMb^T^R4ZH7Zf(4%? zLFjHKztF7wUZjFm`E;bMh%(T05kqd$iu0KKMzg}UEvv6t(a*Ki5+=_gPvPH|*@~<# zVny6!Sj}ouD=M)SSxI9nDrQBUwW5`_BCD@oq$UNmRK%9DM*C|d^^p!pXgDdz(Tc2@ zB}7$uRASOFy~OZ>Ocxnm8q=dF6>%;xJxvrF*g%4iTucrlImjd?M`%_dldRn*-)0cH zl1g-*=^K_Q2f(RgmQyUa(U@cMW6jDxn^dr>Gk6f!QdY*Ztzr$yWAby&3fs10+5lr_5k zL1>1;{eFMbOmg=sDkbv=ba6v6)0+aVRQV*8a&`=Z4jXw6b=eY+~K7Xx&yeG2~YolE>r^w5<|0|FvP+=B*I3Zf*}G7?Y`5(TX>S zv{`6)|V5ec3ElqImPNL9W$+{3k3o8F}5NrbB~jP z79EW+d-Snk*@~>8{0~xeo0f{OqVC$Gh^@#P%Jqc)DV3PUOg}?`@SOo!@IGy>kjefe z2MIczNLJ;Ov#jV2t;ot*hFqs1c}x!0w!*e8D{QQYJ%t2iakIo}K43*Q?rNn%UO36Gx7tbU!jd?}wBICme1ZQTf zndN+r(GC*&11d3fm_COh=9@Y!_?0$S$mFHeRLGMXh-6hhsl$ps(Tc3pVaS^rlE>sa zZ7XcsvQmc?v8RwaOny%*ir9**)H#pP7ig(a4v||mYlW?d8esbFEJAnGQXyN4>Wox1 zaTqGIQYQq(u(*5%rX64uOeKB<#VsxPDxQ-|pxh8JXdgyE$z zooRUKOlKKh2GiMwm(BD9!<)$T%2L%LNLl;artENt1mUL5Ged93JGttetEq6R3RJPKc*6rlXaSQSc>ALXr1wh z72Tv2t>C5^Mb?;IMNU^ziHXnjt*e#ixM~!NG@mS3OM;MqrczN1iD`&68?wk?BG5e3 zcLo#_%-jD!mIa3s0p^+PNpg_vIYhE5pZwoVkVmLQ518I(ctNHQ7+xCF&lp}h)9ftJ*=!pXHY7@~-fQDDrm-v?|K>yQmjAd5`wHh=XZ7C}O`y zXupfRx_496BUH*cg6KVl7i9Wz!%Jg&pW&r5z2ERMm_A^5*-Zb&@Fp_-6n(W4X2+BL zPv`L4Bkjr=kl3~UPa{wDsQ9G zAQiQGwkj*BtfumMD!urxnLkI>q*0ker5FD*;_syLNh<$*8vK;GO2Z5)i>Ta0rC0yj zd5Tj{<+W5kM5Pyh6@9J#dsOnjz2L9F^VjZoQN36GBrUE|>Bf66m9JPgVkLPmJ%xFK z%BQH*&j&pQ?p>}JPf+<3mHVmmz@0Zr6z2mfKctdR-@W(@Iyjp^Tk$kmGvu2P%} zsO(SW04g2NAK&J?5`Pkv)2JLwWhRy0f}Z2(4c3N$LhupF47!d{Sw#xE(Dnb*`u;(7 zKBdyTHN3W+@_=`bm#3)fi;2$%5$VMLhV-Sx?g!t>^N z8eMzwylZ4!1K~DP$;Sg#bUl~KVk*7*oXq&fnndYpC=(5;tYWIRE)mVFtZmF(-59Gb z9~momtG{b>*dr|D&?20j}e!&es-cYzq>ZKx`l>uPwt*XoMW6#)baq*NPll7Lt-l)0C^# zzI{(?y|??iyH7tM1tpN5$zMgF8MhNaLpsF+BslG~Bm-eoC@q2hsFajKNh0cG#%)ug zPJakfsC&*m=RED+w=26lvF_gQo^$TK=bn4cxvOi(QQh8*u0&4V(=%n@m73~ylQ4>* zLn@BD&n-K=USa)PRdQcShcoCX1#bw8+t z(&kK0N8X&&YJT9!JLpGVYaw(_vRHDPg8!eu8-N}^NQiyOM`|hGWXZGl2?m<~K6_T| zTgl~2DPO}3?5`BtXG`iqcke+C|meT+#uz*tb?i@ z$F2e1v#Ig_=UV`PN6OonLB=orNQu7|`QH@(!rKhHl5&cw${s(%sthmEhS)zaf2(9d z>EE7zVDX>2f*7P+-br=j$K=80UjPiJDEqbdYN)^?rRbSZ8mqix8N;76{|(G9WkUor z6V4SMIlsRj-qZYRd@zynt#w1*`XPJ*e8}Q|`BT&)FoyB*y;&2w&U;$2GOP8Q#- zB%Wk(Nb1vUs>x>SiD&fwBUp)P9&0qIbe7vMi#fWNT-FSX&gPqss5ZQBFx_gAQYKWj7K2)992 zX0IclTIfnDkh=P$zWVR+f5E>=^2D+raJFnIg;KLa@SyUKZ_aQ!K~b)-xn0WcB_{y zy}gU&*!vOV&8tB_>y8<|`X&{sVQ{XbiaQJNhYIk|8N5?X{VoZ)5$gDSoAD+7Md}#A z>MY}3{RB|dfcyboaGX3b>!-p6f^ zGOpNu9%KAp3*_JjJRIl7wL~b_zIH)SM7-e;?z5bCGQKuX0NOD=c=HOZx$Rj5ywrv& zbyorYP=TCN1^AZ@4tlOqC%Dh?*(jjj1%`_AS{o#~+o(``0Po`Wba9!XPb2hxz~Jq1 z?UxJ=@~4RI1hbYIZyYAzO2!`$`kM*h7W0|^zw@si4YwZ z|{Ou#J5c`Y2~aD97NpJJcy27EX8tH$Y)VGzG}4bw06ksL4^^UN{* z((@$(SpEl@erkwdp+CX+>ImT{sZftFzQN=F9hURg24|vF@lQ-Yb}NDRa@&s?U%Q0> zv8z27vOV8U_yLxGfbr5I;VV?A-!M2=Qbk;V-^X&628j3t=D~AK-hO|S={G(?gx_cS zCmEN2!b3hQe7!)^ESZI9(s6vW$*Ve z{n#%Pq0bB4Yj9>x71tHu#|!Weu>8^?5+cWodjbF1lw5f|$@Htegh<@^VuAdpnSPz) z%fl?_e;8k3|8p(d$u2kmp&oiIJzNa9(dQ5eV0Eg?7;j*L1dqrcV0^_RoXuU$F+Rot z>J&Ark22o#AOTPFc%Cvi6Qzo0nSQB8;CH#La~F+sgX8k|!4KkdnZfBj{j-PZyY3|P z3U0iS@wGAmUt|1E#(VB2;Bg-3DaO}tCHx_#{|4i$qXfu>?e7V_OZdMq{m#yOdwxR! z{*D5Cr~tpo;GL@LcIxnP?)Ntf=l&@GJjzK`%ns8A0ZoGYo~ai$+@5XjS0e^WsJ0@HW(5&exU>qiCjdtSx% z&*S+X)9+_|>O%xP%J?YYU67w2JY8ahiW`}JY=r8(jn!R@FE0~*59{Fs-)2~hw;iW9+PZ(dijqvX<{&$R*SPv^K{|5!~x9`rk z|GfqHe!$_swr9A2-YvjyDZoE$aQObfj`ml!Q&*p1yuo^7Sp6a6%R@wWH;?QuL=NYl z>?YK|F~0FI5$@!D^h1&Je!|6%T>{R*?4wb_#U4tG_i`Mc=6G@QErsPNwZSvc9qrxlgGyO zk&%f>t)VuUsU03jHI+kMt%cK0O`CfZ+G#E*xFgZ1d5KrMHUzC1^Mh8tdhsOJk4r!@jH}byOi@CI6dYSMd->Hq%8!+JdBFrJ_{>A_r6EoH)^VA)~DDwfjKNRnYF&;DSaaYzAfRCth9Y;UmZT`;O>ihYn2)P3pvA0G87C;$JW&A;XYkiX`;LwbsKd^jqv1Y__Cq~>!{iNPVT9c!{+u@f!|~l=FPTWf zM!%oLDsf{Ez$EJJ9X>MBKcKJbzN-5d6o6jSFK0n_rtDjE=j?03O9m&#w;Yp~49&sa zl|wbBs$$TXSJ(3n+?ugv55OIl!3bs%>BNhZanC6$xTK@;&I%e!(u{TFReg{UX~%Uv zxEN#0FuItJK*9ZsiKpCJ7<<`C=5mZ0?NvSK)b(HllYZR`o7o}a@KF3n87AXbd>8~N zUGHnebw76XzQ}9fTI>tw120m3=q9yn=gp?u(9Hm@+sx(Yxnwx}4M^<7(yd(Atoh z;C2N&ac4YtR=ZVSyWo(rcHVL+IO9GuSFl(=IG?U!FDUopu-ZnF+T4DO+h{EED*nRt zP7UtkZ8dBdus`R=VFY?JJKqn=-ojQauxj=iM_$s50=V$z2Q42|4!u~z-9T-2BN$j2 zOx446@Zb$bSm0eqor96%2WYVk+l%H-#*YUE^T+I)jhoBlq1!yCMH`ntV(bEkGvDUc zH8{`6b&__UfXk&#FR)L6sN8I1ZO{#aieGI;o>2%+S0BgdGY2jyaVxcEJdpyK+pMJcTpFg|35 zlDK7DqbzuMbVMHut^*GYU1;qtIOtly2yeEAz1c6`!qn3kOWg{D&Cra)l6tO)49hdZ zn+~U~!Dy5hg!2j#k_JS(sP2bbnp?}s7sc996T!eeWCGYw;7r#*Yq{oyYBo_ax7G3* zl=hg73ztvpvGIRx3$c}?wwUI}y6nyQu4i^2j53a0C#amaY6ohb6QN%*4mN*|=~;kZ zKyN+{#*|Nt3Yo;U04|SRU+#=x&%!#86Rk#J6Jk09_I#n%2nNBLOky_c*X-mVu6drd z0b%z*bPKJl%&pX&Sub+tv%2E+1M*PFP-$O7Q1`2jo4~Hh(pF|-NkqsyWSap?LTh{l zssPKTU3CQa6psOtxM@he(l(Dfw228Rc#-ymG*>umw`0u&a-dZ7Z26#RSj(tzEy3YJ zpacOehqd+oN=5p*SFeY2mOm&{Vv4Q`lnIfO0w*L~d9G*EX+a)N&O>Wh$RI>phplQa zN73{*gLPgO3?2+_hx0+o7Lkg$k~LooE+@b}jJaN7e7bJXcDA{Zit}68Hxy6LZQv7- zL4(@25C)#ouc1>i5y!zSWM)=Z0Ngads}-7L-QEi5FKx-GNrGVv8h0}2N07#b(IPo) z|9l-b2xzl5yFa6rQR8dLw`TqAB&4(POjrY72Cht3%BlDTiiW(CcLdr{9Zi|y9;^q< zxM5|z$3a=wAH}HK7SrpqaE!7P1RcbfomRKDbj}xWd!Q3AVVI628cyXto|-8uZ&S9J zyg6J^T4*chdir}4n`Xm+IrG~YuZB1--eTT~0?f=RIp;MPOE^!P*jsSD2JW5wk&VFM z!SP{=4WXrVE`Y$IbuDL?+QUzoJcZlgmf_=B1x15?O}YfqDPc>xQ@;3fr&nl2Zi*06>K9}ge{(B0z+z?U%HA}7B9|b(&q(peiR1g z@1bm=JJ6bB?64K)HGUV#UoaRTdJ7I2CeA6~p7h<>0dp*AjzS5rgdox?m zNitQGlEb*{Gn=vctTuBy0M-hefFWoT}mm_7?;GVA49Q zZ1wQ~Iv<3*q-BqCxQ2CaMM2o_u+iF2o96FE$#LANfSvoX%ASY7M}gyWwNu749g=$Z P!lhs*z^5FKlJ)-sj%^3n literal 61280 zcmd>ndwi6|_4mUi5CWKZ!Qus3FDQ5cykHTG1jyo|1R@Z{3k^%MftB3c3j`||OrlxW zl~hIX9;;Srt)^8pqGCWKQL)B~N-fohsL#5zqP7|@HShO(W}e+=Ll$Y@-|zkBefTW% zoHJ+6oH=vm%$a%Sd2+3D#L=sr=r!W4Sh6u1%M-(nd1IWu@r~ zH1agA}d#*e5M8~gka)$I>)jP{bA5h;r>-c(OlW|ky&4I~>)n6UOJBIJQ^) zZKn)1I{q>s{i3~%xoHQ3gOX=D(hKmr5WgAt<>6;tGp!q>v+q`8V;8%uUIewM+`SA+yRUzi04!7C&Ck;kOaL7W{Z^!fy+H0e{CGRsX*EX9Mr} z?Zv@~>&89#($u@IoqPK=vrfC_^fy{Em))3M^nLT;zn^@?3-dNVaFwTHb(~6{(p!$m znd*Fd&u`YAHhav*lLpmY|E~*5!v(L->09#7ZIE;Os?96UZ!dUk;>zrU?rHdF>&FFm zT=U5#)#=->eL6O(al{?t7XEwv^JRmN&#C*{;mdZSG*ej``!1~PisAEW%<;?L;p~^dceCozB_By+wbHyemP>; zJ+}=T)biM}^%aGW9=+`TH79+&#rt}%`>uH{cgN{tKB-=^=2v%IlYaVPi$9+5<_pEy zkr`hs+fs0I=J0#hwS9Hd-!A|C%Hp|qzjy0%7r#~ZSW(8{9K}C!AAH{0FAs^KA+~ z=fE-d#0TOVj(wyzj8jtJuTD|#XK<`N@xL_%{oA0Y@9loIrQmZ^3O$^ZqP>S>e(Fh{ zFH_L}8uLp}^pz>-Ur2!;ox&czPN9dtrJ(-@6w{MDc`52$n}YxR%%1sFrfBccsQ0+; zodS4h3OO57)LWN=zCDHfT`BPWQtV9jEjyG{9`Hf@V6BB&J_6a6zyG^g8uUq@~lgNKM#CV z6qRheTT|%g?G*KHNx}c76nJL}J};-BAD5zEmZiYog5dTLM5u?9sdjDG&D$&V8HRbG zLfi-c&g&o<*BQpqIv|`0I$ph{-!qIqx)uDPN(`UIe^jIpR*aMj@L=O`V{FtR8J4de zCGE;EK3%8i(R{foWPD{9>mmx?s^z&!^pjz1ctGLl7kE7<<2S>j9u#9lF2Pmn+!ff2QWMMF-Ya{5^A!lIP1? z6#elkG5(B{az1;WLY$=KpQGhJ=_-Y19LpQ25+xLWc39N{nm4pZ2gI>QERhk3;M2i&YB!S4}@x_xtnrDg@U7yq@T* z_|N0w1lK=QVrgKc@v1;sT97N$WEjRtT%#AJcN)G)Lhr{x|7*&#P1TwYpu013bhSXbgQ?;jRDw zs_8$|a()25yt42+q=$HZwcs<18*e9-VN6ts@q29#Z~7FXzpnQ%J?`FKr|^ep`V3v~ zlvN7xgvL+RdVb^zg=p0HPOXOrZczx!uDUdz%d~$xRns4)^>df*$2&BBCHku;dwyKo zPoB1atKTl=z2MA(a+@Q@d&e#>qgzK1)n)IMkRx^-d?#;!4BOomutNZu2J}VHJ*DI zw9iw|RrpgizFPP1$J(#%QQ5|Wn$J7eD+I)rt4iy$LT_vwrTKiK+xy7^MYl~Q##G(j zr>;~8%g%S`dUyC0{&h|7*8KCeo*{-@Z)*4(Q3Ss$^ z*ED|G0)=l>iR?d7{`_w8->m({GVM34`R)YB#dh7gP%(H>^J&xaTzacQFfQkHp{Ac* zq+rYb7b2g09+;-^Ug(`yK7JxkH~sjuJv>?~WQJwWx9WD?#E!sa_4_Q{k1uO~64LVI z>3UC@s_2*MdOy)}K3}5nmYv+I?Yz7};k7!9wVM8(VuiQb#qO4I)J^{K0jj;H>Ww^W zoYrVL_gt#@xK(0Q>2~eW{n)7SYc-!6G@qYo|L~f&lRF<*grDnnm1+E*-zxkun$PK) z&xahCxOS+-=+gc8j#jW921c5;hlc}-aJZ&_Uh6aC0)=>A>-iu(?k-%c@LnzF6S^OF zUa#;oG@pr@&*mJ3u;_Q89PQ-10)^kK660|_UYZ&eB16|ZQq#|ztMH#_`h{8#&uKlJ zuJM=adav&`-i^Y-MP(J`h5mrs7bq+=3ccmtfKgb21X)E@Zr-{}y;pmT!V8ub&h{+w z`U4){)Ka(K@9`V{@``1KhyVFKr4<#GhDRk=x|g~y&NC`~o{CCOxu>|WvceZI%G@lA zDvCW-#=@Ytw74*^*ynK<``sm;LXX#PEb;^jS+;Pg+h<(Bn?>cpLT^Rk?0IwN<%37z zbjasb0{Oj*+(iLzMY(}HPg!MPx!)5oATO#*su*$xd_`rIMv2ekF^Ve6OT3GMK93SA zP=rd|fq>5_DnWU#ztZC=R`(U^K2QX_P=K=1TWpjRl?O@_vMzK7i+%2Z2RcYd?>BrN zX>^aRpjM2M#s0$5ilQZkztZO|50pUR9-ptgf=IV&SHK5Mh0lPRDwZkDc&ds#m6F>d z=W>)>>X}+v;rAGUqLR{}f3Z{)ELT-QM2b~d>GrwH{6@g%F87y0_`+g$z+Je|8?fX2 zfr`rRI27~-mK)xRBIsa0BFymw=6HPQDt23b_u7dtelvyfPU0DPq{>f4~~l-B_ctx!$5BQ!9dSqKiC%0R3J`G2ArVU@080 zySQ*6yn3Nqd^Z9VPf@@K`b#~Y%AQp@Q#`41hRF_cF2WT5;)>D~E|PVno;a zQjgm=wW6#{bl0yU@ccAOgiqd5I`*Eq`8|OW%&-WDIKvt$Kqy2o=nYi( z^o(f~E%p>GDJ=Dtdl(`Hg8o9E4grnDZiFvAWE8rKiadV5E?Tt6TUaDrROTrwf;W}u zgJp{ryUQ0LG%P^`$Cl{=2yKeW(49ITvDX(V1k)j`=B_~?Moh21$g)ay*fG}vkH9LDG$cl#!`;zxKoP=x!qu%b>7khku zw5l*ZDl}prLUwqrYE!`D#|%z2l$5!bczo_<`=hpc;X=gT<&3cQ^$s@PUcUrkK2M3a z>SCy5Uo*B5L-)C!rQRY>uGfbVQ{hW7144gdt5bp{B_7`#E)lxxfwdNb-vQBq->hH& zj^h9r9vC5lYV>TdGT>zYOHX%%a{-|ZZJFCw?nQ9t z4-}&UqeRE=ph5s)jTuDtddwKXU{R$C^|_oW_AD*L7{)9ghul{JQe$nAuOe7k$PxyG z1uc3)%5qhrMk6_M9fBe2EnlLz>-gRsz)*(9E16%E2xHtZ`6U=eSOclGN0F=${Qe?$ zd5N*aTUv^>oYf39;EF3e{=)K#fD&Af!Z=dbR~#&>EQCJGycM?9o2PuK*H=+qCgJVO z>4me(XH>wlFOb^;tV95yqq#;31byYu8?{-fhM}hl1D!$^dwt@?nToi)p}>VD#ReMc zg+7QXkpSL+e_mD?gby$(-98L@w{MY%6oe*VTOOt*s=ZXuGQkIY#lht9%aK64on8#- zy(M0_8)XoMr&s#Ry#AuX)3p_xj-iLzO1%p!)iQRpzhd-Q!bQA08|%3$I!&Y{)=jCt z@o>3?jD>!`T6-IXXfVtAssdFi#yahc(Pv;GdpejI=g*ivWojW1qsPVX&m4WGtnPbb z)7|>lha2#?6wH(u^{;RI&cY<}EDTdS)_!l%^u(tRO7;5x{2%pB9ZZ$IWW#U(4j$>n z4pbjw9dNvQLBDf!K4Je6%im0^3j6HA-BSHaxcU z;#ZXo|8N|OGj=w-+lH^V;T<-7gAK2@3MF@~4WDhJZ?xg>wc%Sd9^2E+3?uXi(f-+cx>IpFNY1kDULOakv6sb@C^KJM;ZS?bO_`_`Y1vdN;8-B43f4B`_X~XNSb;+%=;eTeMueRZ>J!WRt+wene z^bI!r5jOl<8{T@x#q35K{yrQ1Ivc*)hHtXrkF?>NZTO>X_!b-fXdAxGhJVb4Z@1yY zHvA47ewYp4VZ&Q{;mkH|cx!K)_`No~g9v?f+VFZyT;+V?kd*d+tis6u={CHzhs}&E z8~!*O{ZJde(S~=}@Wa8-9lkztD#7u;Hy|Aj~#x z`15V_du{kLZ1_$aUPW6v^HYc1^vC}XXcUul8~!C5KFfx`#)cni!=GitJ8bxIHvC8% z{%jk5tPOvU4L{z7*N^fgH^+vrvC+G1_@CSG`8NDbHvBvre!LC8z=p4~;TPNR=h*O- zHv9w|zRHGQX~S3B@cPlP32LZa)l6A6S`rs|>?cvnBndu5pJnIgG9mhvEOs z;W@Y?EDu?u9bLFaWDxEJR?&8WdlT+WxJBUao&em3aFf7a67Ea5QQ*%Arx9)tco$&^ zVnnM2evdGl7p)Zd&x9EQMi&VDD&YZy^99~an4x$yN8k;F8G=X03j9aH3<;wSfgdHz zP%xS$@UICUMA#7cF2W4)qI-V?V#FOOgsTO9kMK!^D+T^DVNU(g z1p>cHcogA$fj1N8lpf6ycmrWh+0n5A|B*1K>Zn8DM+tMvjb;h_Yr>-m8v@@&cnsma zKS=)*=F}JM5co#IrxR`$_&UOzx}q%tUrm@(Rk!kki~ z)dF8em{UNsQsCKy>Efdc1ipYUU3fHK;3Q!qW&>3;Z78^9ff9{Aa>0!V3g`l`vgdG+*G&gfAeRBk%^o7ZM&T z@E-}!AnXwMQNnqIvjqM%;hBUDf$t(bi}2p>r2h%$6YdcBM#2{nZWs7E!kprxEdpOn zcn;wvfqjJM5^fauO2P$%8w6fR_+rA<0$)b>62g@N&n7&N@B)D^Abcs|e1WGBzKn2= zz!L~xPI#=qXAr)EutVUJ3C|~-CGc^Ce?iy~_(;Nqg!g_c{ZDuS;SPZ_2)hZl3*4LV zLc%QqfA<*RBEn4qe@VEQaHGJV5%v&n5O^2i62jF2zejix;Yxx3Oqdf;bb-LH67~|# z7kD$_D+%WSMk*KQH&;0A-|HNPtF9%`yQ^KMw+8DfsLo!Cf`%*f@#ML4f(uBEtqg;xJ}JM*Q#_Fbb!YA!o*@k6fF+@8hb8^>UrF!|%u(4(oQ+ zwDfX?((;f$x$CekAf19+7@y)K`8#VaqBWDc*@@?|%JrBMI@0!M=UaxLjW zu1NO%$a$r!gY)kzFEuof212@4?dib0J3aJ+E3|$je6=gIhA!O|iVS5Eu9GCPhJN1_ zda0RC!xehh6?)$l+Vqv{VNj@Ib?u5?pfK81-$~MNov;gM#R=bWg<8AbbcO0VQ~_08 z-3}WR9%@lX2G3d!E|DP@Fkz*wW&~XlsuO{9x;EV5h6)ndLv=3J5EdaJYrcZ^ zG6~hqQ&6XZ7AS~82J#jwC|yC73SvNlyeb6^RZz8p915ye&`1R}D2Ra$%B@w(Y`ATWe3nR={=QjM0WQ$7Lnh^JHb-s(=J1FdI**F*()aBb!xT-7( z*$xToTB`BT;Brmp;LKW=zF9qu=&|@OI~~f+tPNVX*UriAE12_% z;qA32X5%9OpyBH{>UQ<(VtdsKFYVED$K zx?N4T7yTFXlYNk64Q7_y-t;}OnS%Whw^2N>Fhu!L<}^!u`33JJviX#E<)r%?@2=A9r`~ZovTDMR?bOm7Bm~ReT>+p%?237W;Z(?KyqQT@oKse%WXEY z4l-id%{fytjo8`E#%%{1u`$gHK04fpjcl&2J;sO~+uS(fL?d=&bIaD#jM$;g9gD^p zu|dtofNUd{){Nn#J&v0G8X*$A`eJcZ>*=*aYp%fmtgLlrxz zTd|g(DE8J>BILJBY(h3CieZ|kMZqJ-+h*w?vZfN63D;4X$~!&v6`jWNafn9#0G8$! z_>Kc%6{U9w*HwX0O~=@p&a8j~|1IkW*LzTGOiiaFa70b#*ub#Z@#wTPh!Z;!H~n~X zFm7OsMl2mS^iU0o&j>um%gM%nM&N8omJJ5CBeFR}0!PKp0j4H~?6y=x%bggyWbE zIiE`CW=6P?+aF=)Q}Df!FJYsbpmG??y-C)`@Mv<^c6+&MDVMhg$+$dgS@k?#gM zjN?GbF=-dTD0(JewWSeFiw9B+IoAP^JmwH~&O`U2lkk7CQFM|xoMM=DB(+r!vp6y` z_}~f@jtnVcVzb~Kh%0s&!NrNvu5d%ORHOztLexJeW!CQ}(;5Qtdi4+xUlT1s&zg7s z1AXR1FIfHq0c)aXFm`&@U7S2I&bn8UVCf34b;0^w;Rsc!@jBf46D*_aE%>GOZ~>%n zwyW@I;TIf{fwNta!RN#Ei%mu9IBs1b7LnP)9P~M03*BlChW$myz?@O)jMSyxL6dEz z-bGccn32XW|4waet68zl2+Rr>Y=g*2cFd~Hr&+5_0c-Q6akP4S1C^j%Z-t%PWLje# z8+&8giHlEpb#2QBnfHTj7_)lcz}qN-dPYMS48{fjL0xG0#bp?dkla#BLR;^HUmV?P zez2FJ(6-pZhX0@f^IIq{jBv`_OKzdfR@u;-u;#BysrzwXFagQm(IPQ5tXVj+E?05X z94uE|h^X6Kp%#19ylLuFrP;QYF2DFf(*J<^?ERpr;J1kt%hND zv^4-Rj+muan33%7QKk6{aF1^0@Qq|2&g$}{x;@6qOMn?l%tTPy^dFU6*j0)g!ogHdKRYQ*`o5ixbIRdN}rIN8fDL2?DEklQv zmHI6cZ@_kgOZP6kXcOC{BA3wmVvO04ILT0iGj1-HijqX;B58GUfdma;tx!i&H6b4l zVKE^e4uKn~sxu*mMB>qLP(@hcAQzShu81o=ZhQMqg9sh3{Ums14XDlehl`3HPO-C@ejd5u8__JKx)ziRJ8ueY-t^t@Z$ylcvtEgj$t*Po#>E(+ z#2DHgKTO0}eke423oDp!RS;VU?sY9Ik8@eEnFu%BCftXgh;aQypx7A+gRvHVIf{VH zJ!EdgnWxEQk?=iON3Ylc-_sr{*cQrb%MCfVmDL{HYfuXT?h@fib4WTVpD;qB9iyCl=({?DovsGcXF&?dq4%0oh#jWY%uO|8jfn zoSM$^%-Y*%eVyf8g`SaF>l5h0%v!!h&sFFpNTXaOWpg2wS-Tu?cAMN*@sY~ErS$%R#Bt(O?CtTJmC^Oh_#Yp3Hj`W;~|t1@es@Rm!f%-Sn?%Vk$) z?S-V;PMFK4%-UaBx7Yq6n~SW>+9G0ji~6_-%dDL$nA$$Log}v(lGBN}jov6QmSdT< z=g2LVWSO;GS7&prmRZZyb+ni;mtvW<+14$%bE%eDE6ZIj*D`Cl+|A}XF0+>5ca&Pr z=AtdL_E5>f!Y#8lleb*aW!8QTjmi30EG{|-Icfm$1>R<;#fUO!Y-Vg7{Ri(Dmq&N= zj?07Sd%WZNAllA5E)b#|w9Vd3PW02J!AT-f{CJdL!=+ z;$1cGI9OxLo4L-9`I@;25L?pRhe>fWcLrkfo70$F+{~qaY*urBCaz{~Da0l>4`4E( znJfL+nau;4oZ8HtfY^xU!Ay>6=K4PNvu3UnVp%mS{%KUDaU03Lx|O}OP_QFZu#>YB z=Bkc2LQZV0?5yeR7Z{2EuEpXc4J)Y2v9l65wWc#YaBd9I*bgKRWr=QMx!j6r%VGeM zK#mclBN=(5Ajc*n)1+VGJ68?})d`odH&n0(^KDIM@4$TQ-vowX|0cKuRqRE~v$0*tnxpWT7x&_>a|1h5O^)W!-E>?5?YK@*(hu9GsKR#>YXQV+hFDFZg2!5& zKY*#4DV>k0Y4Qi~#xxG6-M1$1hdyCv%LslG0@V@jD=;if!|6~&yv26b;!G``>qK6e zdhw2$VK&3Bs`bKks2;VA;8+05;c^e7#{HpkZx}ha?=R*Zy9DdH1U7R`OXkxp$F@s8 zjXw5s8!J^@vC@hfYRm2~LkC7g1;krm?=wrCt9Et$(4{-6X2qVqnK!Hvq4&5Vht;vP zE0Xp`F`Ci0x2vcfz-9ohNZ;OF9l|_XOB&tX)qm>6TdTWE6Zk%M{9Fsa>Qyev?OEs8 zvaoEoXB~sePp2dWdt^3|>doJMpl1)=N?4`3W6V5K=B-HfaVTaU`>}*@2rR1`MP@!} zP|Hki@vLo94T1rSr}8Sq7K4X^FZc4hvA)N~x)H2p>OT{^#OAIK z$ppOrLK5*cXeHM~yBAW#ai20_K59b&tca+LxNd6p%!6XupqLh}h(dW!AuMUig?gMA z`6fuQQND+XZL#$FFUWdG8xy6Vfv}&D6PqM@S+ceTp2&*UyDye@Kr7*brqG+CHigMwYJM}Zd|03xfW1a2M_7zDZx$BNSshxXbP5Gg zr_Z}lATsV&Cd`NOVQKT?+FZ4VF^DVlLNg9I;E`Apl2Chn-D3p9_Y!o4>m)NW_y#;4 z2G8r5C@sGHH)-iUlr0N{@;N4oav~azy^9X3Zz9>JLMAg80z*R(?K@$$v&OlnSIvr@ zM&J;$nR#612m8T8^6Ga^t2UPA901~@GvGyhnIi{ zL+iY48{W!Wm=$XK=Ce)T^$oRc>Kr}_&u`!!QPHpe3JDScG2I~t%sLF1MsUZ^xekha z4o3l?gr}J(?%$#yxMPZIqJ0Z9_Ai!6gWyNDVpdwxQXD(0!5j{4$`C#r*~;9&o;tl> z9MQNF#1XZcheQ8rTf=U1JqvWQ5@*O*Ty;XSJXMyf)eZ!Ds)nBET5r8f^mH>5rKdOFv-D&_Pe}{ZlD{Zx-n?oT zr+yS~7RQt9cB|P#;xAjxTB_1%T9JaD(&NjTDw~!hK6BsiVu`4aW)Aaz{5o8a~+hHLZ8_XwfY4z>J=Qwo^0!j2{Sf8I`wiJ=Mc7+gy{(tk+UngurfBVvNo@P{z;-;?TCF{oqoZ4EYxeBNWju$YX7v9|R1?2N zg(;eN^Y*x9^@i$e#V01K{&!H2CCn4b4c2t@{vWZdEG6o|gs3x9i~7-9$-1s->uu>; z_6>WqtjAF(VObl*b0s%#8)~$g*G?--(lzE-2c{4+6huIow&OYn1_spX?0hi?gT(wC z>;ZAXi(mZU%VPE)S5O7JL-`{SYv7p7NTJb3RP_CLHPX_mg>S)LS12pC7Epgiz*t^T0{{Ip@N$* z?i)}J(eGd%&NRZ1%9toaYC?0BAvJP>v~Qd`|19yuSZVKhgtelwPgRR8@olm{JzHe|0~00t zKT&TA*+XxN?AWDwo&s@via-Z<7w9hWgyV*5fexlX@N|sI7I&M^d(h``N~U26nHHp$ z>0j+4)5&3Hb1F^#S&<|(>E>YO0&Jd`CAWpNCchH5H?Bkm8uHP?1Jz{dMWV??Oq3=c zg}PE`a*`4Wnrx;f+aZSt)QW~Cb**0s^fq?48AG>1@}4y5Lf5v%7lc>{K7}|=G#+2w zsi&$b9)+13ArQO-hbH&PEsl+2AgWwzMKxpAk=7FhhK z685=q&!144UHs>ue)XJKx92mOXlG-h{vi_+xy)7`Q9bz65x zsG_=~rURi$kKHjJC6c=1RP=pPcU;cF_y43j<|T~|Yr731eo~IfjLV;rw%1>XRxcwlGuhl$( z+;(G3goBTT^O~?#p`K^8<%aXJ;t#YAf^e9vXa96%=93U#oa3X=LQ*@t;&R7br)z!` zJY!`^M)wSgBpKZ&knX4JjGe@Z|EKBrEIYB2)a|6ge>3iX{@T9gRHH|!-3@oWy5Di% z0Zk;_u#ZZxkNaMz<-o@M9j_#fdjyi!xW@zN9>={0C6c<}tZm)8pa{bKv}*tF-Ei_! z#}!&rg~8tH>=1v=tqlaI)(Mda^B`3H2FVR^y(lM*I31dt_#$W*c1vqk>^6dWb8J4Q zp$=?$CT)Ot+R$9gpm+epCFrzSoZpfo>FdyWRwPaT0rH-fph@vH51iR|#Q$%bvk_u; zYtF)+nsa-rZccnZ=boASwx|ipv0C&L``g*k^M20%YMVZTtliqwV;61S8t)>m<-W?> zw?Q93JywH0!f25$+IKDYzuKIm4zM|2y&P{&4w^HghvsD2nsb=d9QFJSZa=HfIII?ADwf2T;Kl-8=DpvpqQ1 zprlXiN>xBPR*U@fpcp{a=$UG^QA$tv zaC)kw6%%W($6zUj2LN2G;z1Ah+J6JV@kp5mQ_e)Y%%@>#vOtLq!7lpSj~ zcd?QC?oR%<(trQbeSsZ8PW!MPa&zf-=e>DbNjKm1LDoSoSnrd z(&{w%8T*_jKO%OfI!!)8ohJWT?0B^?ajbgYex#bO4^_|e2gzBL|9Lf}Z~ia3d`6NE z{sm2H9ZZ-G9i+i1RhOS2T`pdc=iLXy4pMCzWSuCW`P=#wiwZ;rK|Ifs!xlP;pPNYa z*eQ21hyRdCOw>NXb(`3#`@wjXRv%0y{@57iOEu~wYi3FoBqJSgs3bJEEvEVXe7@B-_@ zMvJ>Q&U7)$oTCcvh|UB`=U0tac|TWq9bmNsd#fETJXKY%aISTov-ujnLf8^6cs`V$ zj<7g7-r~6dZXR}ZZ7It6|NIytaWWN`?JU(nRoVV^k zdJ?o)+WiFWTdq+rxk5X%xo{pFwbd1}%m*y6@yn>**&a)GwL04&9|UB3BJ*$(2-zztInlk! zC0^DYF`cys4hlOT>g6=?|0w64SjLMSQRWXXpdn6kqFCOFL-AU~p_%u!)Ht{G!c*$H z+x~6mPMKG2;gGStQDci`u)iM4tIrKNYi(QA1J9Kq(2t240@I~^IGb~mu^g`<$&r`X z5Q2OwX%DR`hSMk5Xs2^U&;ozWpydmQ3MX|g)_e8NXTo`VHVm(eU8D{Zq{(3dby0P?T_xvBw$=cxuq_TnBWr! zx4LhFsNFU+k&dbjP0XDjO`OC;HSs!;3TK|2i9z7jb;+dS~lOg@3ls*jM%y-ar(g&}jfvOMMR3G$GP5G;sd#m|6 z`bhNwj&AP6v}fOM?#YyPju(x*%S7q<$Y;frZ1eF@U}T8_?J#N;KWsmSSF>j^th5Jj>TNyY}PI}zLkRs4RYGa*7igO zhx(AX)je{wt+%TWMrLSJIJleJS`pQh?gQ(Cr>WX)ctr{)-S|e>@?3PYk8ODfqMDyW z(bCPIKx);^P%4Mok*Z<;l;O~7egZR44GSBx*V}8Q3sT}69WA{wpU$GoU+bzddr_*) zr;YKOgQJ*j%MyWA7QdJ=kTN(RLky?wwizo2fyG2;W9Cgmy0nhN-OkyG@?_Fdc7t3n z%^#p8J;7MPFoV*XJ!3I=+fWq0>P9pJ@rtRzbp$aOusR0@SXe=$J`+sHWqtaD!In&% zP%~c&e@Vc|;1iT6!)1=J-r1FR<}|lz7s3KKQ;fU$!Xw$H&{bpOvp;q>m3-ZkCT>R369eO)#T=S*lrEihIhl3q+RkMJ44F1ztkn-xCu zN6|31FK%PmZ_wwe>=ajx$YKP}w2J18N572#=>sIa2_~a+lFvEbie77mw6@ddp%okh zcx_=%QtjtR?buG1NabK~zJZ*56e5Yi0$G%a3DlQ@*cEX$ZOEraWT)C3E3!D(Q8NWi z8|T|v`1T*9h3A2}kIaXFc{GPSz)lH1Kp3@}??D`5!_~FOkL2@2`?^pA0UXU(X9RNO z^=pgZoXx@KVy7k8a07%x@zyw-dOI5eaW>mjJ;Zfq!}SZ<%!sqO${WV_~lAESW)u9U_4&R}UOE($i)+#FX5@{5y^4U*smX2lLqoeO~e~)QtfNQ%rSK z(mIRN>gL{OF-7#wq$e47KOvUG1;SpE{`Dye zk$o8CGvB~{ly6bt3=SW4I27k|aUM~H&a8s<29B&*@q-aKOudm~ZiGvaZYhUeq^y3f zAcCDM4-L98I8hilQ1h#lq5w5p%=&6($0nFn6Q!B)z8kY{(xj0ZM$&<%>XzihTavT? zvfTD#*@sY8H8n#%z2h`P`R78~8I*PrMjyPlvK93|dM678(}{XIU6BSE&bSR5NyGM- z$EkeTS3RDLjsYXF6dk4YzzedhmBF4_cRyw0X)1m|3%sju0|FK^NGb*4{a|n1zlB1I zxYR<8Rb79D6wbkP6+8|t+J))HTmxOd=)f}|b71_g7w%$XK(Ut;Pb!n@RL%u9B5GQJ z8dbTsz&x%KHBaS0A72o!lG!LiP^JAId*nv9Q6i3`9Ks5401>+_2P=k<&M3?|W4P4-hIIU8c z%wyvr(ALW-5KCkMY8D6|Ns1Ez`CuF=y96j{EmaREN3Jc3{@j||Kx;PC;r~4uE4eG* z+*-YsNL&iyE0YT1S6HBt$l!mSip?xrSA}*Nw z+*i|Gp|@C3_>h`iljP4~yF%Z9!&{$nvuMD347@2vP0Nw4(2ULLB1voYyts0qhSur@ z0J*WjT%1N^rkbaZX@C}%jz{jdqCQYVm1VdWff3i_B;p$TU=LR}fr$&_5FrK6E~=Oe z=4^d`hR6mXvgONx6GUDLC3XoGGc-(_B1`rfSqdzU=oV$=mL)S&88tr|c8ky{yN>z0 z@#dr+CvO#&sD+vUR`W~SHqQZz%Zi`-a7|B*5`_-kL{tW{)hoW zi~AuN9b2c+W-|IPP~)*0S~eE_-h{=C`6f^{ezWOJ6YPtS+Yo&aT*6W%R&Fl+>A}d2 zG_p8!7p;7HNWIjw0rwoj5O6Xi3Dp%ta(n^+|8wM-k6{R^jly+tY?gVug~cn{h;*%- zs!W?ew>+98-;;2N(UUm-X-ZhhH>xf-uq9bcsL?I;elR_9I-b(tqp70#V} z;fW6s^Md}0V7Jf?yYIZ_d`ml^(hN9DV zorO-n=eOLq-@{&t@~!xq7udXBnRO-7r3DS#!IOpKEudtVS=3GtAX8!=E8aUuD&5Wx zhAd|l_pyrQXqZ(fOStC2*VN^so(+h)U>($xIR*T|Zv+1XOVW4go)yIizoq0i%EqsS z<#h;o6_mQw`5Ob0$azncY)u< zIy3$*@T&mSyTF*~+|0p|@QAa~9<|@~8%QWP%)jU}%x=(leBrQakBfaAdZCRjFSK4h zFBw{+zd0#L`=GJmdl)oyT1NCP{D&{IIw?;cR`Cd3BH|S%<7t*`H=RzoZR?J&*-wfF zyD1$PJCKXG-~=#8`wY+sV=upJ;K1Jv8n5X#((XVdW6BJoVHlv?+FY51ok^6Q{UFWj z^Iox`_}_^2<^MH!2ef8|IVtnzD_}s7@$oajBq5-Z?p7jg(#=bfZi<%f#^Wj7jAZHH zoTa77(!HzPhe(H~7L@L(Un}Y0JrX*$c@LYOE$@L|`y3|2?uwg?4&qZe%2!So9a)Z2 zFUdM#V*G3XhSquznDwyXZjn40MzUXI?v==ukRwjwkcC5>$0kzcrsDA$b5Bg>(QWH4 zt^Y+1^a6CwJ)8JbhzE{?@R1?+Fi|n(2T+>4y1JV~JhlRJ`L_H-QS>Z!2PC`(A1l1Z zt2&4POw z38tz{LyMwd@pW>WE@X)Xd)HfdI)Vo+JSLN<8b-hkITJ>}4_buscI1Xy>hT4saN4!A zEM@AC#STZ)FQ@oxFa%=rz`rx0*iIEEW6e0xxl?o-&xCFf`*JzSEN%dgC6`&`a!BUW zdHl#zC->xv{v4Xo(AS#A^#=n&!R;YDy5z(saU5IN$QIH*1bb9WA1-0&0$w}9vP8iu zY$bc^uVYZzAK_%hZlh0TE^TV^>77-yq4M z36dG%dUgxHW|etAJ{rNG1r;>VHR-xk2MrBN%T1g=w)vOIU2m#>LhT{4ZhnB%gT2qJ z0VAD;M3zOE z)}bk|yi&WeD9c5Q+DipbwdLB5uF>BCOq>aCsJ3d*CakKmEE|RGpChxr>7{B+tTxQ3 zR$~)phA)nV%`z54+F6zOxTFw56UB3GJWDKaX z^C}T|HG-6l2Ll}gTQyqUqI0z(5|5DMvAQhw(&k_2K($a138_QFyb*n+r;hlyLAsSX zY2Q+FdnhH#G*SNxBjI*K>rW8pTStIavG*QM5BwSqR^xp%+Sw6HbJdh}a2vUU&#drJ z>fAAbOuWF*3$Lx$l_*G!d_Y0590R8fC%`*w+$54~rMwI}9j`2(W?lj=vOhAD+;NB^GVF&_SbU8L zBHvR;bl)pzCc3nmP5$@&y1tmtTy-~iZbl&Zm6XM{NMb55Z<9iUKb9<>3rYTNL(s-^ zId~$JZbzW9VW0@jQ2H%kRp|2Vov0IdenDXe5*>_8E>K61=yP4_)9#`5XZ=WCgIBZK z@S5=g)j6OOyKJ2h9I8@iyblCzK*d6giZ?(st>QwkG2cVB^6UM$kcy-|bTX4QIb<$& zXMTQ!>@%`g=Ca5m@gi?gI8AF+A*;FPRgnu&9Ijr4&4}rk%Vk2@@OQlad9` ziC3exQ`JZ0(S%2!vB{yA(Wu2_1kA(e=*giiz$mftyaJdIdT-b;snS{P3`L!x4hfex zkAf7ofVA~4tVwSHQx+K9SK7bNmqvdLBI)KN)odS1)dbPb!LLX;x`%ywq(sWbdt?#K z(xSrqa{yHj)Y1xINJC&^A*$typLKe0Oq!kMdJXwt0M3^mppAQvo;kL5;? zpdn6h3cFG`wVEdYChgU z)|*1t!ICBPJ&e#Y+I7OmTO*q*4_D}gb+F;k`iEpl3?2x2jBMi2h4Oa`Y+mOWR2fIN zn!{zh$l@Ielrl=R^A4A;;Iqd+!Pd>MVSmx1Se^RDGqttwc54t-Y0WPHl^R6(8Z#3Z zj)`Hv;fRUI0Y+!Y%nf~L*G#=MT%B%ITM61Co*5DsV->}ypcuEo#=~n=bES+D`A{%{ zHk~kSzf`uypb-1&;7C=QQcbIQ6nJXM*s90YK=EgR$%Xr6@-`YD=iO?)!x5vGf(e_K zrx;tR(M8lyXnlYJDFs^3A(UaCPc`ZHV|DcVf{bK3{XI6Pf8TB3fc=xhfQGPLw^{@L zW-3K+G2pg3|4KK238&SZ2uWeb=2s{>(P;)2nnyrb-pzwePpqR*fjqM>kmx&e8^~nB z^J9F5mB9H719-hi@D4_b)%2&Sb*tM$Q=J=O6IZ;rO{I1f2ysoU4;+&-qX zqNoI?TbE!%uO(1cIS+rqVID5v+UCV2{{tI~g9ZFZD=PRk!AM{H9hsKidi%cByqBFB z56n2he1&0WF13V-s@+^PfCE@r$}1xEurFoA65DD{Bkw*=Q%94W+s!Y9Od@crmqya66(l}7l2)T28SRm@I)Tvlk+iV7t;g*;*&KiijHHDWb}epi zR=16~y;a@f5qjF4>K5yWw5wDxJYaFQh}0I-x*QqAR^2WIT`eVL2NZv9W*(3%1jCZD z_?8u$2JKWpBm68lMgjv+g?8=`pT2`W9cv_80NSp6db{@NX@5Nys)?*=5pL3TCmxLL zv`U0IVXV&)uh+i3KqOj||8LePG`&H?142$lL-<-SbFisICg*IG-LheaoXBPb zceo;TJh47KX{l_!PQg_UG}V#N!Vj6$LJ$W`2LEM*sF9^XTFt~ZC506Sg>E%>S~ebi zlls#KLFOyXu2@M`IObxtYcwzfQJh2lt9ogFrlscZ6`l5t6P#AI^hIFd&#>3 z<}P$Xk4-%|(bRunhZHiWXlkS4U^SKFRh&fh6LbQPL~8+Sr6b^6GV9!EWQ}t>a_pAD zoU4^xS+-CME#R}`iteXPeY-OC?N<15Dh21>h1JVgkql0k)(qK>b+z5={{>a`sEG7~ zl;s~nGgXX|=ea_51CRSg+R-ko?QK)rOA*1q+DG9IwfB+Q_0zjG*K{+R0EEC7AAyxB zQ0zKjI7k>Dm}{VFK3?dPSyu}f?4CQGNvf_%Q*E-k=3<*!gY_Md2!SWwaU1fGu#q$M zXd>x1=CcB8b$!K6q8y9SU@%IW6%i!B{n`U#EW+_&W^vYuWjQbj02>s06~uXTB%;d<$W_2%*{Y@z;$ z2}yR#z+;{xUJ5+prF2EGPpq@0qF%AVvNPLXS`q7SQ6z10W977c^w^x6p(zTd+ig7}S3BP;NHR9eoeTB%-SC9CLu7hkJUuY}W|<^wxDgPFa3ccm z);Nf3b&?Ph;R_@&0t4YY6fsYEq}_cUMByK!`cRxJH&k=R0|CWhOEhO_O-DK!db~{5 zfgwbKAl-%SYwLubig#k86M4cvI8-CDToYw~BAFhqKh(-ILg6SIpXwYAPOnfNDO}Tn z-gfR4-q4#7rcdSTHUi|NPpo50SID=3+0ivELrJd*7@llZo5G}LhcQ}7DjOVZ5hIF< zUGt&?sxmLRkt*eihnr}Oszw|ObPfi|i_j9zhlM}@dus&%)#5I^hLYe7AVKF00-z{z zvNQ>*&0;1#r$o&F#A+#&)tWXc8-MN3Vv~dKF%MiL9S7P_K8vVs;Ge`9PX;&uinGDN zQ!$!3VWB&f3CQH|&;a_Ns!a8_c|tt!0xs0-4$&%)KV{Y(hH-0+C_Jl%hzN+re~t#M zKa2mb8H@kPmY@CU%#WuxgD)gC$p5dRMx%bPS5Rhz;M%%$T3 zGhbp5B3;mGe#I`dqR`B`GjxBk2|MV+@GKN+(R)eU=^IHcMY2zWwB|H>VKI=yi5?C= zsSM=d8|3LQyH(DSAkc!A!21d`2lEu4a0f1nKR+J!H_S0Saj6*p;%*{7X_tkcp@ ztNG;h)N|G0nDOKRvupw1gEHm_P8B*n9;^gad*PXNKGn(&O7bPV3BQ`cV>fBz&UT;= zP#+enX$z$0H#eY3Mtr5w2TDBj^yia>}z&}!4o|L$S? zTg{K_DE)E=Xh^!@NK^$Q>L)d~noA*e^ae~6=DAQLwrwEdm**%EF%0Fr!0CCry;rd}zVyiiV49^Bbl>Dt`#LkZl`#mYbX-AI(cM!5Zc@rTmgBX0*+P)@w zzn;xXTV&OZ=o6Z54`bLz27AaUQr94DPHf6ON{*4i1uD0at?kR!${b|gM2?Xm6YXWi zyg!15TnrX!RWknH_Cz?JmvhXE;>*krCzQ1bB9kjYD2sk=tt#P~jY;rhKWEhW?nM!z?o7 zMr4G6SX+Jwv2CliaC|2o^XDL#G4WNy5RZZ8?U;dqfY+beUd%yL z&7n0Y!6{t6T*&G36YOT2y2xrh(UP>IwF8n#Q3k*vs23yp7-V*F zD28(!h&tM2!tT_^<#^bXCf90iu{s#lBB)9P6|DXsxEJ#}bh4^GU8?5;UwmJYb208Z zLitBasn#59{78SH67?rP6*nvn)b!p7#bawpP0hH+5X^T#N-w2EbMQAl)8>oB4Dj&x zMiFsQsCKg z4v2Bisma^Z8@IT_!NRoXktw$_DbQu5-Anp&@P<@fHFDri_KhSafDv5u5wHdoU$mN& z&?PUDllgKrmml*WiarX{f}=1Q*nv~wB4WYyF0rWy8KYC>YgfW(^0VZCb+j7ozvDVC z>EID3+wrIzZQa4CEVQ1hC0A%on@nu_uL+~o*19L{RaVL4hI}d=Rsj?{~`AK0^3^YQWuMug)*eK zq`w5c8YRWX$my4jy3Fu<%8Q*#J>>zzU+M7_8^!K`dx|&UH~fK$O1Y_Y`@DhWRGBf= zU0z-haGdW6IOceKUU#V@zrx4+K)_qR$e&?UdW%U{QRyk4;K2Un5}+N6-F`=j+gs`> zc9c~393w!NOt7rNw`8Bpl46I?6A1dsk>m9{MtD^zV^Miyp;kdG>)P42U8PuAQ zT%QjFZnUHXnk#pgp*%V(P*{nE7otzxWq!2jr#eLO-KX62@}=%lZ?Pkn;~1}X9ciXf3Y5b$Y}@H@&Y%CGkL zDjaA=&~t2t;qdAf6^R*VbZ>$-z$87xjFo&79F9QIB3*;B)C@z5Ugq`tVSzM1!^p*> zpc4FM;3 z6Sh?)@W@e?nkPuR)xeOV2HH2oNk4n@!50dj6|&tr~?p0u^`Ab7eKtRA{t4ERRvVb=Xe5B z+`-~07>rttu$*of(ByiTdi@o?1c`;P#`PSSu(t@5-L2jzDE9{|Ij}v&Q!C2KW>tE8 zAgw4*^eacDVX)HKZdgcBMX zd7fcSYAQ<@2(6(!WvRP-(HKEYFE92~%?bu)l}xD!mKXaI!>osj*i66UT*p}Bd}uY` z@s)YeeHA`TItjT{hr%CwOX>YEp?E8b0;TYz)^yU1LJg3T(u!pm%jE%IMX4jOywW2> zI_?XM%Z$7VOfMBas>fYC0|Av3EL!X-T2hG6!c*wSU=8{UeV#>LKZKj$C?Q6)Zy5Wd zn>&5h)H%-C=R4=lm@_+rAr|J;@?dEx%I>cm14d3BvkKmzL#Mh&Ah3+DcAH$2&nxnV^dZi1~?R6we#D*$4iWYeb;qb}>6NKda=~HJF%$%!5 z4midl>;%6;R$827d%xOmV__jyF4epwq7svNXpQF(=EEqC(V$%T_Io;-cV%nQBcB^4L~zF-lIqi3tK%g4AYb@@cD9<>+b?%_AWkAGfcOtEL_7=r+sh)6{yt0}>f5|3|=_iB&w zJ4v$@cw$T)5FPlzjbrxv35MWzKy+0`j&wx4o1HXn)%|fC*Ca|^2<3!eTB&=H<5(|e zm7cRoP(&;VYho;*l31}qTD-F8365ihkaVzaSR0IuHQGt6HKQG;mzv3hM6xh_6juv{ zI9Y`8sFzAySYj>gFYpM&T_@+tcE1w=n{0Fw98TK^&yt2@GYlxA6PlqxVOjy z`nY@(pjhG@%dq(&?Sx7%96e&}nZ=3!#D_TIWuRF0fS-}PPh{Rl-u)^x!va-}$Br7Au zf%XzCC*cIgY)@H*OmeK8b%Vs7Um)vJwgkI36-$+nicWe!@xjVZ*_9nP3SkPOl%mDD zQ!^5yqK8hkm5i68h*X-k5KCsH-g4O^qlsX1l;*u}rrtbLG_**Y-mp=ncG?`-6dfRM zypBb<%SOuSNZq0WRc?{5B8X~G*T_*59MiG(EGh98dEx2c*2`e{#u#PxJ<^vg33$pX z9cAuPZ041rgE0Lvwm6Lw144LfVY(Q-;H%;4<2oJ=D*#%KeIlI9p= zDt$okzLV7o7&RYvh9iG^F2;&6;_PCFk?*Tmgbhf-S6pFS0Y80p1-8I_#lcF* z((9-`6Sw0@9(TdFmv?pT2pY{kj`4&)zygfbEIuZZ)-sNke-D@Sws226$kM6VcdTpU5xZ*98YUNdKu2% z@{8RQaTs?8((jNOc+eEaVcU^Nb8#j&AL-jjtB@As>~tg2uW*dJ4e1s4q8_BN`%n+8 zal`$n2kFg?s0ZnMyi3)9^i;f*#y`RSJ<<-OZ{RJ~bUc=gJOuqAUH&lihqU@P_;VXb z^B(EyT8Fe0X&chFk?uu0d>!;Y$T0qfbUf06-=ZASyB|Y2q@mxV98&k=U0v-+w?6@W zBOTM!)#Vs$7}NfU=QBw6Agx6D*^}req<21r{zV#C5BZR;M{3}V-|S~l4(TUIT}W3x zi*_NcMOu%v9qBrxw`_o%NUwSUaw7fJM#zc7l8zS0i8LSSJfw%e1UZq0kv1Zo{xZrT zU5?a5dKc1kJbrxvDNkLzkJN><*H+Yvv`;JaiF75>W~4uBL%$(C;}yt@)3{|w9Z0`^ z73Gj_dkyVG+KIFQX>Y{%O-M&0ZAUsD>0YGoypH}m1pV8N{zLjtqzjNXy@_@r<=+Ww zLb`A}>O=bJ+o1{~ckv@fVFVY|0hkgz- zjN>|>AEc8%M14rdevEQRAN&M*MY{S^ltVhtL_Z>3j5G_cN>m{oiIf-6a`n1;w$ZC9 ztJhJ3`ls_tW+woPqXEXwE4#W*1#C<{C~NBVy$AQptLgiHn!Em(x~e<=b{|WZ*sv{j zDYe8sC!4h)H&AhPj-DB9pgS+K#z_Qzw1aiArlrUS`EQE4qZ# zCSy;+sv+94xDcZmq9QY9W6W)=*^=g+eZJ>>zxSSVWBW|@&u;Sg+&Gz8SA8b}Mz2!+#~E`hINfLe>-A&!`_;Oa6dM`nq zWA`XEZRcAH*=eb@sdqW}J)KGoIC&ik@~Q`aZl6*o5jV)Iu{-Ylf*TLTRKFhRdN4Ph zb^MHLKf3>u(s1>NmfQ+{gewnJCv>hdrihVR4pg-sB*265NBaf_k* zD|Ajj+y>n~S62hw=AU8Cu>Fy4udCY+T?V?RZCxF754gHx(0%iMrTU_}dtBWa=$?Tt z9o2Qax&`RI{6Oxwg?X5hpv&d261pW=d(YT$x1k=#+_%>*VrR3Ek`13;mu9rM|6bzX7+ttDwQR_wuY`CE)V-=+Pz^DBeyW#}??J!oD(?AD_my4piZ(Yh0~3+cvPT@Q52uqRw( z_b=%_aCIl3`!{rFqyC-^bu`{5p*#AdQuJJE5chVYq4B-|{s{P;Hc#`@Cf83PR`_?I z+hFTFGqIDOa`20eMA~69{8;@-`7}d!6uLc*pAH?2{PckT7x-^uUJCN{w&?ZyLmrZ( zI|1FL>B#)C1@R`ryh%3+-P_Q;h4a84)o;wzorms0?6K+J;|g^3cprSneP0z}k3NaL zx3hkdKVIV~48`3D-6HJGmtx%s{Oy3hzqtOA(9yqqHAsf?ej$Gc-TaO~mwZ~OtgWMY z=})fiG;|vVm3qO}?WN}P+C@qIFbCZm(EZWYd2eb7@r$v5_dbK)MA`g)A&rqHV;K#tfYxk44L65hCJl-geLfkWT;$A4>FVP@E-;@xB0mg&n6pZ2vOwKL>xM%{PR6 z4fq2Wu}^|eUBI5|(Fgt!@Sff7G>)cC3<^uS5$JZERB9g;NPTxhcT=dN`piO?f$os4 z^ZK-i{N&-Dbv5o$m)r3$kHuqdyA?yX@)Uj)ZTo5Jj@QBy`Pm5mM)34o$snIj__;as zL%Jk%gShv7)AoaYiq4xypsT^Xaj~u2Vd_Tp8Ueo*Jce@^kLM)fr@(Kxi2Zr+n=fEb zc^2Ycd=2;kyFTcL_+Ga@mC&91jZ!Il{C0MKwm0uUJYH?aFy+$>}3w;LA|{OB=%t7hjizmd+85K z{m$0W`_Nj4>GP4IFPhJAAP){IFGqPbKHEVv1_zbbpnN^bZM_H-9~Zioa%w6%*0OWC z-o)2>Y=D25uQA2x%Y=h%?ifevgn^|6$3&q{M5<>{-O#aXY?5>7^@f?7~2@T7*mV`j6;kW#tFu0 z#(BmpWBzK6&*(E&Fjg@(Ft#yvF{T&?7>5`$j1!F0jPs0H#{BCzKBLcA!C1xEz}Uvv z#h79oU>su1FitQ|GtM(+8S}rv@fm%_3dSnN2F5nVF2)q&0OJs2hH-*%nsJ^n%b0&X z$7l2zD;TR78yMRdyBJf91B^qA8O905X~ucREMvajjN$VFMxU{Qv5K+aql8X$-P*O+ zCswShs=Yc<=3nctibV?&t4c~sOG;O*POQLlP5cW4Oger?3%(3XHr)~P@%sxOkJ`1w z{N~QKj?Uc%lXn{iPm$3B1I|SwIJjye13qhSO~!nB-YVuNx9`DUJe;r-++Fm0ikQE> zrSW_CHg0=kOEYZcD(2H;865~{;LdFz;j?M?PJEY$$FuYwU;8$G?&yGe8=hyvHD)X+aCxT1zjDO)}UYDp}!U_2hUe+7IgL>11?`l{h_66(!zwHb-T_gmf ztXC|LMd$yof}piS;>&s=*vkgOM{yPGX)Tg+S&stbz|s6ApBr#OO(gcR{t3RtLgAzJ zzd2$r>#3luzhqBqXw<$IWmH93?`6LrD9s6pKDayOGFFW<+rFRIA;D2`%obuVd!a26 z`>p)`6g;&z2>NPD-01_OibblzoxtCB9tmV0&rb6(U1s@p$(Xn~({*&vMa6%dhli+nJ!S-uBQ5sCRm$4CC gQ~o89a+Pcd0!M`^EdT%j From 92e42a093b12db71b01df925fdb7b69ea591ab59 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Tue, 22 Feb 2011 22:25:04 -0500 Subject: [PATCH 18/25] Removing hardcoded CPU speed from Wire library. Replacing CPU_FREQ with F_CPU. Former-commit-id: e1faf02deb990077856328a83fec3aa6edc5b5d0 --- libraries/Wire/utility/twi.c | 2 +- libraries/Wire/utility/twi.h | 4 ---- 2 files changed, 1 insertion(+), 5 deletions(-) diff --git a/libraries/Wire/utility/twi.c b/libraries/Wire/utility/twi.c index 236878c4a..9d7280743 100644 --- a/libraries/Wire/utility/twi.c +++ b/libraries/Wire/utility/twi.c @@ -79,7 +79,7 @@ void twi_init(void) // initialize twi prescaler and bit rate cbi(TWSR, TWPS0); cbi(TWSR, TWPS1); - TWBR = ((CPU_FREQ / TWI_FREQ) - 16) / 2; + TWBR = ((F_CPU / TWI_FREQ) - 16) / 2; /* twi bit rate formula from atmega128 manual pg 204 SCL Frequency = CPU Clock Frequency / (16 + (2 * TWBR)) diff --git a/libraries/Wire/utility/twi.h b/libraries/Wire/utility/twi.h index 1258d8d38..71471c6ae 100755 --- a/libraries/Wire/utility/twi.h +++ b/libraries/Wire/utility/twi.h @@ -24,10 +24,6 @@ //#define ATMEGA8 - #ifndef CPU_FREQ - #define CPU_FREQ 16000000L - #endif - #ifndef TWI_FREQ #define TWI_FREQ 100000L #endif From 9166902a1b0af780bcfb0d5b6e7c3a0f10a715ed Mon Sep 17 00:00:00 2001 From: Tom Igoe Date: Thu, 24 Feb 2011 09:34:08 -0500 Subject: [PATCH 19/25] updated addresses for Pachube.com in Ethernet examples Former-commit-id: b4f2bd9761b5036d7b287b08007886c04f0279e9 --- libraries/Ethernet/examples/PachubeClient/PachubeClient.pde | 4 ++-- .../examples/PachubeClientString/PachubeClientString.pde | 4 ++-- 2 files changed, 4 insertions(+), 4 deletions(-) diff --git a/libraries/Ethernet/examples/PachubeClient/PachubeClient.pde b/libraries/Ethernet/examples/PachubeClient/PachubeClient.pde index fe94541e1..406c7d17f 100644 --- a/libraries/Ethernet/examples/PachubeClient/PachubeClient.pde +++ b/libraries/Ethernet/examples/PachubeClient/PachubeClient.pde @@ -28,7 +28,7 @@ byte mac[] = { 0xDE, 0xAD, 0xBE, 0xEF, 0xFE, 0xED}; // assign an IP address for the controller: byte ip[] = { - 192,169,1,20 }; + 192,168,1,20 }; byte gateway[] = { 192,168,1,1}; byte subnet[] = { @@ -36,7 +36,7 @@ byte subnet[] = { // The address of the server you want to connect to (pachube.com): byte server[] = { - 209,40,205,190 }; + 173,203,98,29 }; // initialize the library instance: Client client(server, 80); diff --git a/libraries/Ethernet/examples/PachubeClientString/PachubeClientString.pde b/libraries/Ethernet/examples/PachubeClientString/PachubeClientString.pde index 225823ac1..d49301620 100644 --- a/libraries/Ethernet/examples/PachubeClientString/PachubeClientString.pde +++ b/libraries/Ethernet/examples/PachubeClientString/PachubeClientString.pde @@ -30,7 +30,7 @@ byte mac[] = { 0xDE, 0xAD, 0xBE, 0xEF, 0xFE, 0xED}; // assign an IP address for the controller: byte ip[] = { - 192,169,1,20 }; + 192,168,1,20 }; byte gateway[] = { 192,168,1,1}; byte subnet[] = { @@ -38,7 +38,7 @@ byte subnet[] = { // The address of the server you want to connect to (pachube.com): byte server[] = { - 209,40,205,190 }; + 173,203,98,29 }; // initialize the library instance: Client client(server, 80); From 1066573ad2b8ee610c6660c39402b5dab2405596 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Fri, 25 Feb 2011 18:23:34 -0500 Subject: [PATCH 20/25] Revert "Merge branch 'new-extension' of git@github.com:arduino/Arduino" This reverts commit 52ef9f3cb3c376ef8a8676e6d4c6e5122b7ac7a2 [formerly 42fa932cebb23b62bece52392548f6526fc4d563], reversing changes made to 0b310a6dfd4b5663e234010e97f7ef71e36aab75 [formerly a7352b894f258c7b2e8087d735f1a4aa8e765ea0]. See: http://www.kernel.org/pub/software/scm/git/docs/howto/revert-a-faulty-merge.txt for information on how to merge the branch in later. Former-commit-id: 896b1a48a1fcd89f624a6b8ae7880fcd7c990615 --- app/src/processing/app/Base.java | 28 +- app/src/processing/app/Editor.java | 121 +-- app/src/processing/app/EditorToolbar.java | 22 +- app/src/processing/app/Preferences.java | 21 - app/src/processing/app/Sketch.java | 28 +- .../processing/app/debug/AvrdudeUploader.java | 45 +- app/src/processing/app/debug/Uploader.java | 4 +- app/src/processing/app/windows/Platform.java | 10 +- build/macosx/template.app/Contents/Info.plist | 8 +- .../template.app/Contents/Resources/pde.icns | Bin 95100 -> 42258 bytes build/shared/lib/about.jpg | Bin 106594 -> 115730 bytes build/shared/lib/preferences.txt | 2 - build/shared/lib/theme/buttons.gif | Bin 3331 -> 2739 bytes build/shared/lib/theme/resize.gif | Bin 73 -> 869 bytes build/shared/lib/theme/tab-sel-left.gif | Bin 73 -> 832 bytes build/shared/lib/theme/tab-sel-menu.gif | Bin 114 -> 891 bytes build/shared/lib/theme/tab-sel-mid.gif | Bin 54 -> 830 bytes build/shared/lib/theme/tab-sel-right.gif | Bin 87 -> 834 bytes build/shared/lib/theme/tab-unsel-left.gif | Bin 73 -> 844 bytes build/shared/lib/theme/tab-unsel-menu.gif | Bin 114 -> 896 bytes build/shared/lib/theme/tab-unsel-mid.gif | Bin 54 -> 828 bytes build/shared/lib/theme/tab-unsel-right.gif | Bin 73 -> 842 bytes build/shared/lib/theme/theme.txt | 28 +- build/windows/launcher/about.bmp | Bin 468054 -> 382854 bytes libraries/SoftwareSerial/SoftwareSerial.cpp | 761 ++++++------------ libraries/SoftwareSerial/SoftwareSerial.h | 169 ++-- .../SoftwareSerialExample.pde | 21 - .../TwoPortRXExample/TwoPortRXExample.pde | 50 -- libraries/SoftwareSerial/icrmacros.h | 69 -- libraries/SoftwareSerial/keywords.txt | 15 +- 30 files changed, 397 insertions(+), 1005 deletions(-) mode change 100644 => 100755 build/shared/lib/about.jpg delete mode 100755 libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde delete mode 100755 libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde delete mode 100755 libraries/SoftwareSerial/icrmacros.h mode change 100755 => 100644 libraries/SoftwareSerial/keywords.txt diff --git a/app/src/processing/app/Base.java b/app/src/processing/app/Base.java index 69255abfd..0dba54f30 100644 --- a/app/src/processing/app/Base.java +++ b/app/src/processing/app/Base.java @@ -545,7 +545,7 @@ protected String createNewUntitled() throws IOException { newbieDir.mkdirs(); // Make an empty pde file - File newbieFile = new File(newbieDir, newbieName + ".ino"); + File newbieFile = new File(newbieDir, newbieName + ".pde"); new FileOutputStream(newbieFile); // create the file return newbieFile.getAbsolutePath(); } @@ -637,8 +637,7 @@ public void handleOpenPrompt() { public boolean accept(File dir, String name) { // TODO this doesn't seem to ever be used. AWESOME. //System.out.println("check filter on " + dir + " " + name); - return name.toLowerCase().endsWith(".ino") - || name.toLowerCase().endsWith(".pde"); + return name.toLowerCase().endsWith(".pde"); } }); @@ -1017,28 +1016,22 @@ public void actionPerformed(ActionEvent actionevent) { } - public void rebuildProgrammerMenu(JMenu menu) { - //System.out.println("rebuilding programmer menu"); + public void rebuildBurnBootloaderMenu(JMenu menu) { + //System.out.println("rebuilding burn bootloader menu"); menu.removeAll(); - ButtonGroup group = new ButtonGroup(); for (Target target : targetsTable.values()) { for (String programmer : target.getProgrammers().keySet()) { AbstractAction action = new AbstractAction( - target.getProgrammers().get(programmer).get("name")) { + "w/ " + target.getProgrammers().get(programmer).get("name")) { public void actionPerformed(ActionEvent actionevent) { - Preferences.set("programmer", getValue("target") + ":" + - getValue("programmer")); + activeEditor.handleBurnBootloader((String) getValue("target"), + (String) getValue("programmer")); } }; action.putValue("target", target.getName()); action.putValue("programmer", programmer); - JMenuItem item = new JRadioButtonMenuItem(action); - if (Preferences.get("programmer").equals(target.getName() + ":" + - programmer)) { - item.setSelected(true); - } - group.add(item); + JMenuItem item = new JMenuItem(action); menu.add(item); } } @@ -1098,10 +1091,7 @@ public void actionPerformed(ActionEvent e) { File subfolder = new File(folder, list[i]); if (!subfolder.isDirectory()) continue; - File entry = new File(subfolder, list[i] + ".ino"); - if (!entry.exists() && (new File(subfolder, list[i] + ".pde")).exists()) { - entry = new File(subfolder, list[i] + ".pde"); - } + File entry = new File(subfolder, list[i] + ".pde"); // if a .pde file of the same prefix as the folder exists.. if (entry.exists()) { //String sanityCheck = sanitizedName(list[i]); diff --git a/app/src/processing/app/Editor.java b/app/src/processing/app/Editor.java index 33f1fc855..3d8bd405b 100644 --- a/app/src/processing/app/Editor.java +++ b/app/src/processing/app/Editor.java @@ -538,7 +538,7 @@ public void actionPerformed(ActionEvent e) { }); fileMenu.add(saveAsMenuItem); - item = newJMenuItem("Upload", 'U'); + item = newJMenuItem("Upload to I/O Board", 'U'); item.addActionListener(new ActionListener() { public void actionPerformed(ActionEvent e) { handleExport(false); @@ -546,13 +546,13 @@ public void actionPerformed(ActionEvent e) { }); fileMenu.add(item); - item = newJMenuItemShift("Upload Using Programmer", 'U'); - item.addActionListener(new ActionListener() { - public void actionPerformed(ActionEvent e) { - handleExport(true); - } - }); - fileMenu.add(item); +// item = newJMenuItemShift("Upload to I/O Board (verbose)", 'U'); +// item.addActionListener(new ActionListener() { +// public void actionPerformed(ActionEvent e) { +// handleExport(true); +// } +// }); +// fileMenu.add(item); fileMenu.addSeparator(); @@ -618,13 +618,13 @@ public void actionPerformed(ActionEvent e) { // }); // sketchMenu.add(item); -// item = new JMenuItem("Stop"); -// item.addActionListener(new ActionListener() { -// public void actionPerformed(ActionEvent e) { -// handleStop(); -// } -// }); -// sketchMenu.add(item); + item = new JMenuItem("Stop"); + item.addActionListener(new ActionListener() { + public void actionPerformed(ActionEvent e) { + handleStop(); + } + }); + sketchMenu.add(item); sketchMenu.addSeparator(); @@ -693,20 +693,12 @@ public void actionPerformed(ActionEvent e) { serialMenu = new JMenu("Serial Port"); populateSerialMenu(); menu.add(serialMenu); - + menu.addSeparator(); - - JMenu programmerMenu = new JMenu("Programmer"); - base.rebuildProgrammerMenu(programmerMenu); - menu.add(programmerMenu); - item = new JMenuItem("Burn Bootloader"); - item.addActionListener(new ActionListener() { - public void actionPerformed(ActionEvent e) { - handleBurnBootloader(); - } - }); - menu.add(item); + JMenu bootloaderMenu = new JMenu("Burn Bootloader"); + base.rebuildBurnBootloaderMenu(bootloaderMenu); + menu.add(bootloaderMenu); menu.addMenuListener(new MenuListener() { public void menuCanceled(MenuEvent e) {} @@ -997,8 +989,8 @@ protected void populateSerialMenu() { //serialMenu.addSeparator(); //serialMenu.add(item); } - - + + protected JMenu buildHelpMenu() { // To deal with a Mac OS X 10.5 bug, add an extra space after the name // so that the OS doesn't try to insert its slow help menu. @@ -1895,12 +1887,12 @@ public Point getSketchLocation() { * Implements Sketch → Stop, or pressing Stop on the toolbar. */ public void handleStop() { // called by menu or buttons -// toolbar.activate(EditorToolbar.STOP); + toolbar.activate(EditorToolbar.STOP); internalCloseRunner(); toolbar.deactivate(EditorToolbar.RUN); -// toolbar.deactivate(EditorToolbar.STOP); + toolbar.deactivate(EditorToolbar.STOP); // focus the PDE again after quitting presentation mode [toxi 030903] toFront(); @@ -2040,65 +2032,14 @@ protected void handleOpenUnchecked(String path, int codeIndex, * modifications (if any) to the previous sketch need to be saved. */ protected boolean handleOpenInternal(String path) { - // rename .pde files to .ino - File[] oldFiles = (new File(path)).getParentFile().listFiles(new FilenameFilter() { - public boolean accept(File dir, String name) { - return (name.toLowerCase().endsWith(".pde")); - } - }); - - if (oldFiles != null && oldFiles.length > 0) { - if (!Preferences.getBoolean("editor.update_extension")) { - Object[] options = { "OK", "Cancel" }; - String prompt = - "In Arduino 1.0, the file extension for sketches changed\n" + - "from \".pde\" to \".ino\". This version of the software only\n" + - "supports the new extension. Rename the files in this sketch\n" + - "(and future sketches) and continue?"; - - int result = JOptionPane.showOptionDialog(this, - prompt, - "New extension", - JOptionPane.YES_NO_OPTION, - JOptionPane.QUESTION_MESSAGE, - null, - options, - options[0]); - if (result != JOptionPane.YES_OPTION) { - return false; - } - - Preferences.setBoolean("editor.update_extension", true); - } - - for (int i = 0; i < oldFiles.length; i++) { - String oldPath = oldFiles[i].getPath(); - File newFile = new File(oldPath.substring(0, oldPath.length() - 4) + ".ino"); - try { - Base.copyFile(oldFiles[i], newFile); - } catch (IOException e) { - Base.showWarning("Error", "Could not copy to a proper location.", e); - return false; - } - - // remove the original file, so user doesn't get confused - oldFiles[i].delete(); - - // update with the new path - if (oldFiles[i].compareTo(new File(path)) == 0) { - path = newFile.getAbsolutePath(); - } - } - } - // check to make sure that this .pde file is // in a folder of the same name File file = new File(path); File parentFile = new File(file.getParent()); String parentName = parentFile.getName(); - String pdeName = parentName + ".ino"; + String pdeName = parentName + ".pde"; File altFile = new File(file.getParent(), pdeName); - + if (pdeName.equals(file.getName())) { // no beef with this guy @@ -2108,10 +2049,10 @@ public boolean accept(File dir, String name) { path = altFile.getAbsolutePath(); //System.out.println("found alt file in same folder"); - } else if (!path.endsWith(".ino")) { + } else if (!path.endsWith(".pde")) { Base.showWarning("Bad file selected", "Processing can only open its own sketches\n" + - "and other files ending in .ino", null); + "and other files ending in .pde", null); return false; } else { @@ -2330,13 +2271,13 @@ public boolean serialPrompt() { * Made synchronized to (hopefully) avoid problems of people * hitting export twice, quickly, and horking things up. */ - synchronized public void handleExport(final boolean usingProgrammer) { + synchronized public void handleExport(final boolean verbose) { //if (!handleExportCheckModified()) return; toolbar.activate(EditorToolbar.EXPORT); console.clear(); statusNotice("Uploading to I/O Board..."); - new Thread(usingProgrammer ? exportAppHandler : exportHandler).start(); + new Thread(verbose ? exportAppHandler : exportHandler).start(); } // DAM: in Arduino, this is upload @@ -2454,14 +2395,14 @@ public void handleSerial() { } - protected void handleBurnBootloader() { + protected void handleBurnBootloader(final String target, final String programmer) { console.clear(); statusNotice("Burning bootloader to I/O Board (this may take a minute)..."); SwingUtilities.invokeLater(new Runnable() { public void run() { try { Uploader uploader = new AvrdudeUploader(); - if (uploader.burnBootloader()) { + if (uploader.burnBootloader(target, programmer)) { statusNotice("Done burning bootloader."); } else { statusError("Error while burning bootloader."); diff --git a/app/src/processing/app/EditorToolbar.java b/app/src/processing/app/EditorToolbar.java index 9d7a5fc13..74ef71f94 100644 --- a/app/src/processing/app/EditorToolbar.java +++ b/app/src/processing/app/EditorToolbar.java @@ -37,12 +37,12 @@ public class EditorToolbar extends JComponent implements MouseInputListener, Key /** Rollover titles for each button. */ static final String title[] = { - "Verify", "Upload", "New", "Open", "Save", "Serial Monitor" + "Verify", "Stop", "New", "Open", "Save", "Upload", "Serial Monitor" }; /** Titles for each button when the shift key is pressed. */ static final String titleShift[] = { - "Verify", "Upload Using Programmer", "New Editor Window", "Open in Another Window", "Save", "Serial Monitor" + "Verify (w/ Verbose Output)", "Stop", "New Editor Window", "Open in Another Window", "Save", "Upload (w/ Verbose Output)", "Serial Monitor" }; static final int BUTTON_COUNT = title.length; @@ -57,13 +57,14 @@ public class EditorToolbar extends JComponent implements MouseInputListener, Key static final int RUN = 0; - static final int EXPORT = 1; + static final int STOP = 1; static final int NEW = 2; static final int OPEN = 3; static final int SAVE = 4; + static final int EXPORT = 5; - static final int SERIAL = 5; + static final int SERIAL = 6; static final int INACTIVE = 0; static final int ROLLOVER = 1; @@ -104,10 +105,11 @@ public EditorToolbar(Editor editor, JMenu menu) { //which[buttonCount++] = NOTHING; which[buttonCount++] = RUN; - which[buttonCount++] = EXPORT; + which[buttonCount++] = STOP; which[buttonCount++] = NEW; which[buttonCount++] = OPEN; which[buttonCount++] = SAVE; + which[buttonCount++] = EXPORT; which[buttonCount++] = SERIAL; currentRollover = -1; @@ -310,13 +312,13 @@ public void mousePressed(MouseEvent e) { switch (sel) { case RUN: - editor.handleRun(false); + editor.handleRun(e.isShiftDown()); + break; + + case STOP: + editor.handleStop(); break; -// case STOP: -// editor.handleStop(); -// break; -// case OPEN: popup = menu.getPopupMenu(); popup.show(EditorToolbar.this, x, y); diff --git a/app/src/processing/app/Preferences.java b/app/src/processing/app/Preferences.java index 4dd15c041..ffc63f7ae 100644 --- a/app/src/processing/app/Preferences.java +++ b/app/src/processing/app/Preferences.java @@ -113,8 +113,6 @@ public class Preferences { JTextField sketchbookLocationField; JCheckBox exportSeparateBox; - JCheckBox verboseCompilationBox; - JCheckBox verboseUploadBox; JCheckBox deletePreviousBox; JCheckBox externalEditorBox; JCheckBox memoryOverrideBox; @@ -281,21 +279,6 @@ public void actionPerformed(ActionEvent e) { top += d.height + GUI_BETWEEN; - // Show verbose output during: [ ] compilation [ ] upload - - box = Box.createHorizontalBox(); - label = new JLabel("Show verbose output during: "); - box.add(label); - verboseCompilationBox = new JCheckBox("compilation "); - box.add(verboseCompilationBox); - verboseUploadBox = new JCheckBox("upload"); - box.add(verboseUploadBox); - pain.add(box); - d = box.getPreferredSize(); - box.setBounds(left, top, d.width, d.height); - top += d.height + GUI_BETWEEN; - - // [ ] Delete previous applet or application folder on export deletePreviousBox = @@ -478,8 +461,6 @@ protected void disposeFrame() { */ protected void applyFrame() { // put each of the settings into the table - setBoolean("build.verbose", verboseCompilationBox.isSelected()); - setBoolean("upload.verbose", verboseUploadBox.isSelected()); setBoolean("export.delete_target_folder", deletePreviousBox.isSelected()); @@ -535,8 +516,6 @@ protected void showFrame(Editor editor) { this.editor = editor; // set all settings entry boxes to their actual status - verboseCompilationBox.setSelected(getBoolean("build.verbose")); - verboseUploadBox.setSelected(getBoolean("upload.verbose")); deletePreviousBox. setSelected(getBoolean("export.delete_target_folder")); diff --git a/app/src/processing/app/Sketch.java b/app/src/processing/app/Sketch.java index 22e2aa591..801067c5e 100644 --- a/app/src/processing/app/Sketch.java +++ b/app/src/processing/app/Sketch.java @@ -315,7 +315,7 @@ public void handleRenameCode() { renamingCode = true; String prompt = (currentIndex == 0) ? "New name for sketch:" : "New name for file:"; - String oldName = (current.isExtension("ino")) ? + String oldName = (current.isExtension("pde")) ? current.getPrettyName() : current.getFileName(); editor.status.edit(prompt, oldName); } @@ -495,7 +495,7 @@ protected void nameCode(String newName) { } // if successful, set base properties for the sketch - File newMainFile = new File(newFolder, newName + ".ino"); + File newMainFile = new File(newFolder, newName + ".pde"); String newMainFilePath = newMainFile.getAbsolutePath(); // having saved everything and renamed the folder and the main .pde, @@ -860,7 +860,7 @@ protected boolean saveAs() throws IOException { } // save the main tab with its new name - File newFile = new File(newFolder, newName + ".ino"); + File newFile = new File(newFolder, newName + ".pde"); code[0].saveAs(newFile); editor.handleOpenUnchecked(newFile.getPath(), @@ -1261,7 +1261,7 @@ public String preprocess(String buildPath, PdePreprocessor preprocessor) throws StringBuffer bigCode = new StringBuffer(); int bigCount = 0; for (SketchCode sc : code) { - if (sc.isExtension("ino")) { + if (sc.isExtension("pde")) { sc.setPreprocOffset(bigCount); bigCode.append(sc.getProgram()); bigCode.append('\n'); @@ -1357,7 +1357,7 @@ public String preprocess(String buildPath, PdePreprocessor preprocessor) throws } // sc.setPreprocName(filename); - } else if (sc.isExtension("ino")) { + } else if (sc.isExtension("pde")) { // The compiler and runner will need this to have a proper offset sc.addPreprocOffset(headerOffset); } @@ -1386,7 +1386,7 @@ public ArrayList getImportedLibraries() { // SketchCode errorCode = null; // if (filename.equals(appletJavaFile)) { // for (SketchCode code : getCode()) { -// if (code.isExtension("ino")) { +// if (code.isExtension("pde")) { // if (line >= code.getPreprocOffset()) { // errorCode = code; // } @@ -1520,15 +1520,15 @@ public String build(String buildPath, boolean verbose) } - protected boolean exportApplet(boolean usingProgrammer) throws Exception { - return exportApplet(tempBuildFolder.getAbsolutePath(), usingProgrammer); + protected boolean exportApplet(boolean verbose) throws Exception { + return exportApplet(tempBuildFolder.getAbsolutePath(), verbose); } /** * Handle export to applet. */ - public boolean exportApplet(String appletPath, boolean usingProgrammer) + public boolean exportApplet(String appletPath, boolean verbose) throws RunnerException, IOException, SerialException { // Make sure the user didn't hide the sketch folder @@ -1565,7 +1565,7 @@ public boolean exportApplet(String appletPath, boolean usingProgrammer) // return false; // } - upload(appletFolder.getPath(), foundName, usingProgrammer); + upload(appletFolder.getPath(), foundName, verbose); return true; } @@ -1592,7 +1592,7 @@ protected void size(String buildPath, String suggestedClassName) } - protected String upload(String buildPath, String suggestedClassName, boolean usingProgrammer) + protected String upload(String buildPath, String suggestedClassName, boolean verbose) throws RunnerException, SerialException { Uploader uploader; @@ -1602,7 +1602,7 @@ protected String upload(String buildPath, String suggestedClassName, boolean usi uploader = new AvrdudeUploader(); boolean success = uploader.uploadUsingPreferences(buildPath, suggestedClassName, - usingProgrammer); + verbose); return success ? suggestedClassName : null; } @@ -1791,7 +1791,7 @@ public boolean validExtension(String what) { * Returns the default extension for this editor setup. */ public String getDefaultExtension() { - return "ino"; + return "pde"; } @@ -1799,7 +1799,7 @@ public String getDefaultExtension() { * Returns a String[] array of proper extensions. */ public String[] getExtensions() { - return new String[] { "ino", "c", "cpp", "h" }; + return new String[] { "pde", "c", "cpp", "h" }; } diff --git a/app/src/processing/app/debug/AvrdudeUploader.java b/app/src/processing/app/debug/AvrdudeUploader.java index a30f56614..97ef91a20 100755 --- a/app/src/processing/app/debug/AvrdudeUploader.java +++ b/app/src/processing/app/debug/AvrdudeUploader.java @@ -42,28 +42,33 @@ public class AvrdudeUploader extends Uploader { public AvrdudeUploader() { } - public boolean uploadUsingPreferences(String buildPath, String className, boolean usingProgrammer) + // XXX: add support for uploading sketches using a programmer + public boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) throws RunnerException, SerialException { this.verbose = verbose; Map boardPreferences = Base.getBoardPreferences(); - - // if no protocol is specified for this board, assume it lacks a - // bootloader and upload using the selected programmer. - if (usingProgrammer || boardPreferences.get("upload.protocol") == null) { - String programmer = Preferences.get("programmer"); - Target target = Base.getTarget(); - - if (programmer.indexOf(":") != -1) { - target = Base.targetsTable.get(programmer.substring(0, programmer.indexOf(":"))); - programmer = programmer.substring(programmer.indexOf(":") + 1); + String uploadUsing = boardPreferences.get("upload.using"); + if (uploadUsing == null) { + // fall back on global preference + uploadUsing = Preferences.get("upload.using"); + } + if (uploadUsing.equals("bootloader")) { + return uploadViaBootloader(buildPath, className); + } else { + Target t; + + if (uploadUsing.indexOf(':') == -1) { + t = Base.getTarget(); // the current target (associated with the board) + } else { + String targetName = uploadUsing.substring(0, uploadUsing.indexOf(':')); + t = Base.targetsTable.get(targetName); + uploadUsing = uploadUsing.substring(uploadUsing.indexOf(':') + 1); } - - Collection params = getProgrammerCommands(target, programmer); + + Collection params = getProgrammerCommands(t, uploadUsing); params.add("-Uflash:w:" + buildPath + File.separator + className + ".hex:i"); return avrdude(params); } - - return uploadViaBootloader(buildPath, className); } private boolean uploadViaBootloader(String buildPath, String className) @@ -91,14 +96,8 @@ private boolean uploadViaBootloader(String buildPath, String className) return avrdude(commandDownloader); } - public boolean burnBootloader() throws RunnerException { - String programmer = Preferences.get("programmer"); - Target target = Base.getTarget(); - if (programmer.indexOf(":") != -1) { - target = Base.targetsTable.get(programmer.substring(0, programmer.indexOf(":"))); - programmer = programmer.substring(programmer.indexOf(":") + 1); - } - return burnBootloader(getProgrammerCommands(target, programmer)); + public boolean burnBootloader(String targetName, String programmer) throws RunnerException { + return burnBootloader(getProgrammerCommands(Base.targetsTable.get(targetName), programmer)); } private Collection getProgrammerCommands(Target target, String programmer) { diff --git a/app/src/processing/app/debug/Uploader.java b/app/src/processing/app/debug/Uploader.java index 03d8f2f30..16e3d33d8 100755 --- a/app/src/processing/app/debug/Uploader.java +++ b/app/src/processing/app/debug/Uploader.java @@ -64,10 +64,10 @@ public abstract class Uploader implements MessageConsumer { public Uploader() { } - public abstract boolean uploadUsingPreferences(String buildPath, String className, boolean usingProgrammer) + public abstract boolean uploadUsingPreferences(String buildPath, String className, boolean verbose) throws RunnerException, SerialException; - public abstract boolean burnBootloader() throws RunnerException; + public abstract boolean burnBootloader(String target, String programmer) throws RunnerException; protected void flushSerialBuffer() throws RunnerException, SerialException { // Cleanup the serial buffer diff --git a/app/src/processing/app/windows/Platform.java b/app/src/processing/app/windows/Platform.java index 5afe4db13..63e76145a 100644 --- a/app/src/processing/app/windows/Platform.java +++ b/app/src/processing/app/windows/Platform.java @@ -44,8 +44,8 @@ public class Platform extends processing.app.Platform { static final String openCommand = System.getProperty("user.dir").replace('/', '\\') + - "\\arduino.exe \"%1\""; - static final String DOC = "Arduino.Document"; + "\\processing.exe \"%1\""; + static final String DOC = "Processing.Document"; public void init(Base base) { super.init(base); @@ -86,13 +86,13 @@ protected void checkAssociations() { */ protected void setAssociations() throws UnsupportedEncodingException { if (Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, - "", ".ino") && + "", ".pde") && Registry.setStringValue(REGISTRY_ROOT_KEY.CLASSES_ROOT, - ".ino", "", DOC) && + ".pde", "", DOC) && Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, "", DOC) && Registry.setStringValue(REGISTRY_ROOT_KEY.CLASSES_ROOT, DOC, "", - "Arduino Source Code") && + "Processing Source Code") && Registry.createKey(REGISTRY_ROOT_KEY.CLASSES_ROOT, DOC, "shell") && diff --git a/build/macosx/template.app/Contents/Info.plist b/build/macosx/template.app/Contents/Info.plist index fbf778b0f..f9dc41c24 100755 --- a/build/macosx/template.app/Contents/Info.plist +++ b/build/macosx/template.app/Contents/Info.plist @@ -35,15 +35,13 @@ CFBundleTypeExtensions - ino - c - cpp - h + pde + java CFBundleTypeIconFile pde.icns CFBundleTypeName - Arduino Source File + Processing Source File CFBundleTypeMIMETypes text/plain diff --git a/build/macosx/template.app/Contents/Resources/pde.icns b/build/macosx/template.app/Contents/Resources/pde.icns index d3862982c4325632a08f042694b12bf1d9abc5ba..214b19877ae38e580adf5e2466aceaa6f6b798a6 100644 GIT binary patch literal 42258 zcmeHwcXS)qnePCknk8AbWI1tc$ zaZy%sx1>P_NP-~126l=C?7fp933h@MdnZ`H27)9i(F=+9-2o{UiB+IwXF1>qxO2a9 z%XbUrH$Uj|8}D7ku%y$M-?;jB7>4~+^0efX;HARUXs70d&&Be zbF&xN`2mKJjxM>(mJ1e(kHvDq^?q(yy*gQ#bU7%X_Lvv$L!-{wVE$jk-o_U8gOE!3&9W`<+>lSw%f`Ej^n!Urqn25e!}Al^k3m3hY>5)k zBE_LJ?K~2&m{$zwwJ2@1XK_*q14cbc+nsI$v%?l6cIr6hq&SY*?KT^JQ%i3mWm*vo`ktSh9Yd7#jcQ&UmOjk1qVvowQ5x z^!l_b`>}QTF4wm=q+i^S{>l3E(!~wwUqBiL>7CGO;lc%2E36iad7~gK2tiXC|wXWu)6$veRAcbB?23l%0AF_}~ zo6T&yU?-f|pd9P+trl2AFPN=Re<+#-+HhSyEN`%8!WsuBaX@8P^a#ANSU;gvk$v|IG)$}ZVa-NGGefsrup&?J5ti>e2l%(c zB2R1tC|0PT)dvcBCmR-Vce(GBo~XiVK9LQbjv5gis1?`gW6*w3DJ%32RL}={2UJ$| zi46dXde{e`(_M)*`6iRaZ4{N;Y(`s%_4X}3K6coM*li{o)Wi(C5e&8yD+gFag+d)n zbTYICTv^E_HX+FK+GB%0%0eP=y8qy9o&E-0B(<7`O?|656YfEFT zq$DM;x`&HV22S+%cD3J@)>oIt)HU_{W7NSD1AW~ct1=JT zFNkxEG_?9+^x+e-K@ex#Q{v5kXKM)8p&!?MBt?lwsv?jn!WHnTCt*x}J(*+5rq zIK@SlH@35|vlA1tvbxT}K3Qe+?arFC&u`oaF70lFjH#*8>#WOIXoC{=tR?0}CVB?Nl4ZT`rReH-f0$KG`@kY97|8hb&6j^ng{V zG?`F_!%@Rcq%?=~ZVJbOZl(l|aQrN06J$7@dd19$W@ch=N-rOrmydM}S}dSJb5b1? zJ8r{aN@rn=MJnt;z0n>Nl<*~##vNq4-BN?yBm5reAApx90+2d-Y-Hqt1mNT@0#LOv z05f|#cMyP$I}bpT!9dwI{@nI<5r2wx@n`j1{Hb>lf4U9vH?$moGzY#R{%|{KN8v}z zex3I}`#reeTR;1i!#MT8>^D2TcJ}*{1>bHbKg>?shq-Sh_I5h=y+eF8^F9hoJn7h( z$IqU5?97?dr_UTeLSDP*6Q8|)ux_b0q@7qVa_DF4@*(m1I)S_MT@`D++#}yryhh+& z`L5hmfqUk=GVhe(-ubToy&|wlzGa(y=fleao9Me>i$ffn<-_;VE%Sf2T*b}wx6Sw3 z#{Qv$eAEJ)w(pmveO}!-zTD|om&@n}YFkZmO@TswgeW zFD)&T4&>W|_^(bTbs28do zK6GfMQO=;+!S|P@hUyCNmnMqOue?-=`ERSy+*l_O7w2V&ZpBwrzg2;8K?9Rh*SY8? z+I`tYGZd^eKT{MRmC+=*B*p?JDHGT@MUJhgP==mFrRqm*-re2V4&Rrm%Zsv8ZbgOH zHcKv*W4w(TIJEbwN>^uFOJiMCNp4y~WJqdvv*fKZERaya_VJ zLsd~$Qe=>ybQ(0O-Ymv~rYMsb^r*KS-4Az14?gsb<;wKHm&L~FvK&!#uz#hR(0QW> z3*JHp0)ElfMn3vtBpV#)?@3Lr7Z;>N2QsOv z8gFJ}B!z-8jVX9*74fl0Q3yh84D@#0ZmcX!i{Ue9Yt*tZe1@ht+}7SRsDOz@L7E(4 zDk#&WsVRIC>%(Dq;bV`Cc8(0gq}I~i-c((b9vj4@ud$Gc(PnVP3yE*%v4+~)2S*e` z^&|b`10%hPo=L@Y-|#^1)MO8PV!U;{XJ~9Jud_!c31&C6)fJUw#IZSyHI_0k#>_t| zX8dL@e=HWiAEsze2!A&%=&u0@)C9#q=oL;<2rrR685Y`l;{&;z`4|335$zf+@7?#4 zvfljj73Qj;x6(1z%s>0h`1y&&aUTz~Cw@jv6!XOy5g#j>0>bsXOz4_)_Z@>NS zm1{v6O)x>EVu4ChP9H_dnxQC2)6@7A2EBZCTrP)bhubV|4G<1d0`|?1ufF#V{^#TG zzW3LwAAWk17F$tyDFwr3Bb2nYijR%MXdW3J>}{7yiZX761u$=Z`q4G~>WORDKK%Ie z8x#gRAhGmM$rx=mNQsrvl;oM2dgx~4_wQ3DCnhrFW1``q{?6vwvYgMOg8z#}qujWD z}7RNu3A5;1V5yqJPg)%*+B{Es5Op9(7rYV)Yh{@=*%yf1)N~gYkTM=EK z!Rd~Ct0^q+lh8JXNZ8YzO3C@`wZy0^Z~fkv!}+&MzrFOQ_k8`if&AdGu=q+5#+-ZE zw`+8xR8(e864?9+hm(nO=H@t@j7qTidv-IM;s5{Q``K(r zb2^lQ8J1r^^S24{pMS_NWKntTz5X|%uKYYd^U|OGGyK-AYs^3V?u}cw@HkRz9Da+O zQ29zC#-1ZpRnh10S!E1%e)F1_sKbpg0M7vVoqC+pwNhl$TLUPnDIGmJ}5hKO> z#pT6Ch55PJxuuC1L-n=xxB%Jw{NVgFS1Fs|a+RavQQ6#-Y>ERFd0eSPm6(E}jDfAb z9=M-)yQQU>((-U~v$V0H7MUw6F32w?Ow|Siq(z1VWsH8*A90n-{a}!LgWp8sRQb+P zW-%o`^QZz7;cWZ@b;xR96SPp0Z-MneLPTRRFcJdY;=F^bwbPeUuw4 zk#O>>{Fcp}Cd{CChRqP#K@n^Di5{5x+io{OV^x+z%aGJ+n(FI{6)7i`qVk=m z%wf<=(8|+KLD!C>fi*e`3lOogf?{`9TZ^=zMv{opHC}49M&qmYRdJTpyk}5I;FDh? z6y1wBT9Ny~AKaQ~s7=ItHGXQ2ioNPbfq}x~%btko9cI~qKDd$A+T56k`4jR?kSEQ$ z3#Pze*q((^3$q2Mujsg3eb2&{gDF1qz>1TbUKcf14^`wN~fm~u8tbqT`=SYD!nXD-6;O( zia60h(8m3}i5OFNSem2j&(Y~<+CzET?ryD?rXjWD;u>5H9iu)}-lA5wkhg>&cp=hz{+6#}uCd$WI~^HAWUW-zc9IC`>9uczy% zS`1p2g~C^*##IquHHMFRuRt#WD>rVr3q z>A}%NjAbNilp5UVWiY@jXMnykkQWxF=?f&)JWJ1V8~7S{RVha2=0;ZxKv7Y|Kq*Zo zy77N#Dl%o7Y0bD^A`#3QBzX(*z}Fel!?d;U657u9QU3SE>wg zYWgLwEV7YTR-AYG9NKWS#M-}@A>H-7P z`adif)CP`+1gKKFxwyD$Had%fjG~4ljMk+!jm7vkX4W<~N`{P$t*NtytWrbGV3OQW zRWn>ao6<5{Q?pQAS0JscTyKf!^0F96}aw>;-pi~tMO>^&$RG>a+bLxY3bfzDanbZAUjY?8@nM(<9eK^#jlkVg~{KvX?NcQjAa z+q-PUn=k^=ZuyznTo@3UULM(**jW%Dtzk(!6WUC?lJ^q^2GT^vnFP^$O3{1ag_4q3 zdXb?oUXl_s780jQDeSvdnb=mCJeTliZC6)7w7YO#$S$;@<(t8^Q9o5hR)*CF*GPx6 zv+=Y@Q6psM>^tK&EukH#ER39$X1bV{=_(45sFM%Pdfuns}Uo%uwbnP8~BOGlXj}ynl0qeX~#) z3WM>l;-Z)j^HV>CmOyr~d3R}lnt=z*1%)&>^MWmwsCHqtG%fOau;r7Il5(awdpJZH zVKOz9@uHRdlAsTVcx5q-5k{jxa62?3va~2DHG^L-5`G#n<)>Y?>n`j?aiJzF;0zEQIh9LuHjT9Tlij`8W9OyWfiD_iO5TxiyIuIeL2??6b%L-VyA0fgv zF+hYui0DwI!G?ijqk;_v2;gRXgl0xv8plAWWTBy>ug`!lU~RbG8~RnF0r>@n?We`5 zg9*hpTTx2L^sU?C_?e8d(mKmjQhRolGW%9fYJ60yc(5S3?^c1iWWJ(!0!Cdmt3cG# zUtW~ZAr%P>@1^nb`r@nJ?Zx$8$S#=GgIPgcbYry57BU?Wz7Q%3FW~uyn5m+{v;6t0NmD1bRWJMqm^))P%!`6F~jQU=}8Qy`645 z%;%T1fLWaPQe$LNYIqqxKE!Hmh%M%^)hv2C7>&x71_l;NBh9)GigW9u3&AXpSMTo| zWE6;E1;)V4hHw~hyg_%nL1A?iI}e(a4Xw^3niPX28`D9KmS*>|+3a?|HQ987*TRBc zk8d=fxngiE- zZN7vw!$c*n`8xvO8pp~a9OA?^-tskMm0@z*6gaqGj}3ARGu?y{a={?SChRfIR6>rd zb~@2j&axzBS)0J8OT*e34w7kv?x5SftL*k11qB3Y*2ASeV?EaH5;_!>Y%-xXQ!||Z z!d2GRq{zit*0A{o-(;aqqBZH?r5|-teyzFn+3o>~uI84yGM*Q0*`Xh$jv(XhO97&@5!L z1x*H8<|2%wMc5n|?2C&Ur&CLFdMlkyvl(~#JJ`sPbzy;6j0kc1;*Z8MgsMf0YEc{9 zJfK4fXQO%EtTK11-7Ba&IUB}^{8 z*>OX`2_Ls}l4`iQa3Am^NpB^9XSJ`+dE9bpbZWxKsRG&hCHk=_o0F~_fHu|cC@Xo}ZT zmo6?YTJoD0n|pE$rK!yY6GWn|CudmErtNnY%BI_<3u_CrozCWbTS-SimR_1S(%@*2 zON;IHt}JzKlRQn))M#sL%$%eE3Q8=5TJ{osk(6)uU-WlkZN3f`v^vaFXpPkQEd?)f zo#+}9dcsOvqc4%Ha>^39VrhxJh*hvb;IPBdFLgM|X@sC#mA>@PO@4DpolC-u&fe77 z#ftn4ks-A}D3Mj>*cXL!IhAlFDP5V;?Ho;M>_`_^WZP!`nrBUW-=R(}r$FQ|Y#3Vq zbzo_-Aj%rPB(z5PU@^E;z!Tbog|lJVvChs^|44J#hq3+6 zu&|DXK*y9Iiwc(LtCr>hT4GX{gee&rDP2p#C82OE>JwpERd9lCQK$+QL6-^nwee-n z{5(#2VpveT)=A^e2mMJp6UnE8C92I`yRanzL_1lOQpNLE6{Jfw=~yNjg`;5)Ur09kgWuiYuPD!h*3x zgo2LlA}zUMmjXbH1&`ZcDg+*_ec{V+5>?LF6`VwqA0e*Z94h#;W z8y>yAc+VLC5jx;5!3qkMlevs10T4ym0^PYMoJ1c7Oo_TREQA!-14=jolqd&)(gp}> zBRF&bC>;PwUui%In_+kgP#W|spwyP=DL{$hNkA!6RO10ai3<3FlD-xi`hqYe1ZU0x3>weA8|@}0XUtR&c1Sm}4Guu|Vw7FL1>NIU>5jqMFqk`k~IK1)(UOx*)l zqI8^W2Q+9CWJ$FRvNZYyB1=5LRn{R(&&;?%!yO z5*zN2Q#4y3N?yQ(fLl;h8)bap>5CAZPtVoJKLF(p8W_J%1j;eMy5 zVWqw=Ev%%z11p6*7+8q{pdS-%5qk_)@`KxdIvw3iGJWB&(jhkl3AdHZUs6~pWd&C9 z{{mno9Bzqk4J%RMULfgsx?=1ERx0^Qz)G1923GO| zfNnjkMAkSLDbB+HC@nhCCv1`CJnC>b;SaziiUR{GDanrRlEW?VhX9Rr|JkAAC>9L( ztz@%#JCw-}SwN7-7XUQ9axb7Mc@IF78@uul&eZa^gfq?U2xn6Mjo?gv02-~wnb4Ir zI1`_Mk=Ei&2zPShOk|zQ0WziEnv? zwG@`Nfwi<7cxe;X(*43q>@8VK2wwWg&05+XUc%;C_sd%foa4i7+*RHZ5RW{;TiPwa zG`2Z!379XtM9Z3$mE1iyNUJ#q+4%^L5WAX(K6I17<1?t1SAg5*O*tU?<_AI6yVov&9@tFQQU`_`-rtNu5 z>09%dRspBK6&{ltaQd64F$H`nX-tTtw4TO90bh)1=N$AsXn6&@21 z8l6F#@tD3EfYZHsOu@ioqFLzs#be?DOYCkQ6AeHnI-CqAFw^dUOcXoG0k_rZwnON* z^liYSJc52xAAS}afTkUQOkWt4>7EGFUZ_kSMVNNWWa`@?ld17RFqw9SF#WACneIlI z5VvU!!gM#2X%%7e6qD&1@ne}kLO+(d?jVu94<9>z^5Ijb9@+VE>eQ)+Po8+_=wWX! z5^0^e-6lxnLq|`Ze*B3i&z*mI$H)2e=gvL#^8vpF49O1t1+h`NVf#+}!;3Ikx|}j{=a$haZ0OyDx9=WeW-0 zug~qd3&8)WbKkqTh4md|IbVNjuLU5HkDNOH{3gE*x&zm4k;Px{wE*D%k*A-3ZO5KA z5nTNBlcyir%P{nif7f0M;P(IXO{%qv%Zsn?sRcad-?g^_c+UUc3gAKiuEqb{eHVDr z|6U5N6D8P=kWc%jd<=9aH_Rqh|_8+JPw)t|pkN#b@SDt+I*rBZp zu%G^2`fopR>ZtdYUyk?HzpMURkDu7O2lm&$?I-6?AKS74_Se5F?%QA6x&ijt|M34h z_sG$$ZjbDxWQ!$mzx)5S=b!#>?f>Tw zxc&$JA8h;`wEsc-Kgjv_ApQ>G?;!p*{{pbz`=5jHcQF1A#^1sCyX%Yp@`Z!>2R(n_ z0sMUvsQzI7J(zzF=HG+)_hA0LcMBY>KL_j2!TNi!|JY>vbl;Z`_Fo74uY>*9!T#&s zyAKRI*nb@CKMwXE2m6ov-e_!M;9&o;ll{ki^M5vJeV1!z&wo5n{~q99ZCZU7dj4qZ z{f`IuXPdisZU6k&{qyg(aPNBgyHCRNZ=3Ibu>0p9Zsp$f;&-0d^7%i^&A+_(`sHh% z-nfZ-?znm5(`%Pszxcwlk8k<>Bj)Cx{_x_z|K^WxUGn7d)*pZK?-zgg+_~)@z$N&% z&%N;C%NJjL?X{nK>Uiz7S1-Q&;tS6`bN1xcv_Loi_}sJK`R@0g_w4b#?|$dmb7voh z2e7vYKePkg1OIvlMD6qEo_yl*(LH+o#!#iMylSp3PhYlY; Ze8jT{SUj{dc036kWX~Uj-MhYd{C^CIlIj2e literal 95100 zcmeGF2V4_d^8gGlp(6-(6bp96h7Bu4v49n^cT`lWqM#T=NCJUWk`Q_o5Kxe!fFdBh zBZ4ALR6uMLrASkheP=`9g5`RBuJ`wUzxQKx_sq!W)j42{uYN>z1 zbSi=%8t8Y&C*St7?Povv_Ee}Qt5&HtsdlP<`SBmnvjp@|&<}86B5%#j%^Ufp6~Dv? z7~LEQzbs8eO++z1C`|g7z4UMNVC_Q7V8?O<5fDSs9R7hBgB>}kJx5Lt+J~p|3BC2H ze8OLN58)5sZEdNk*vV@#CzX%2{S4>v_T%SwpillAytl(pqNu*<;zckHg#rjd#*q;O zXUjh^*al8JI7x8Y!%6&QIRu9wZ{GBrzz!%Md4oxRkM?K&whbx{jKb_P16#~&ufho> z*%vVbyUgr-5HqmL%-$O@1G~)Zz4)akzx3djZdf_Q%*Caxt>@&S+*U5P6+5{+l-r+#GwznZ|+p+280X_iqJ`iC~L_Tc@(vBc) zK0E+efuVnWJA%n>N80;<0rfseJEj^KFg_$TwH@*4FYgUxSS_hO2+%QLJL28H{#Wv# z?{C}3>~H(aeyk${?E7W^dDee(>EHivs(9$1tN(6+{&1Q0F1G=tz7h7kC-lk>alkR) z#=t-X1aNc!m>56WENgPHJ?cKFsc7W7$%_F9MF ze%hyB4VN>{5Aj2oc;qC^44&?b^Q7v+)ZiIB2<8UQ>Wx*r%+3(r}8eoZI}K&Ky_ z4pj)AK$CVa>O`9|I5Zk3qY3RSawpLE-KOwMdwyxhFUeTxjOWde6`~I_WJM3c`8(*r z3|TP(aefYZFhf>M9t1OF<-mhrhOAiEa917lV1}&NJP2mUiW7jl%G3vAtQ`FXe628m z>yPx5e*E(4H>EEh_u-e`Jte_Q`ufvP=*NftUS9qWKcb(WUMF~ARb#9?JiP(=DENOxdfnS01wDRBVBkhPJU=T$%!|sPEM$kQ$6bE#B1lrp1`Z(aDrfl z>QJ;fD5x1l0q6|kaG(mYBCw>ri#yTgEEg8bC94_jEcPa__}zBkmkfSM=a)3Bbhh$C zjCe7S5X3-;=t#K%#~3L=p#F7?9I!b8;yQ8wgWR|EsQUnh@9PIL{GfgS!;k9wGyJf= zAH$F9|6=$_J?bjeGX_7aN5}l4UT&}+8-bsW-{AQ09OU1Q&v)yycRh~m@xO1^ckf~! zDGK%aC-fi(fYa!e`G03A*rbX=f&j}3d_fRtxLQiFU@Od&&4MdQW@9hhL+|0~;YWG0 z|GHZ}Ln#1yAY%eo0`Hp4yH@e8O}uL-@A`#z?dDz4o+|;9LX&yds{ij@!H*z^B1FMD z`Lm;6^xfE>ryre{?(VJo&>QQUoA+g4u)2P9tNsH0=mP}$)B6kbr}q~aK<_6ofZk7F zApI|af%LxwzM=OCd_yn zb42P2B&+)KV{FM-%E=NLNC#ImK|~>4HINRt=oO^Y1TjzkNBlq24`%S}!IEeF?fIGg zJ$bS3`}^050jS?N{J)Fdf5G=l#eGZewVywo|EPd{2y%Gh|0sv|BZa@4HuwpDUmoMz zHgbNHILiht-!k&?AM&UET;Rw2khu9t3qRxknZQr^e=Qh4J-~vg=6k};@ct!sces9QLr+RyX z$shpirkHL7(%C=dZ%+joU;t}O`C$9s@q>OJAF$$|=}-Ml`!O@xO}+mbA8*sYA0I5K zj~)MX{Cjil(1QWsPsRu1`pNO*`T7YnkO%pHc8Bk!f9wCf^q-Xj`Tr|SUk`hSAr={f zIN@9nXPh%4fP6To;Inu<$5)Vuzi0q%i^n_q3X*^ho{PtGd9VREFCOpYBWQy+HvsR&fjNd2kD@OlZrp{g-M-z^wU;Ow zPa`n<>}KHT_JuGu9k=c5Zg<$AxI%k6fzew{q>rN7`ImK|jfGr0D%Fl#*obzN`P)&6 z^j;PcZ3M;6)`nzbYfq)osP;V2jzXgKGT2Z>DE9Vt_7n;cAPPYC6dP)9ttX`qvhRcJ z`XKT*kT3M#7teElD*;OraDOX-%SPb-R#vPap+GyU%f{gTR#u!qL4ePI`&(H#1`65( z9o*l_ipzt~fcslnIRy$*tSrxh`&(H#UlXKSS(T9Iq-BVD-VAh-O7pwdin$YE#%in`wP0-NBax%?W6hp0P^mm zd3_Jrc#1?-enMNygNdFZ!R0OJr^+ZB&t5)~2U3Q)f0Bns66XG8B#+)IqWh=}6s?K6 z83(V+5)A+Fw1+Fab*pprNJG;+UE!TM!Ij4(4&&dZm_U=06PMkI7CREy0`6ea&>AaggkLEA>iGb{n`O(Kqek|}aezauS4+Vb8kCw0gk-*RS(HE*e5cnH@ z^yS*`1^$j7eZAp3fxqQPYd3!@@b~=a`|aNd{DL2C+6f<`^gDjVkAB=gK;W1BXuEhn z^~kUJ(GkDN&wrcTBlQpT%l@YRLH?htVLo|qd0w|6L-3BP02i*cFfd@qbbe{)B0F=ms!U0O+L16$T z^PrmmrSPCofKqu-2ta8(=mtRPJm@+=89XQ$piCYV1W*!fE7G1 z2%_yA&*6_DM2b2E6jZ=h9zr~|Bn(ny@#`R3luu%y0xTK(K8VS$gXmGK(E$}GP$Yu} z9wfuy*TGkxIviA>QPBoLOaLg5q4R5?4aG)VR3ySgO-+kXV2d#k5rjoX1tJIpH31%} zK!(=GjuhBn?b~9u*`Pw$x6L{rIS~;A_+rwrHA72CcNvPh&<)dput>{p_SOFCX9SQL{cH{eya0wx4Th~!X5g?L>BomngBD~c z6dUdN^PmQU0LDZ>#iYL&1!M+JY(d||!iXRdy%WoK6wEUO)PM!oD6a*cQXmhWq66Ji z`->4YV#8wsE-vqDiwdfNtAcAa5-^99febPd(d&NjeY2V^Dq6`>Q{igw+^gFxXCy5 z|0~YT_vGpCmH*SYW4^}#{eK$g32G7*0ZvI*zZSph6{A9l2aG4-c!s@L<3hP6O?tI|*_fuhuY7!2)+K*nvs+ zq4aN+>s$f2w!?AZ9&^W^mG;r8iBvhy)5c0|-njj>V=XiuntTQjz1Tlgz;@^)!do353XRSnGDR2+8kOSH0e9$%P7*5ej)l<~1g0>94iyO` zRPYIl&9NJ337yOlWV0RakWk@xdn%-`Ne;r0z^2-JQ_x{ODwv)jA)b)ch8I88@Oa?F zV%u>B^oFN{#e7UeMVmke6O!ZvwkTxEnRFT@+72Dw;Xc>)NJ5VyQja1Ajq-?$3WZ^M2o8dvD3j%`d$%Rkj12b0h0nT zMfb9@2$_T|5eMi7#i7Xt9r=jYThGi)cw6=|GcyG1bQ&nDf*e1Yjacf_&^j)4jF!$ z)vt?GvV$OaNECQv7)aCUHZ);O$<AaghFNt!N@W@ zh$ybZmqw%$;Kb2=Qg5Evc|T5uig>+qCZ3qJvbrAws}X-Et#+-vq=)%QxUC1}rtb_F}{)96HoAf4`B z1RI4Pk(dpW)|t++r3rAbmsDkBaIx<-Fofwa4P<|Kes0POzRC%Xc?yrzkwg+w2&9g@ zupmlM%wt>lgoADe17pWPuJjvHiXF()sCKru98u9*HnwD2TT&qh73uIG!>oSGZEr^x zq0uPzWSdA9D$(IbvL)Nuk!?w_ERb-w0TG%#eSm&@d%7rWF?O~jzeWZsRZ6w7#oh(m z^9iDrRFI<3z7wO+#du;i)Z`8dDpKi3vLV}12vkv?C@~VA;Kklv2O=g+8Q3Ota|jhS zO)!i|2*`R)Y>}uhzU@FD^4ca+#e1ugi%BgK#mUb68Y-1Gz;-(-O&rr>N46mmiME7M zM0m|j2J?ZD*1tlGNW?}0DrnzmpwYxIMtd^Z*2ab;X45NA;%UZIWAuI%^nnV<45~0T zT6Um7gbYo<*pYkQqhq8#8iP8(axxQ^s{k}>2Nwc`G5~WJ$ZN}{fCIwGrXZI6Jj2$B zLbP|K5bT}5q33^#+Ygk>|9jjf-);c^uW(X6c>w?K;>3L00rKDA4+($Omhztue^A16 zweST~6C=IT`KN?W>lvN9VA2~|p-5kBWd*U76~tCn5L;P6Y-I(pl~oMW&I)2HE5tiG z2=TFnFBYsIwz5LJVgeCwKuuL4wz@(D!W|&kSmCaiDnM+-qudQdynte9q5!d#CB_rm z!((YI53v=Ga*xkrEDy02j}phH7|26x#iPXcQ6RSBQ4;zn5L@vm_xmUiTk$A~eH4hT zFp6i=wH|v6~h>h$l8adh!rk@hI5=hzCY7R)A27N686*R0Q_9NG~Bb0I>(c zl`{|<@i_8$1jM2je*id4(8XRnA8=^xFWeuo1Kg~y{=dcD^Zf?2_iu5x{Hp`>{af5M z-*u+&CXMcXuj}767#R=K6|)xB#Fzcp$d@#)Zr95CXZRdWfaU z0->JF!*9RF@KC@R-|*8t1l`>NUqfv5$j2S*z@+<7`Zvr}cOf@7*SlCSRUhK&M&O}| zJk-b4jpjbk6pFhba3^B1RfsFmO~5rBVyk*@S2yYp7+piK*s3BaB;;0R9Tr=K5M7Z% zc&Mz%B!mcHB%UZ`ps1t0o1m+Ub3MdO51g3Tvp$n~<0TebU3Yd)0GTHcitwnOKou-> zadowK8(^iQ9cXZM$%RL{&Jc)2NbvCPz=Yg5uGu3T6gB$~Ao{udP=SjR7F%`tJFq#9 zM6QUVBb(*mk3Bs;gNsP56GnF=aD^Qq5Ojb9xL}F1i>uv0OE_d#K^GUNICzpxVzM}n z5a|*CSpf@}n&fF*PSltXdxbT4#!9^6l zpyG1a4tL?Xy3^Bv4H32z{OTYVVs|cw&oJh{1 zAb}ZF1hG{lW+H(r2#RuuTtT4L!lQnsqmwh)Wq?UMMO+RRTZLd2CFJH3atOI1po;Cl z#bT=>UT^(!a^O>}nw*?)tc^@iR{eL%gn352f~W{H3OWZ-Q76}du`w;s z2&N?fva1HR46U;rH)sRFu}2SZAB8ekYt8JFV#p_gbKbcF*S znZk!=5X^%Gq2L3}klw&t2vf!6sqz9JxbwXx2Qp+wdZPVXAkJo!SR!n!>1$YU1z8d> zf_Wn&;|4_3m_4Ay1Ez({9bgY=5dtlautIR1*Vsf30Zts~faUQH3$E&132cN#WD3J4 zuN?M0Scy*=VE2#Ia9E5CXr=iYn`7fB1nq}{7F>t(O$e^)drCkJ zH%gdfM`5s(!2vPB&SVx?0{IN@C$Ljov$M;^f~zbSTb6*!69}%J(!en}WXA!$;b{PG zrw2nM)%-9t&^7elGYGDti4+7PD$&mTTOkf1_dug?6C0Z-$e{Fh8>Ssc7-pAFjfUVV z8jB97lt)-_gc^+nSG*_|i)iDq;K~OASr*&=8wq=kDCmc1 zHn0hTt8x|<%R%tc4;VqTnuR4e*x!mVC~Pq-14E@aq+-EU)insB=&*H)^3pqYQB4qB zy$b~*gUMo1*aNHt;UWC|jlErP&I{s4iH6b`Cp!rJplu>coR`{xshaJY45)tC8_zp!WrN2|2gtT8^Hg&xLrQAzx+e;Usx%>mp>@s!CJ)Pl9`FIp<$kZh@qkJxr-KN{lhCW z-UqL0V#wg}-3V+se)NAoboPuF_)g>R5z@Z@+UYka-S?;bO9TF0`|v^d)PHBdzil5) z`gbGnuiHnH|CIs%zI`-V{(qkWf%M<-wx6;7iz)ac?W4(4alg0f`nUz*$?gvvsZ#~} zRQ?Vi@Q2z*Q>Oh-1_%hj3!gu7q)Zq3AH49-wU7Q!2K>SH(bO5gKLUTUeKhU&4fvz& zqp82`1An&t-yeZL+&-H2nL+A9dqZ89x>6kLa+JE{Pp+02u?(D z)TEhn=P&rP$K06{hl~BA5AX#=hscZ^BRlrb9%DwyNC^Lf?!yiwC@d-_F7c-iF%hAE z_>)ip0i57}aNq>~QTKnjL;pzz{S%7tn}7`yK&PaEmb|=_guMj7BTt#(O$t60vLV~0tsF}9#;sIJ{xp;zm*Dbj zU-8;uSB_LjZg^_3Ef)EtvFg+^_fuQsx?UF~zZ%!HG5?NWxJmM->RVkgiN-c@TA$au zt$Y}qpZhMyKyc2A@I43I!tbgTD7wrp!|kZtxzg<6`8k*7oG3_K2CgySZQ+jeWM#Sf(}E>bTP&|ZhnG1xHHvHq*Pz{2>P6G}NLvrF^6 z$9%mOuu5RVyR52nlZdvexWb`XQH^sgN=}@sx>XPs9#(ieF@5ruYY!LAR9;czade9R zR?EZ9BQNErD2K^ve-fTG#i%KBNBpJ0Ih)njZn<6YC{F@Cev4)&e_G&Kyi%Fty5orx z+Y(0)O&w=spWi6s8bk7|)olzd%MV(A^2}<>If5!}FNTY~I!N2cCbbz)cdQYZ z^~GeI?Jb)7pHl&e_R%=`1I)G{HUVZ)G2fJoj)+SW24V>W_9c7KgK{40&CQTvs@ELW0@? zrmFvemx3p4x7s}ro>ifqW!)8MYddy)@`J})lsq(KnGY8iR=yfC*U6r6_js-{^l#hC zC2H#7^8TZ1MMsxU9&_*LvT?x&iJq(1T@l-HvPi4IuPE@Ax9qJOMY39&##sM4b}ZaA z^V%-kY_;mWOLyMudN$V1#dQpG$D#G({hR`iMi#nennTRL#LR?1l9Z23s!(>Mh7Q?roxf!X%a71mHsOt1eDwAAuT<#KR=GDe z%O7S4Mc*driH)dcoD{wo`D8@MD@t0y<)vk=^2ar21TKia&G?v5Kf?|0k==Nykp5OD zJ^tdN?ybv`0!;!#mM1J}*lo5bG4#+`=Y^4XI^Rm<1A3h!SnZ&$n4q+&6?)brZC%Z`hmwsselC##Ih7ELomESDlwO-Yk0 z=EaULR?L%CNMMU+ZRgAq_LLlFe_aqcHjDIJKk%A1W%|*3kr96JySbCZ-l%On_V~-? zKn2&@c8Th7MrWqpmUF&!NGq`Y>P5ptS{sEN4=i`a?-iG<)8S|xu_$@RuF|-wIR9DQ znf(-jPu2~kpKy0hmTp=|m6(5=HS*vk#gfKVd#az8YoxumW~IEGWFxa{C~7!7>|Fi` zRP9oUR@MGaMqsRn&N0(*#>RvXi>1D=w9 zdnd5d{Yef^)F%1@S9u3*~)eCeY@-VQMFd(Q4qZ&+%qHe6Cg zq;cZ%Q+3NJ+=T4i-c)JL8+ObXrH>z;>Yg7_u+IZsdXC5ydi``vejEO{I^NMVQ9f2) zcoSQ>q*LSF#?fbz+EU()CuhdHM#hdPIicve^VBZ?R-LRW)+CaUD$YdiTEqTNHgz8N z(lwt>+*KSi>P)3x1MXz{P?zVQUDxdrQhzn&;8d4KowY_9YqE!`SCM>cW)_s3{XF)* z^Mt^~0#d_X5E}MZD@-}D;>x5w*7K%bw5iEnt+}6bP1ia9#Z-qGNjK`RZ`D+9fy8F+tCkmP+cZ^}RRiQ(J>}?$J44H+q`;N-}+7XMesL zyKc0r_Q>_~_ZE!yc)IG|y)jwU*Kc*|HK-1`B%j_){(8Q~C(!lX=~rHDBL$DHVLh%? zB_6YVvEtyZy9KJdJs-!d2##~A_6(XQu|j^$3-RR#zD|#At#}ho3)$-BY;;MoF=A8N z`1+|0-Sy44oMVV4pW_59{qCu$T-OjhA}=_ypwPPa zn9QB^0MXFC?WT95*sNT5j>huMCx^GL+4qocc~<%0{_9m)r^dc`dhNbSU1W3SM!ApI zz14P#g|3_-q2V6 z@!fK|ZyK};rKaY+SmZLvo;#b{c^pZ~G|+tJm!)@hN7(i)?%LFJ_4tt6dsZ2=Tu62Q z@P0dKroKZ=($niF{GUwQbC9_(JML)g$3+ze*HmaCkz3m=BDcQJvc5lSz0t}VW1OY+ z^T{c4IR~8M+lHP$(V^v%`{(eSV$49s!vaeGMOt+dDebx=|xo=P^ ztv2_vo%{;U+C+4Ln8IV4`mXtFvcek2-K81JG%P=BpDQk378ibcdq6iU_WkFsvmWnu zKUA8kK1D^Gfs~o+lY*imKK<4^ejAbiH1zt zxQ%aD&*4_eYssj*&C@Qw{N%1oipms)cI0iz>!c6&Jwwaoj4izCH2u5el2g65oqt{P zq=F-HV&uy7BNta6kO-?zVrW{;mIEzz`KB=yMw>ar0wpRbMB#h&DUa6G)pao{pII z`t_&S4N{?dqKYo)H=W(&WBmEm2{~Q6<95m}ujP-Ld+rr{ZS`c5$9m<$2gf8;=gnIy zZ)X%Pbf9eE2;E8JMRTncznq$ZpOCTFvoZg(e)Nq~!4Bh&ld5XmM#hZ3pQ?F|)2@}d zO8WBS*wlKlNi#nTGgvotT+vAe_120?hs*`6b4cBo1nTN8H}ddV;3+~lXX6+Oa@h}ZHWnHFblZeGlOsMe%-l@k=Z*jn87(Xo~bTm0f` z6?SLe-h)(%33YAJ7~^4O7a~x)CUe4qrSyq6+}{pUzWi7@=0!+G(~E6ygS(9z-|HK1 zT~x{lYEhRtqu&`4@>=12Cc$>(o2|^o&cW(#>zvF+wYxP@rZU!byaQG=0LJor+Qt$;?EHmEzf^Fk-3Sf5-c(y(#NN`T5_x9 zhIPXAwCx{lqC7)aZyl;1e~qF$;)3w6KE?JcT*?YR=lSL`q9XS2<4L%{CR~ZnHxC+oX+5?{Rr;N|L@;4{|d;J+6Nhx=&$= zv`G}};-a_IInI=myHq_?*VtK%VCyUbG8+Ud)Y4`=rn$VqL| zvL>%=YIRe)J!v<=G|N7=hQ|>E8<0r}LROW7axGj20N~F1h5;Ln>Bde-X^y!)DE13nB zqZ*ghYJL$Meg6F>iHv|T7OaUH;m)2uj54SJ#pdLyDLq@o-cPFVsm_6-1eA)J>wY& z_xa3&_4*f#=Lb!zTcQ0dX;BTna9eF#74B;7F6-6robz^$6|g8$5*69lstNa~i9-X`7fQ)9Muc&(@x6 zZaaPWqDf{+v_R6RWt+C=wWo;RKEHhFilujD?q^(yDM-5>qBUm2n1$4h>ZRF6d#7(c zInPGmfJRnz`P?s`Gwdr06>B77Kf60Cja9y87%H~t;-?cyL0jZx6JBqW(3BIb2n!b* zr;+ZaF~wL{WSUWz`o;bV$L}Gu3O9@19gsT~RW2#r?z~3MbB8IIH|o$1Juzsy(YI zd+r$bOKC5Uw+1=ybhb$5zCBG=F-`TdjhQfeE`rbXc8a!JtxBVBCr%q%F@OE70|kQk7Y{0yJsp;C z|6oVLKHDRD2Gr23A?GH0VEoZ0u8 zRup|ycHhPQCziRax~L@alIE4%`kS_g(F$s@}0ZW~G{pNs&l( z(RQP|={UQS-^x@u#7ap|L5oRUje_Z!G!S|$)Rree1BUcr&pN73^$vV{}Xh^G!7?Cye z>wX=v`ZpJsoW45l18zcWOa54`c+F4Jvd%5RHqsCB6ch!XmpLAhWBDApv-bQE`|(>V zs}oxtv+TZVdfi%qd!R=vj@k?(?Jz^@H)X68;j0rjN=H=6xUE3GF z^;k8dzI1u;Bs*GsbmxVIi`O1i)w?gPdh+Tm=BaCM6kjNge!?VPIYe?>F1>nhh|k9R z%C);K_phQB=`Q-@HO82(zv+B#lkF^4TIt&7TV_o8jH=5Kl&>c^QSwZW)sB$4K)NCN zcF058wpZs48y&3M9$@)7d14R+7aw#?s+0AUd2fx!4m!7h!Re-r7`yo#G5PF8P0G93 z1n$|EGgt2_h3oEmZN0oLU}3y($dp^hWKt~ezCT-{c`9}M@^qhzU%HkWW!iS14H^E) zyK8ucSd!AxTUIrbXA~?rC#$jS`O4z;s738zmBU$D;t4N5UlWLC-ZPnZdGh9W>;r+f zh#CZ`?UoJES^1~qkKw-@CyG3430J?_s=0dWm%}mE`qSnewyw98&I#D{sP1&T3^!<7 zT0qbqQdr@h_Xb_{bfag6!fy1V{?t9IcYf(A*Ce%#scX=^@i0|9%RKGz-Dj#(4G%g5 ze2hpdPMtI9025bB`4r{4)68QNmm9m)cfS5n*B8~ak>Lt+cW*j>_jBo#J@~4f`T5ym zXRbJvOG>}ubR2eN>Uc=Ji4-~MFaM>kySw_T!Ll>xA+;>4*^nNzdcRaAbo%09n~yCx zqwoygm?G{Tzs{KEpV1o9X5QuO1Q;x zuW(6)c_|k|&Z&O&m8%%e>{fWLM-o~4E>2at`xRMJ|3m7+&5^O=%HbiNcSxN&9?ag_ zII%@CtjQ$O;N?C0P^a*ErNvdiofs`HxD9Q*!i zloif8B4MC^D&W@Y!$G361@^oRbe=LNxK1hK@CVl^Z1n{}6|r)R;#~*pWvO_BZpyM< zr@e-^s=zrkb z#-DXtf?7$`>J_Hm-6Zy4L6g^h+Zpn9rrVSxT}BGepZ0%b^M-B~6lP(c{T2tDw0~1QjiOi@kSl@@k5;97_K*`C?R^hq1#(F~M`UG}`ygyZjR8 zC$d;W!EJcREJpao)gn^+w!Aq!%Qk3s*GY{dlbeUm9;H4pXoSSAo8pVd?DG{QbnJe` zcDOltnHEd;_6f>lmj~m;{pSmBIdn8YQ=IFy-juqeR@F}x$sDIOPS|tDgZFY>hEi&C zr!(=)CBjD&WIau_Qd&gZj6ajw@4CO3(r|cz`~l+_-C=j0v2!WavK`f*#XPr|w6D;} zWG@pM?XordO86Jy7b7-=O(~2xu|;%dbn@-TGj?TtlstLZCW)P~_LT68HMffIjM{u$ zWTBm8)(N7bb>ro!R7+Lu8{UxymF9p`_sly%CC^;Z$VZ*VV zu`BbQ@YpfZb~fk6nu}i-SyD<^{9#sb!2IB<$dBo+v6Fn}*#$o_Nje>6T&AoqMMYZf zNeNrYsaOf@@L##u(^yiz<@DXCX*SDNybq3_KJ|F=+DWf<&J&VOOO1Jdn02{E zFk)|(!lTH}x$UD2w|OjEZ~tKFGO0a_kD6|X`zoZq6rFp-@Mh?j3;V{WUQB+-4w_M2 zbY6)(a&Bqa`K>2UPL-H7{bNA(^bsK|W+>T)y>Ob@N`5;fSN&9#bCqAdu)0Zn)A`oo z$7!##Kg}(gM-&)(@-8~nZ*lpK^E$f4=2Bwj^AF5fFtd|9FaJT#GCB6-I-R4BU1rvq zynK1k@+P8uxog9^eD2Ja9d@|+H;|EVUY4$xA>Ex ziVqacEJxm-J|?#5_{(EEtNfHKhi1>dss6>jP&P}}Ur%L?i843*IMXBaf-naW9&tG) zeb;)6$Y{mPDic(LdM?|;FXdR(=ZWvmN!u7P-wSy!eo`vmU9>3txPR*vJ*D<=hc1eT!=%f+a7}{EZr23!x`#J!PPSIkbCJ$XPcQxY z_27KZ{Ute5mKzOSfA52Q+M{4n?b1l5#$0>_fGf z#lvnLk+Yh5c=_y80!7&eTwR~Bne%iOx3aG3M*2q(CXV zm+p(-5MMc_ZK5kk=_q$l>C633$E4cljO64#|FY?VrQiX>_S5!5L*{JTWl{b5x&K|m zBeX@V%n^!p702{OHG2kqIOski`%d{j-xn)uT@r!|c4;=WcCWI^=_VWH1>8wDzg#|3 z^k7WP;g%z%M^CS>4_WcB%&V*5b?00X{nQ0Q2YGwEFZ1D2v5<=8<+AGcG`{X|>WoAV zc}we#CtEYcTu#5Wm5Z$OPQ9*&zi>b9VV!l^wu|V+GYzXmZgmY8Nw+4BzS6Q*CGz}^ zU7zl}4_$hk_}V_NVt(@B85bYFzb&`%^d$E{?v>?RM&8`K;=&pU+H{Xcd70}r)OeJs zOy8{{uf9@vG{Ia!U23oG$kO#0^FvY-o+q7{w0!IOBK5;FoKKW*irUxkE@adh;~}`{ zDl+-tA%?~?17nwJS(f$-rRJ=pHF68Q1#FfZhiOW(8FKIIr8`a?di&07!=-A0l?S&4 zOb{ zt~R$yFuA;<(_99L)t(hwc(*j%!sIhqQ|-L%d8Ox{*PJ^~X!|7LW3^4Mt##QbHP4D> z!<^7vqRbciFRhc14#Sn#z1pqSj-GgCT)k0p&oQ~{V~KM92dn4LupQ4Sda`9!{f14! zrH`HVR^Mt}yG&ph(KQs$(N z;M@gxxAnyahK*h6I@i|+iWRmDSyEHoda_|d05>Z!a-CXRSw7chtk8tbWA?w zZDTPjQCN`v#30VW21~vPnu7rQW=$x-Maa`d&iFcvY^Q%c` zC!f|yX|&&x>1k=1H$^m1Ya(&7<9zp4mG_o`;R2lpT7y#MBhcriI<^ zEVwo74M+P@M)Ug2!_&1QCuvmf%}f&&*Tv-v?JBH%%vxt19%<@ByJVEEGfZ;o(wdaz z!9GW6M_B9M$&?NCcMO?%zNT^Sez}c-Z4YH7mUW>^Pj3HQt`TUoD@HJ2n7HZ2GgH5u zzf(E#w6R^s#qE_DIzz0cj>=Yl>NCRU;JV^={0)f!8>MqI%-^h=;T%S~_vXXet6vS4 zY>(gTBDrUkXpUCT(LXTDqyMOp)WvE$b zHllTU;UwgGyXn)!3zU1FABBe~?LDA^lMa+WscIFfZIr&)w2U@9BIM-!^AjE~-*$yQ z_sd0+!|p`sDPI;=TZFYRwk(QS4@W=eWU*|F!I)D9kd>iIms zWp9#?FCGkuW~=OydxZzyHK~~+~q@?OMU6r<5vy~ zU3F2p1$S2EnUiPYg2ETNq4q8oSN7gL`exSFb#bhyOOj`w)QwGC_Ach_w3gdnbJLb+ zxNV(LKX3Pn>iicQ#lx1@;hjDzrA+0@ZkhYsHRQ?tkh!W$34U<7tNKd7>oozysSki!sZKU{P3|R_r84`Al7Elw34<-icK*)K;mJ zlRbl8XN9^Tk(0>ArTHH%E0^WxxI3nG#dl@-WZk!$+FbOt@(VdK$gMunDx~S z&o`eE&w9S8T{&r7?wyyR7i+erPqB!7=3o4k8x!F$(qo-sXkXm!`jl;doxkt2HT%8gEUoV(d zg{Q3-w^&#)pXU8>GdXIX_p27u8I~8%0@G}jt~5MKphTT{E{ zEOakUE3tfh!Ps{U*5|I(uLW#LT}x`y;?5=PUwFa@=hw0eR+41KhoY*>rjr*m?VM{? zyYl?_8EL07M03r{eGGWCgb!j9|bRmOL zDQGCZh*_B}B~r9&nB3*OXQvA2K5v`$bdTQJ-a6vT%25SXqVd&@Vvl|I4_kikQ0Y^GwBLbM?kb&QV}yNPH;-xfGRJq?l!kjanevri zB3q)K1nU?&WIq_vlqBgXy(a0UsQj>+rF%y=GlVYX?@nKbTYW0zWhik;_H09f_qh+6I)uD4APc$s^y*#~jn)Cvv(KF{Q9sYHr)KIO@!yShczkEDVS=9Pfb^A5@li0*6-`B*em+D2|sJ?)6V!Pe8@*EgnY+hDpU z_x^*CpN*V0I+@qo#h#T*ovdEI)$h#_y@yxkKT?=BG|dkjaSDioCj+*l><>4a3 zoCURVuDgt<@7Nvaym0v{E8L9h`qWtMvNMZ@$P789n^`hh{<-%Jbcw;RQBu`=E}u7; zw)F9{cSQ?@q7#Ok>UK`m*{$h)Py6(qrBj@=qMLWG9X5V-nD$CH1MLfUFP~khSv&ic z=Z4suAJ0n)~N*3&R_+^^S4IlzE8Bt52(E>8M-TB@t_nQKvGOMg`x#qQ7t9!yP^iBSPb= z-3w0Jf3$Mk(@N10Q+U^~Bes3K#s}uQaWZP_CI^ZeoK+W!wOij=5spl1+!bi`=1kjR znk{QG^n>n~mN4SjTR`&i+JSDY9#x&*|@vDMGDUvq;- z&T=VURp!w!`uvAey63#~7Z!9i&+#i9c^=0~-w`!h==ELeQ?K`J9W~8)a>;FNW0##; z>NBSzvpAzpY?+82KX)@{g6zGP*A{E07sUwaT)f?MULyH|bd%HAB}-DhtG3*$U_4l? z>~f-EPn@nSlGCh6W7{GNmeYtQS2}$@TU=~cJcoST{783~<>9F*OBXJedt~hQgb-j9 zf3a)B2-@A@YKiXqMU+n&UalTCv*fLC(Af3WrkQs?6ehrN-+Zf%{LB+=ImdG3su%Aj zN_TIx45&QeKvr>CHB(%7zem^EEmAv>CF3Fq9#!{`6qV+UtRIm-Eb;zObe80>>#G#5 zy1YJrL^<1fg64C@UGGF}%#SXEZU3|A$Rxighn;$%)mI<4wEsV>y<@EC&=$74Y}>Z& zy=;4}y=>dIZQHhO+qP}v+vnVqdw<{LYucu5GG}HwlXi@^?|3Tn3Mrjp(R8({m#!R) zg>rw6QSZt7N9q`;-ClJOi2%4Wb^|jQViN@51p7MUGlk52V`Uw(G;#l3D3M1@x@Qzsel1m8Z&#Ha)bH%n#`VH`O zy46~(5~8DD*^=Y74?gjv$=cW=h=TL*=2^Sluq-{8#ch9q2O9%`mCW0C%FJGWDFZq3 z9C+f!{r>XE$79vOk%Is^7V1ZN$t0vV-F8?vCPSp@0sA=;NEg$1O~TmJg}-1(py0T( z87$^DU?E5_vYgvbFsB4}?LRTMr}`&57;`fM<)#KoF*%Pk25EizgmmaWLX8;`c@vt* zX%gWo^I&Q`Lu0OD^?qgqLn%vox-=7sM5AE_->qdh`UKI~`0O$#X&+PTpnu|i5m>qo z4)Rrrg?zA|8<=QfGF4Y*6q@ok2s;ZyG)|%$AVhM97p0bJ;4gGnwz)#QCrVf_9c?*f z@QiRUfn^c*y?jmzwj0x2nfPi$yr4N`rUIck{}5M#0asQ;%EB_Qs8Z1Dx2LN)1tVw7f;;xw5}@)jreV8HuDd;S z#P+(X{AHcmXn}5pqB!Zdoj9`^l7XZ^prtZ%NP+f}My}os5^b;1P9d=Cce0J|3qeBU zY0h(h3>F*amhx4!AR<==6h6&_e-z)8RGHd6X7V|}J|W#p^8QQ~&O#_1_@M9Z!icWz zIbw3&JImQ8B;9wyC`zdflR$tUv_rpq!$I@}r~r*(3)x9jh=P=Z=;wYH8jX5Pk@n9# zmLkW^bZ3JIQH`(pLVLu|4Dfz}KN@0{*)pdpiXCWn59hUAX0Q5)jixIg*vZi+_;ejj zh_Y7?qFMfx02?5-9upV)>uucWLK-v42_U^bml5>EQ(j_|a4XYF^E^6B-6Z@yofFJs zM@QOkeggQM zy`b4cV2;|eA+LA%ogMFiH)Nf+WZ4aR``6P7v-YC)ir{Rw-`g4bq%4E+VNS(leioLm zoiAYPll;xvas7B096m5R|MvJbBS;UHmWae%7ADQ4J90MSnB4cRt^P0 zRJchlQ_Zbx|DCHLg|5#dZ@k+2MRGd#PYOw9sM0_p)6&dX2SHjeSdW`~hlITqpe~)K zRW&!f`8A0@hPVXn<2k+Y>9LEx^@RdM=pabLgTsfgZ+RiW_uCn-jCfv>G*CcL z?F?G06I z1E8bF?pR=4jPbYt-0N4g7r=nPwVky*NSG>C9#g9~HY%_qyc{)hMQz9iCxB56JYyA{ zRho_LKZ$*F7xZ7ms}mbw_IIwXUbSa%B!OYdwCNQT;rbfum(F}4y^*@swzK!piQB;q zrP9HZW7|5;2(xnmNnUXzJ|Z3MlS;5hf<8N#P_O&m!3=S0v%oBP!r7A$UxyD7;2B|h zu53Kwj%BR**VBbL4g_w(-N9Y17Njgee!|%=WWmgr_5;~mh$E%N+?qp;yb^HzHa&KJ zzQ-P0wpr<5{di+At&C6#!8|MPduv{##~95MDPawHAXiV>Rfy0~a0;TTg7WT56sHgE z^o_5U;kJxs1uiXTiMkV*7*~O*Ya!EZDD&H8TQcy<#g02`dsy)}uxBZNNd&S_5Ew5v zDW_b{hhWni+Kemf&SPqoTFSVzea|jhGJ0fWWxm)sR8D^*%MNQlrdjFO4RvLzj$mcp zKVBuf0pJg+#gw=@A69AVPnFezSiYGAEED_f!kYyXv4l^%%dDRjLxEYG5i%R4 zO~ZE9_Co>$)4gv_er$*Ulf}udBD@!&6CK*(oWV<1HW%i~iK7~f_xuHo^LEL~4nR=% z*EM=N^DPMS44gVu7?}Bagsh=25Y0rA7PhEbO!#xba&TNXP?-1F^1Wsf2LCDQXk%de z+FTq)--ThtWnnwJD-z`1z_$Ywq|Q&hrj`9=U{hDF+LmH00rod_5?8amT-&tsdRW=3ht$e+^%FHFJH~~fVrflOZX)ip6M&eQ{~RIv zvOgP~O;J8W747RSZ(Y6ue}LK?(sf6P%BBf!%$i0sejDlLQRt!x(>-kdz=&jmM^&ZVJ69Wkc2<(sLJ_%G%74TjOuh)=6=~5 zky3>$0EyH-7EzUGzJ4LBxBOtcy%n`OY9*FuN=2tJy|`TQaJEj1hC!RUYvIt5JZy}4 zCN)>}ZUIlkDNTjyIv$#o-QI4_d_q4a%MJN%58L3vu zqiJz$(C8{lfIST_wt@90bzgl#*W$*oCA=5hkAF6};{!jrGDq@@ozh`E?5JPvYssiN zCV%!v2bNFin7C&qq|jt38tUT$b(82F(!g-jWl>(!*dlD7!6$H#85M0B--Kx+u0PzZV!LS6x&R@`cxcKyOX}W? zBiA}}VJ5aEiG5Yw`~B5|areqwpI3okuH?#UyIi;Q#5v!<+6pw7Jw9kPi<&3${R(gwWHe{ppt+m=YNy&US1Tv)57m#(jBtuTsQ${NMn(41ga4Lwq+U8B+Wu^W2raS(GN_Fm2+ppSlf0u+eTbM3MZ4jDD6mB=nGP z!YSXBrCJR3FGU)w9JcEMCKRJe>AM$BW^SX&Bxtl9KD)rto=?5P3?SVTd~4j7=>hrmNOU@e zL-25ptwX#Q z^Uk<>;*G5R_2#@R1wa{>O|@?tD~|QNn?RURSyWlrv8|xUM*Zn>p^o}gS+IBgwHfuH zFh~P+S`_nf~h%_-@juMOkInpThMd0p)N#1Y7RfyWv<+uhzZ! zzG1bpkqN57q~3hLdIuNfzVmJP8y1 z$(pz6RDbjLu$}@#@fUPOIpfn0MloUN>!h+ z+f#%ImJ}|E#TK>iFC7|Ypi1tAh-Sg)bbRmL^z?Zg(%LB@U4O0sy9}TC6W1f#^>L6O z_TRQYfTn@Fh7q?cH*mKn!sOY9NLZWk|CGpDKhe? z?fl%te|>|n3|P(4B*KY}W#Z6@cB}uEZuZfE@UMa)qfzY6?!W^f35csPIk`d3%W;d1 zH0=d!JR`hSYCM!B3e~Eb+PE&vVt6X3bgCX6fN+0|{bE3Q^9c6e4*fy1Hm;m8qdE?V z4jdW=+`dTr=jy*XD#FRXf{oWg0U(nqvfFe|Pd@UQ(&M(TRyRu~>CBq6y5LR!{_Fb#Xk^H)409?&PHX{%bq86K68iAlQ(Bp^%-ysSDENm zGZO=|0yy^zC3J-Bauof?qu+O%0-_J%H7s5UpBF5C~{==#E45_7k_7iD(eZbd>HZ z4B5In@DR{S+MF2#ew)-e#mE#h6{dT?>DPm`ts5Rn;zyL$$=a%zo&(g_ZBkygMSu`i zU&}I0l5{_A&%4(o>s@p{Zs`G$L-f|(Qf!kGNsZv#3fSl0&!T4WHcwiCE0G=PPG6&{ zQ$x|M4HEj*U=Tox@9}=7P~ilnE7O&E+`w)nUJ$i?yTg~fpN-6y8@220Dxby5i*jF^ zv!CtHfscS+*3g&B+3PjGKKZ9dJ;U$PugLK@%mRQ9?Q?ty;9>V!3qik4CL(D@OXvUU zl~2FwBxlHa|B;z;Mf-q)bPH2}?_u2m{b6njH~k{$qIIfutu*T(5rR1zQA>H;DJ){v zYoHMHYg;LzN&@NNK>_yf=SQ$nNOzpEJg0NZhaK^byOPJi8gCH;pl z#ijK>vS1;Vtfzb3J*WMv53vcrz~FZEk2*w(mLy7gPo*If#Jij3j=8`8Gq&d_>-cp+ zO@y}5ZY-whUtY3eCDiuY40I|^F6M`k&K)K%pa&jt{WOH*JM2Fh+~#TaDC5MDXsGuz z285oE9=jS&wEv2PJ@i=n2XO0Bkpe1SG z`qWi0ue^6_%GRL#=19RI5?h>1CE^&*AUzN_&;$B&HUR6o!&wuEC+#DO9kh>W!m({F48|_ zOO;W-5UNz#!o{36_nits*|n`wRgW6|8bm5?)L2#bW`Z1h`aBXLnD(q{(Xln1Wr$)v z_yrPQ7d1g28{lt*tC+@nsQ!zJ@pk&SWGo+pbtcw9M$)ojasxh%H5mS|e9MzLM8hrU zE$y}^{or`Ndh;|5e)=Q*#H;L4qpuTP@QG_snxyQI4^mGo zDE$QDu3O-=RE?uapK(a>4xM?B3jsqA0bjzbKBuBWLuC?q@iLmO0FfJ|d_f=bFsz`2Kc4 zOm#^1l3fT=u~D%CMp^AY^CT(OIblGZrOm+n=EBv0>N^IXQu=dUdy2TSZ4E{Og9=10DADLdG z_qsC;qApw_mX7)~hsIG&EShAFz=?yGm%nyK1oTNC${lX_0OT=} zWW9$l$^X^&0q-2%X94~tbiyexH<|7sxjd*5;hu*3_0Ej&6gDeCg!*)CF$mpt5_&@d zULKD>e(AV+M&c8zwQHjWh9*z|fsNc6%=K^A($`bdsv5{f|8x_7O*I@LdtcDq&>TX+ zZZ}xaLfwy3sx3(EFaXE_Inewq1iwCFi}7ZMGM*EG(x!e&)=8eSsKdfesr6H+9h}7J zhjL~}e2eGI{nFFSR~l-mb+d^Nn+h!b*Li+1MDqkEwxbZ z=`PVEfpj#$V*4g9{qJ5#N!f`VUNQ;O2(l%T5)2Zg<@EqRvgX|)jOrqKl8B)C8AqgF z9BFHkmoUYbiGNQn!56o_@JV9WGhR3q0pnZP;CBJHd#nQ{S)S*GN{=e5|}~@J<2(SZN#ohsNH66_ke{gHWD+JZKw@uzM zp~mGKUA`D!`=#}V=%9Pd%-oL&B~~r<6Gm5W_G?&b9}y3?3t%`9$<`SD=@|^SenfSw zc&4NjgyK^K%2YF;QY1E~pNyGFyyvKn3}RK$KNY zxl~nV9?Hzi1V1v_u{+E>s&qA@p{5#7FkzGYsySBcPXcWY;hxz5KvQUn?&KUr$Fb{K zieUl#-Wo>U;_7paQ`gy5dfFsI@H93xuGe+1?{+9kcUHcvkL3bGJWS$%uE$1%$Y(dv z1a1p08Qji)@1I@rxi!H5T3>tkdqkah=M-g2F}vvT_z;o`zz~u}7 k z;%YGb5w(20p+7TLU4@#x}NjN1;w`xA~9q)vVS8)cCUQyY!B+^=LrJ&S~=_8J%^JKoJrkr(vt_$>QC#bc#uUVP= zCoUACW`8fqNlUMaJ|VORMC4lY6X-;B zTF_xA(nKaf`8($0*gbYm3oTqA>xo`1lf5SQv`_vux5FSg9xyNBrniukwUW9IAhM<% z+II5-H64Qi_(~pW&bb?Pd&iQJnxovFm&vXZ-ZQ!vBE@>UHVcV*gA2l8_fCHhpGR2= zu{VL`n^oQNV@X$>rJz)QBN31jMk2hzsDcztyXB~UY?Zp_DLo2Z`r9&=4=iuVaYe99 z`Y%Ej+{S8BePwXIzJqWYY)|*T58bhXO=@dD()aXT40gb;fj71_C?|dH`YGCa=4G-{ zJDwH3fFYCxZk`&zlAW>$*)iCYS>Lyvb%?sV zCsNM{7>r%})1w|}Ph#{s3Ug)F{*?B)LBE+K-_(1xWERYb>7;;AvUKI?RGR~2s@6zS z(r4CLtAbB{1pN;tsVnv1BcPjZiNism+@D(Ony$gv@X`s1gdu{7VWB75G_XePdWvGV z_oQxLMZI9o(WSzV`~^bF_Oy<=upKs^P16LL^Z-2DDiI}oJb6T^E`M|w9M8wq5gReF zkt0Hd)`$#zh6%<)Y7688$}ku$-k@_1_Sloq@21?pL`YlaaK1!vL)p%EchE-bB8^U}X=X|ezg0A~e+A`q#S}@A|#*d{_ zpL9JoHh}3~tM*UvADOPWNPlQ(&ctTsSPa!$vTP0FVM3z4de>zUfIrGc(`>7{U_|lc z>5=r5Tdx=ivXld?DSU#J%C8fZY?xKqVHrFewse_QCK0s=LeZ2XP&lI{2P;23b|(dJ z?Hk*JhSbEjAz7<}wSuysg8B*klTV{r! zw#s4t!pMGbSlF!8jH>Ziuqr6&3QWtFV3+K%PGnQQcYS>SO}Ux9NJ-ZuYy=>mJm=BGroIf6u~{cW9V6(yr%0}@bX#g-T3t`&TXm$zkvOg&@B^s z2J^FD)TGa1>%HY$th7`KWh(+hLO5=}u=BZAKV2&;l9V5+=)cB(sl@#C!t!K;gs{Fl zq_Ayws|u$soj^AX+C}~$p#Z#q5Q&LNu}+x+Uu(YQQzVw3Jyi!T*jz=~W1-usy-u*H zsY1*F?PcGktw9PJr6T|^1EK<-YfLMdh8<(`-W^4>6b2>V$t)M9XT69zwsI`1T&TG} z!<-`o30xU_qub2UU;t^PY)APg=(K+4`>FL*Fw!6k~+onD#QUCz|8-Mx<@|G6$>{V4521z)?@<4!d z4tDQPH3I%m+Q?xm=-fJnQQ3bZCIE;Me}ez#2mk;&lm^7_eC$vl{V4Ci0b$bBbzpELml;4%AZGv=;zEWJHVnz@@jNrSe?W21 zk^w}oU|{myE(o%0*s-J9#lMmP*@^X+qUP5h%766Xxi?3(jt=Qg~5@rI2mD%4oc;HEBUyjWG zF8p7F!z8i(7Y;LUfabqWoBOG}FuqRGlu~&o< zU|CQP)TxXwFQ*5QYDfzbL{%`vu7|8@jD7~1j)p)Y#YsOU-h}!&dTO*#5ExFj@n_E- zN-S_{GlW(IH!^TxJ13b)brI)jJp{4W7bj%otZY9svN-}H+J3Mi6tU!qav0NZ0*@k}AUV*rOxVX{DI z$=QKs0z~mm1>|=JYsO=si%3e!WV8{XCC35L5VIxg?|$;b;}7Zhd&C0pDJKni1zM#60^ZiQX3DE9iwhrzk_Lx5^@An;KPW1VvbNr~apnvXG39>4Od?&o1{a>X)@NJ3wDNpj$O+!EM&ZJV zzuOI|kt|#CCP+ZsBroKYG%PL0f|k-bNQYDd?aTHq&;);cV3ai!FwBJ(DMylUnXns6 z=>E`h7)ZprQ>yUY|BnQ-20rKr@Q&gqT{f`b>Cl`KH%SR`dW7vh zn283T4GLt_sF;78=0Parp?VQ#eRfsID$1hr zu8NilZlY^>5VVtxT9X>u&mMcNq@Tps@RJ7MCPdz|FAO=!gDxh!dRrQRTCN}Iejzo` zokJP+W5rh73%Bi%M&^maw_W6$NQqWPqyD!tBj4YKL! zmF2(j2cXvsQBl@ZD|PEOY;F`ujC*7T$>~cfIkT)9a58b#gl9XEX}sYUzc|3gkFXDs zhp=uDaD^xuTU^lpJ1?nv8G{M8U6a3w49v$bIBz!vl6aFkzJ7WX+^X?jn?K*Af=1bnN0`yak8b|1suk{{6<4R({?X30gLiz{_Xx{t&j?CxAvf zz8=Fwung?_rS+iO6__n!QHvZW5kP!2w8VuqSg(^Mqt!WHYZ8|ujS4-3NMHi=5BJ+- z(GGR^eDMYiIHce;Tm3~BLfA*_tfEPzyP(zRemU~v;+YS+87b%P5WHODKTGoGr~G_dyTi%BrT&mOe2E5CwX{&L8{v4q>%6ncbvldrUh{e{R(4!N# z*2?gbX-ynP?i(2w{_^O?TRpn8kIaTc*KJi4VT%VC6*afLes!u68m(UG`dIYe}j%>gu#ayeh$XT&{hIWe7L6 zp{K7U6Fm)i;Gs~h3Fy~wG8HQ=@&;K``>86D2Qf~S_Wu;0x@n(bfV+r(4Xv)yCJ#vh zS++4jc*+~%-M?GFAwU2|vwwrJDdx?#71@!n8<`qv7*bR5b90aT2e)Mc?zpR=yFvM-Ry$k8H3of%s*XWsRjce{xr(jPDy~%@=nTw zqRf3i~Ka4n_M+?c0y^UD^ZCG z*mm>8*22Da0J^?INhg}ze=Ou%J-QjelBg)%%a>?iH#hVy(3$ujMJ5LD?s^VBp(0Qj z))+9|V7JtK15=L+E(xVvfSe$CO z=xg9nCBZ_(YPb)Y6XD!xNo#?%-Gb~K-qXz7b0w+W!m|KI&ty;y+4Nc-=4>?F9#iAv zD#|bUlM0w;w3~;d$icC=s+eTYL`x7{=BQkh5PbYSks%?jSHJb>JSC4mmw1jNEwTB-Kuvuv z#9=N!r+?@)vsR35&*zuWbdGO^a=tgg?L0nLm+3y;2vq3hQ9)m{& zg03i3{!NGGbVANm71UxlQ34=pR1eLu8}aN&WMC$qOYGmx$45x{nA&xfs~!x4)$LO8 z-uu|-q?#AC=O4SMJB0HNr@WE!Y`l*9`DSWT=*teQkl7!Y=3AD_%^bQofg_N zV$k$41isA{igjMN0(Sp!a*mKEr#3Y7>SR%A3G(Y+gh+#%B!7bz-zMroW-EGAog!_s zh_ogru4?d|tf#6SZwr0C=&iphahR7p)TBmzfvBSdaAF%FOl_27#Vgs2n-~n@u}p%W zYx=te1yruTUOM5P%(+kK7AuXAwXiJI9YX$IK)=K!%O4v%Y>naZcuMetqs)BeHWQw) z%z-ERmgPk3slPHDI1Vrl0|^AI#@qBf&0=|p;fc*_Z&|%J6{=8VdQ9mM~&P3-B93kQz|E))9l3!I$HbVRlPi9qo}g`^WkGqhZGGQ ztEhAB5_qSP$vD*D(*W_~c3mH`^la@O0$HDihA@KffmNdZ)yohFQBl9Ab1t+tCFy3% zFZr&koTS8Zg265P+$sjX^6y}gHUpt9@!?K=5m8Lsr^5Vs31D@`FX-`r^k5YOkjAeY zRgYg^|8tD3E_a64KR#>}U?2u#jd|S!NGR~{Js>WYw3sGiNJIewjS9X`Hu4`RKzxfE zOpEQ=Og5RK*ML%SiD7uAqFL%zraVVx(@cmmA?ulbmS1ERJqAViRY>fYtjY}eW%1zk zH5DIRr~aFhD36AQILNOK^U)B5i#>v|sM`RRCT*E7h=Oj0DuQWHYFduk6l(Q42~+;B z_gH6&F~_%f$-`MZ5>A1Fct|)aeIyCC9x_OB8Y>AqF9J^p^G6~QyeGhwIe_Crb_Jck z@!(gQtjBE zjt}_X1{e~cUwsZJ7wU?mRD08&pi9`W*TQzr{~`i+Tu*~un!t{_n|j1{sgEN z@{96@QK=tZUN&kA#mk_Nz4ZCl&axyP*I~GzJq6!2PM^^z<^xnV>tmQVe@|zzsC8K4 z1zk<^IpnVW`S>*EJT1z<`6EflQSn2d;X?J}&~|^zzNMD-E7OP)fB12n+%D>2tP%|$ zhtZHQFu&V)YS*I+{e7oNI~%B+Dygn*)5Qp>%6Z><)YAa-$MgVJ03QGTEMY-|6Zh>k z4yG~Hjt6^dzJzbGFk)dpqlGe)4{*L>jmvv~+)0F04)MlSg1y1r(CniveX1sK*#r(W8KfxUh(4@LmI^7mnyk23c?M z%V(+oH$Li_Nqw2+n_@WpbxI0UKYRj>SC4(EB z&DwLhA1HP4ere-!#JRz>tP>e1?;B!UEfiNxZIbHL;Egf>gyrFlrq}Jf;p3~UR0hDs zuJGXucj^PpKgz{^xvJ9XHx8JV8HOZh*8T-!9Xv7p?SQ$Q8*H~+=oz+B*6YF5C|t~f z<;Kd)(Zw0ljs}iX8KD2#w?vBKZlYu_2QV^0rj*c^`c0lW8dSa|LwUK8_bev8uTe5> zvYlNMPpObPpWeXf+u!v2l<1Tg?3~m`MGzi<5SNsc1D+)UPg#t;6}#$3md>q(vEb_N zuHZj>-#I)7tLCne7Jp+j_daf90qlh!;eU)HcB#MWIpih%k#(3sD;GWF!Pdbf=Px>5 z_8*b285Fa9X-i!>V*!^VL|WiP?(QS1N5*UY4j;xYxPV1JGXmM+&?}}bG zuh}yRV^hkgbz>-C4h`3uB^~C6o3m)P?-Bs+(CO2D%=YKZermGIZE4tsu`a?Utr{cm@S#zuWYC?nXd6)KY*ZstNN zEIQjh4C!I)qM#OorqYsPpe(eYv-J5mIOACQfEx_A^tanzygBss+^%I^x{lpsmQDEA z8TAFAQxll&e3Op(To8B^C};!fTyGGgMKMH#;Dtr)qZjsxj3F*nu))sPOBPQtJ@fK$ z$cNVWxPK#^_JbqjdBHa`cPx$hx%JgIxkiZCNY5G+59lm2q`^-zTe-}S|CS$KbYkc#)!5hX(DGIY$EqLGc`#IzvQO<=aA@94L=ba3A6=T(X4M*sJreFh0c?Vy2%HuTQ>i{ zwOL_R_iYWmruHd19_hew2K)Fgt6bd7Q) zkrb^d5aF{HHhi(XIbM7RqhwoE`yA)a_D;!Fmc0P0l!cc_{$|a8rb`Z~B^cnLXAN9v zX1hZ6OVEYG35XfTuT_E#>xvHq$5*;bPH-$Q6c6nX9#_r{{q;@E%#uNRq7~zAR_=Lr zUJ~|qvyyUf?8HVMf)&%!6e2($E4)^$qOZ5Hm$M&tKoW_h(uEYIx?*h!!?u{PSaJ#{ z8n8)zCBqJxSEHVuYuo90KO|@F&IZ8k!_F8| z?PNiM8yfucaMu}^XE8HK_V=WR@*Q%|c_y#)kc(F`gk#9F|tL94$v5{De6tG}z!i=OJ zV6n3~bx9gUa_C;@8uE<2ZM@GG@2u2pRuSqLNS62d;T@7=S|LaKjB@IX``HMtu899g zG_(ImG`|2|naU66RqVo{AK)3~;6SLQkWFZgtWJsnic$5~Ocy9KJJ0G7>djXb>IK;} z2NvzE?8My}6%uaBC7tlbC`mUNaEMpF{u_5X)5pKQW{4oPSY3eFFiJGfB<9)M>0sL0 z(z_jpoT!L9qz=vQQyaYg{5<#1M%J`ew({u{!YVsKd^LSdm2k4gZ5H<+Er5YxLrz)r zHW>YMiZb#l&qr>5b+!}zQ_L1`_)lKefOlp!uFqF$mnJ{lqJ1iPFnGJ0TA`_&)vFlC zSwyDOx#r4W2n(>lp6;_)2&%!1Lne(<)m;ZGgGh!|16u@RU|unFduiGO-b z=<=t&aMQz1fB)j$^!7T5_;dC;g6OH}C(VSO zmBV}0Gk-I1eiYQa8S@&p)rp@vN0+<`)y+Z|D zcpX2i#%AZ8{1+2nl;GPc$9-|3W#yR@OQUb=QIQ+NkMQNkdL8#PW`GLDQ4iJ(`*Bx< zu#I3te9V#jg4YByx2rAC&ztPAu)%l|3z4+6Aq5q?e3)tqnl0U3!H#V|Y5`neQ%9q^ z=kueg{W4N$WCdpMqD2Kk18TOT>Qnw{_weWIt?JfE~aK}_3 z4XdWqN%NaG@ds?sPPC3z%tc5*jXA+Wc>!w~_0D~4Kw>~!6LpE8tq9_KYxzzU`->_x?9ggAAl%ud3aLn zy+w`t%QZa-6wiPxtmiy#8w*k0YoK{UskOjtqeY((2(ZT-Vw}`)N$9LCn3wBOtvNqW zh2g*~K>G>)Xm{U-ZbhutM=0S&X;aW|z#QagWV?O43qQI!?FZBk^}3>Hl8kMf4=#WKIi ztIA{*Oa_1Mev=#&PJiQT1PQUZs?&9`7Y$+=Dnpd`z!d5s8==YojRkLaiqLjeLP5X} zs&)4vknjsBhPp&-S~QM%(MkqxzvKM+mCu<%n{9_-TGr;d5YigaFEs4}HOe zD+TS;YeX~AyT>*V=veu|3U;5F;h2fyaOFLq2n7%`nyRF1io$qm5-7ZkuB!xuzyaX& zNf>wQ45S2=>%@*#XQk>@&jb-U;lo$fue$J>=?Gl6(A||si9p4)nva0SBEqt`4L~sp z1R1uz-`yISCvkQ#CsAieF4G+q^NsII66ky~Qx`)X^6M&UZv0r3{jaDAbFIf+s}X_~`jy!B z)`MF=J`iwCo4Ov0*kg=s5*1`o9RP+Hraf2#Lmq=OR8-IFMl}S=^+dw`j(Ady(4EIj z6UfJjiop_}=}6;-p%|MnKVydpDMHI&OjHIv--fhKjJ)}q19&yF&y+BE6{Cfh*sC}H z41dn#4+yr<-RKjw*;zt@cqWCF%@_i59-S^T@%ppnINHQhNXliQ2NwkIlRnroEz5(g1 z^WV$-!AhJ3#aLdQa2wq%kq&GxOdfq+9t^F$ErI>hqxFxD(L%E7U-E~^>2b@J2|dri zqpy&JT{^H|j1xB71iDJ4q%52Ko6<5o-5yGxU7 z@>H8U`$^6VVa{KO4W)O#MAzIi!+E`}X=b7xCXD+twJzT5D^ZSWs~{cx`Z6=Ei0^eo zkr{0co`LnUY?}Mhpi_3(#u>}yd3a)quRWTFNCv<62|^D-b5&+U*vMZvKCx{)Set?F z(64YgcZegZjZg8~Gu zaJ=J0C6a@JoG9MLo${qH>Z>g9CALbdMXn@ML$BmTgT2`rdLi8Q`ez4mi}TA@_;!l$ z*I{j%@qGqpyEXx^mz|jkmdIKC_$kG}JC^EXQkez`ueaQ~{Yw1HHb~KcvBhZdQ^Tl6 z9gCiul3Gyzo8%#p^Kg6P#Ya8IBOvwpj*@D0)tLH4$O{go#ekf_(y6CBV{hdT@~Xq_ zP3({h!(*^4NgW3^hXX`Nl9}C z&(2pCg3R&fUgJ4K(Ed7_pZiZ}cQO@wawR+}<2O*Y(HVbc79PVF+KxE1ni*ZuMpAIK z(Lo%9B%R3+t+4he6nS1yRhVKH&y?&Ia{H1r2!Do62?KBvM8mI0v3Ev3Ev{h<`P|sSj`R0R>KY#!xF$V z8CO6C(MM?AdFB8h2~=G@5)A#j<{H?hKpt^LlkiPeTp%PyZl!Z4^b*TE0TtvOkZkq~ zatf47Gvjw95>mJ(PPMwAuP0Kx$uWZat&v8t21VcG_6dX^y3{D)H4fcV{;+j{{$Far zLnJ!0g0=ZWHl)O<)ndciv_3KKhKLN*1I-|CJR48&)Y+*7zHsN++jX6r89!lra7*O8 zHQ6E>hzl$CQiVlM&!{B>umwKRaj1xU_ zJRE5QAF!C+X!h}I78wIn?&KIGzvfa?R+AQVz}~^D65u$v(S0kieMzA^sZ(6Bu3>vG zLdY1{(Gyjd1PI(K0LrkHmW<1iPxS|Ah&AH;Io}@(pf<*bZ!o{@7#eWN(Eh6I4T`^i zG7~X&tsQ#99`k|Je%OIvny`Q!BUMr1{3f3JC71!X#{7*Y2!5w%ckHbL?-plX+ z#BnqP*8!|0QqcJeomES4ipD>!)aw;?MxLu8divV_tlA|B_wGpB6{?ZRPuR*Q1V z+3!uoW>*nR#MFhuhQh8HY2Kqo#1Wl2#+)O=D@u2Hd-tGMfyHBypOh_Cqw-?_a;cdV z{5eGNvmc@yE13#k8tM!383}O>)+nadFQccFoIRnQu-=c?Kd|0T*pMJzGUVac@gLV* z+{VakF-XqnPvtG{z$RejPWjEZQ(mHZeLut}C!G3(L%$Kr(FOHm+sIpt9whu4(cO|2 zKVu$qf}?me2;rB?Y^{J}Lw&A|8_ZPy854Wf~AIV{)?y`x+0(9`9a z=nOCjR>8t=D244`A2J;1dPVL|8NXP3K0RD|cPScq3$d?j zZrdJygT4Bht)hK=4c~t)!aCV1Yqgw|Da1qkko<_u>*N~T&o2ZMlVea1yN2U$Z*p4#)q-kEwV~Qt z;h?rxHKZNU^0ogatX^j_2^U>pFiPRp^lV8)rP}*?Dk$P#ndxB}^oeM$d{qWVRzUmf z3AQenDyva)s3s*#)EfA<*cLnq>h4t&9d+kJpR?$>Ohg=k#$@9DrpE>WDvk35V;s7N zW?G6vofCq5n|fbIL>G9O1VikO2mo-EZV)djodG07Z$XvRB=;LL^5L^(`PAZ!(R+7P z-T>7uS0)mXSDa9SI(w|aKXKb}ynh0MGEi#x_|JW8Q(5#!gf#L>uQWI!U~Q!I;Y*wi zU85i9`9-Na{}4L%+c(}4uDmo35u@Vb5u3?K)EinmQ$vL*557Qy8e*>pSmrls8+V@O zQlGy_`sy(Uyt%lS+>cWX{M+x#09?kF8Ssar2yfy_y(+D}m$80>N^OD<>3umj0U#)x zcx6%FDMLS4L4bp<*+;b(sI;!Pv!>m#v54_GZ~HD;g7IL&>5I3zP`1U9{~~Dg1Jv~e z>iPyz zOKew?sh!}%t$_Y(^3)=|L!18DBJFu_b^Jz-7ftFiMt z6Q(~8^TX?bw1IG)YpJ!QdJ5_gNjNCuSCLsqekru}ME@l76MNE5ucHh?c;7Fi*x)5HGuRiD1`Ylj)g0^spBtRGD&oWqfFcY+~bcu7tmx?Yx37z8Jeb| zPyWV&oN#hv*#OpP5W^Su$~O^kKVUciiL86IlLS7L^2WCr)Czu0>Ipw3Ctr60-v~m~ z?Rrs=` ziUGf6oN(UNEy;i0;%r8gCi!78>ve7Y}cT+1n8Q-G(&6YD*6JAN#1HHf(t;754 z$sfZi%a4@Jfz;h^D;@h5@Ctrgu4N2o*zy7iT_(uMcpiF*kbIkvNlEXXXLDQ(AK<^NGm&BNjN(6+H4 z{)0~K1W1G@G-Lri&0b*hZEbciuXGywkxa$Y=no9HV*-DHo|m-xn&gDpcX%A!7c=KG z!8_d+7w{LaHMbwCbBzG!7lEpeuVx&Tko+_Y_})e`MwWdGZ7tKz9_%giA%WbF~J-POa! z+0?L#*P%|gDI$~F%e}a>c1j^Ynj?U0IO;lcuz4u6hu(#~SIloJFH9wjA-ep%&t<4& z0>5yxxG>Wey_`ZHsB z#c#K;p_Z;eBy(bnY0TE93QvdFnI6BKD2aRu+E>K%L@H|qau4_jf68K89pE9GL)HTp7o&un_3Pkwhw#f^RP?L1!S1 z9G>1%r~UW=Pv(W*cAX4%Y58=7u=|CB1h7PFYiHtt6$QcDE60?Eu8yU}1@xOsn){FM2*|yj{PFbdD=_f4 zWu*k4T{WE!&6>tat)zUtnLedT3D@WBtz-ul(2Okbx^{|pz%~pJYEeDHffcAAx~rY< zY%uX!TCkct$jk%Pd$>;Z3n0rwUOI1>4gJL?9H%eVXC2zB+6z}7rT#$7-7vw%!T9J} z26QDvQLzHnik9gl?v6|~97(S5vl~rk zqP_qT(4O8Cu4`T@J<+EhkT8gM7VsCIwZp9C5wIl(H3?Ct&w)tf4aoY)EV{5(0DOiGA8gFzfSX7>{hu}2>LjwOSxRpPn@Pa=Y7TNqh zNX5dlQ0yH!kUvfJj^f|z0C2HsFe@GGo^Pre>(sT^dZRu!OYw(U65^nUVIfU}>valWND5ih-@P4v!d(j)yb9%3|3Tpn#H3=|P-{tLQNDv!Pv)}lT z*?u5wgPj)$hqY!8;*PRvg88MKCdQf?aX%zu{*93E7DiaYsU&%n2-XNZjJDxCufz5t zqy}I}&0a}J^tD@7gyXD_e8V;Y+zu(M8D)*?K|K7OM*J< zSz(nklkkZI&=9UymEi}Pej0h<65pnxZU{*uc(%g~`uI|XxJ-=KPBDdX{9hyHk)@`e zBy|mi&*J4denMBnifD`t1>o@`-}GnaVaDW8xvD9_P2LF^>cIBJxLYU!lzt3x;WB{? zYII&in2Ho2+!79#_IgF%#xF87!^U#Q{bR)8Q&YJVQ6`rsFZ(*lf1uS79zmYaj8t#$ zt$kldG*#Td{_fgIT99Xuh^4NOWRvs*!Uk=z8k^&d89VC)gEo{V_ej36e)9twLMBi( zg%Fpx-2DcjX^sRys^`Chb0br99wFTwogx-SLAR#o*NRcN15AlTTuL2a%&3Kb4jQxF zuk%qWzR^r$(-KIE+F*AwTNXnL^bY{dJsPW+$xNdSP1bO#HmoGA5AZ=FEV4Y|bnTd2x>FG6*=eieFp|-$^wv4|*Rv$pX zsN{z@ZuC~1sd~JR;lKU_*+oAP^~U?_#)nAx{cE8EsDeZ)kVNFPW*lNgNM7u(0w^!~ zoN-%{VmV=~lYLYsFWz<+pA44lh)I|~UbQZ}n_Xix{Q6dNvx`&sWvhnf>ftGy*%;_J zfmc?^sz6cf%_PhrF@XEJJwoNr=TaQFFX|2!!HqQkX_emQw_48rmP3MPXxNwzlx02@Z@(JW1pw2l)CkF&pgiL*eN%ik(0x^UCXTm?%o$KG= zD5&!_>jt@3GluKY&98Qholo|-BaiCUAwT`0P_-WhK0F>N>E zPDO%}m%kq;yh0KkuPeBBOtR(kC{L3uGal-}hT5rx`*Zu+mkmBcoWlAAuNirIBoab4 z%oz#|sD{FSnX_~@DDVh}^r`*^Z<5&rH*U6D8V_pQ1PUqBM@l*$e;QdT?((p6@pX8k)%a^vwB@(84~xOjgI>h>td>RN5tt`gH~F zApht-GOe&ZQ$D=`fm@yZSaA7)4_py-w*}d|6SxRLL;bOq744d2=;KfwLFKb#QVdA< ztX6uB3A4ucjc7-BU%jQ;sgfX_1&G`i1KRnEe9PF9cf1f!32w8#Z&2<=Ktk4*j zl<(jP$b*2{$cPq32?EKq*~5gDtSQ=fbo&l0Dw|Y;MD?#qJMU_Yn+q7^T zpLQz(s5J-9Bt~hVf!oAirjs$T!mW;yr_=&UOAYvaLl$J_y#Xpb+9L`YW^s`4x${yh6qcxz~2 zbscR+P2SSyF7vM%cwgWtJ}c|BynjmRo2d{t1PC;@ReFeOU8sIVgxhHGe&wZQ`{)D; zIfq@@AGRyZIh=XG{AafS02o(t`}aEi>2nwFAl}>Gr=+sU?gF+z!A@=4=o%lo>ucpt zZA=T(HHlfH-^qsMT1z$|d18B;B*9oEZy+;x&c9-KzMhXz0P6|1p-!imck}8X9kohUfqa0#;Bv&%abFi$ci-Qv!p&X2ePZJiMi56re=N< zAOOjEy-2FW%`*6BL_t(N$kQ)8CSOiRjw0#_7Zv}Y zi=RBqOV^@V=5r?>KMrITmhB9?8NVP+es*=|BuHTyr(UY_KfVj_2VS9h>N`X47e%a8 z7@CA#eQZUXe$*fXbYbs*x?$A&Lg|Sy!U<)vnGO!(j#{;`#ZD_j^$L`#M0m4%JqfPp ztyZkI%78VYlxJSi+QnSCMC>d(vo7B2It}|P-C%85sw0ELFH7UZ$GqTd8BA7+Twn4U zxDIWu!69o@;}>D4k1I*$v(ySA(KLA8#hy4}shqT~GJ?7waORk8zsm0XvYOb2b~tD* z$eQA?+D_fyUN&s#G~3sx2O=xgB>0w8i4UH-GZ935V;~E|szv`2)m&G%=Vbh5r65G; zr!kssh+}#r@Yl%@?OhEAtes3&u0Rb+1A}N+)N1$SiPGMJ$Qa!b)t|(v$aE1A`Z#3m z-Z}G)rZ_xH=&j}?Xsl8_?&AYf5JlrM(u4AK>aC;VhZpvP>BFf0@B))FZ^G-XguVTj zNi&93<`aRwN^nIcO?%0W`DoujUqxkE>f(LCDots45=7qREVZ%;oBdnyUW`bq5=fEN z-2&~%cp;rV3FmCHTgGj~#*M67_I&s-qEH5`Ko?#QL!rEqla^rRYldS5SAjk&*YoCRFYQ)ow$TmMS6eAS|LpYtxm{!)SvpD2xid|3P1 zjl}iyM%cnrjh6Fouww~P5XQJ+9B^CZKU0}7Q^OW6M&3-?@7qm^_Ka7vP)Mi2xBR>N z?4^&_)WnYq$uBUSHO*I3`m#5T*B(dFmGnz`xzo{_EqR)FYUp6VQuwXV~OiHXj| zK}-rr%9T-TzT-5qh?;RRp&V85yPrJ{+KQc$PVO5N8<6N3ysNJ-8>?9P@NW16{mPH; zxC!*eNo{+JDH^0fI@pd&h}FGC}SSv8=ZGp^`5iQ1ozk)`-L~tkX!Gs|+N;bk)qzA^N(@ z6dG1W;#ZOG=qWOH9&JFn9A2@?Ujr6%o1=Knxcs|IAJdl(^s5{UL`KvN=iSy?{M&Dj z12AvUbf|1#q2>4kIjgQYJv)a=VYDr{g!mUHR)B86PK;fE-|!6Fsu37fE!Z!oE+Tm6 z^Aq3CkLmycHU`2#3XtgTUl=5k!Q9(^hDeNEw}RIEqgU+HNxOsvqG)bkJ;e<83|j$l zbS^p-H6j~gY8!TQhbmojeXcd`&HfwxwH#VzrI^N#d9!QUGmj+EVzH0dRLNo9vlGP) zTY}&2&BNO>`3@Ne#S8K|vtqxB$)mfDG9m&_!F4&8Bgq8PWw41w0myqkK>ENAVSUJG zLr)ScqnddJv`=udHMzl$7vDNk3i;lNaBl{LmLc9Iu`1!d)~y#EomlOu_Ulyu3u!(R z^xehUfBilSYbc%QtFH09s_FSw=9-(D_dvC&lsM0cOV`F3#52+#lIzg zC`Gvx*luLvNBaVXosuYY-C?mIEu_}1hgH3DM3#WVj2-Zf+A*vB#*$k#Wf(!&0d9xI z?YTv&_3L=CR^I<$9zFZ8`MD%}z-xC#@z0SGK}W36 z4D?!k_0aI(Ds*I9a6Kq@_ztoQ$WQEd?iMBEIZ(vYolvJVzMT1y=Ll#~mb#r~JM~vR zW3rXiMwN}2_oX)Cf#9XanZZ|=)UlQrU0N;Cc_d^UoWyA=EdTyyMrbLzVM7zQeQH|x z{sNAUYRIQBXm5|^j$$<_mi;R2rRwTcdyI^DK-XlmS7mt*Pl9VAV7yR%LB8%+G zEOu)7xpr@GDzx*j3Z$RSi`1a=ck#hL0Qm& zV+VVifd(Alo?G+Ad-#RmLf)D-&(nTo?)|fF&sw7t!C=lQrl|wqhT|FCcdlO z=l0UfzFB5+lA@449PoJrWq8GG#DI}r}7K^cH4%D&8u})YJ`!!Fr{A8 zy2I;cRvLkuOxl8W=~j_8@1Se$Se#~}=iP*0X5S4uTcBgt@q9l2ltSBXD8^SzDo^U9 zY*E#mL@C$2Pnyxm!J(Dd7d7{A_g$^WHu7sL^qakqhu;$aawd0u=G)~VHuw?TXI=T} za{6-frZ>R={)-PfJ^?E?T+X0`2+_HP+JZl-Tuj6B)6W0{4A5_S|HA~GPx==)F=vGt z=mmZMUt>T#W>p7II40&yp73L=r|f~^NzzX?<-$LH8no%EePGF-84*RhR^UDmqYKoa zXBTUsBalC3G)(6)JI>1uvKBrC!p#wsOmexZcpG6MbX^z16^)68HQ+{q@Zy%w7__(y z;=#BZxQl;Ox}`g&(%^MC>qORigsqns^VRG#m`r_GvN&AfrO8BnY!`*kM8mNRmgEEG zAFrYy=ol1!%8uFNRK`S8%i+E~)xL*cmYO@0BYvi>Tdb=eF<1Ei!L`k zEpUqT5&z0WgNTW2Mv>Yp&Gr?lj=U(^Stvq&b21p#yv-fbAr9Uh@{$z?6qFXtxyuXX z6H8~JHAe>d`3^YxG?eNHmo~DQE(w&NDt8Z=_?;|K4>hQTY<&hR#R{u_N=bX?ALLCbcZIS9QvV-X} z8L`29jKMGT35fc61WJ>={&C0Sn*G0gGt=kF;|3(2z#YW7^vrS=JoyKi`?vsAew&UnDvOIKh)>1!AUf6=(EZ=jeL+Wr29lxdWj3tXlyP2zp8!%TO=qStQuX47QiRl=!KEdB4#Xk7j(>RIXtdS2N%7BsbvNCfE+08cZQ0{WI zb^Xg!{V4JU+r>$CcG(n$60$zp2hm7GPZqv^(Lp;0v(r+4Pc7jCTVo%{Cm5c(;W;|AAG))tO-;`In~2cj|<^ zoohH%vjUI6)Bnh#ILwpsPj(Mc4wP=LP%sod`fuU1HmzM;!HuS*u*KU{?MozZybH>L zRYB{z2O@dwn)x``&fWnR^9yG6qEPkpa_QJEGmfxhv2mnULH~@DgW}fpZ{MCQ4Nab; z)i4-YQy2LwtuuPJz?=;g@=OMdf!HQZVpBbvo$yiNUhF1#8NOtO(E_2B`0%Z9zt*Tn zQ_eRx?U6Ls%ks~_7V2|*l;C;xk}x?7a0lkg{VG_oNDUDohtT6)9*QzZiY_G-022Oh z3HlgxN?3D1LVJ|%c^(%Q#uX46dICv(PY2G56&+S~KOHJnYm=kb_^4TG;G&bnqHI#S zk1FL;<2Z{X?C`v6uNphdqwExnFEzqMkkB3fy(G>B;oo)@6GRx@SYw?%)ztY2q$nkJ z8rL`a?~y)rpHj#vxJ`o<+!+@Xt&g`_6=C9D=^}i40BW`=+O5&Cf7e(%T~(Qt<`zA{ zabZ8{)dPkJ{hcqUg@uU`J))MH8NIlDj|E?F zbZ!!T+rhZ664+m{W~x7DLi=!CxwpSNYAk)}@u2NN0$G8;kHEykafnkW$m=1ZDwI-f zp>}wM+N|nWtyTGr;4sAvU+I@;U)(;BAqx7G4q(6m4oyOU0@*iLg3BW*jK7C)Gq-R? zb$+KXE_8Z?4L^7-;;RP$goLW@XNF31`X2UDckHJg)>&zcCT_eQVu5(t#THWPw--HhutUhJ zNf0~+=?I?H6!EeHdO^cj;}Z;>N;kzbZB-5)?sa?ohR{G;G>xe>ykTC@JHxJZ2Gz3L z0^AV4)Qp}!j*$OT*$~Ny9*z$qlcn!Bpp;_(I3(nhE7p+|Y4BUWR{Sn|3ZU~P>tOiJ~74`lPdF5vWcT%cYbX4-D$uJTTk6Z zY!&5eN!>^WbTt0+i4-g>!?Cb88mEAjG2OwyIp<*%A%Jkh_M-B76QXv12ep?)$%x;+ z*%^IFUna6fS)yl~oEF!tEWEA|i=qvZt9Fi>v8Wv9Hn^n3%>yCT)GEV5hW{&{yVLPk zGee*R8#r(GixsKi=Lt*hk@_6wrx~u%G~e4_|1Pf`91Y-Q&-QO7yWz9;+i!*bO_Amk zG;hNtf(V+1LXPa#=JZFYNsu>1FUx=x0T+zUXwz8T^ zd+Cdv=Ct7&Ff>&ptGqzL(8j<3zZ3F8G#C~cMQO=|!4gBP%KoKT^bgnuY;HV_Og_`F z3N?F_f`Wj_oBInFNh0-yixLAJBK~4(Md-hHaBkvYf;)pldbmCJ&3pO4MUpbnSK8#{ z`!do*fp<0C;b{w#hA_7}C`A0j*AYA-;G||IeCrI6G^7d09a#xqNNSSnW!IT4yt?68?Zk`jmD?j=#CXxq!b@I3u|6O6k2kARt zk2LVQb@WYmgyB@#tpTzR(dOhbI0!%fYVG@-gg)_rU}xxSaFoFhkDdB4*7#BgzH6YX zRbeU4)(pUimRHX?(OraF?oEBC;!MBD zc(G&nqlwh#aYBXnHj!ez?mENM2zin1X*N1|u zup9H2A52QdX|0r`@Ug5)?&3+X4o&VNH`FWgnF$SKsztoA-lCm&?*bx_VZNBU@&7OG z;sdTz?@<^C_^FMbF_R_h{aMS1(q>P?%7KypvFAm=%o-V8GltbyZudpOPYYxiL9bnlJYY5+ zh9$Vcj;-chfmOiEj-n4k>F-I|@N>m#=Jsd@td?)RaUFD$6`O^JtvNQ#Z06v%)9Gk& zXH=!x#QqIVw_^LRsy{oY+)dHp0cu5vGS-VQ@SL!#{D-KU_vD=Drbe1ft~{k|t&0%o zID9{XAKQIXe#DWh$1yj5AavaW+>usx(}G8awY4Amc9Mc#y_1x8&!hN{QvVOq{al@C znOS_#&C0VbjCxrit=TU>UbX>`&M6b*qDb42zzqrlv}z7t-YQg#h%dy3+{ttJ)~0M` zg8Q4w-lA3qjPA+EK;#{Y(pH#yVcFFIE-k<$#j+zatjJcPKIbJ~5SD;p1hf$@ z;uw_P3e|^9chZzlifl4wSikY$pIwE}))R@^+6wmO-LwXL>yhtslQJgzf02Zsd^>AxM4wfBrilP#en;SYEYI`QVOr`Tnx`Z7_E9YX65ZFK zXmpSvz@ly>k`Ze4)&#q?)7w7(Iz*E84zE|p`Y^m3|VQ{B~a;?>ty6v zzo2&-a0(pzV0ulEaO6Y3l5XR{KUCH4oeS{G6PS6BF3++bpVf2HemMjlZWl8pg#Rs| z1^4m-t;8kPw+oBSHvx_`macL=)jXN+5-&|NYi@Fz3|kPkt$)eZ0Y}rMb-ureV=i7l z-b#M5h>yl*G_s*-?}(-?W2gJ36;}mna3T4}6A0G2e0q6Sh)@zQ-HNw=Ej54?=8xgN zrt}9USZienxz*@|HtnglF?vy0OCg9u!bOomb+HzmQORhs`tRuahF#Bt%Vmr&x1=v4 zU_^^UzKk4j0p|`9W;_IC<%ov6Wpgqr^#}Z%3#kUyw}9QuBUu);Te)t{Z_%?lSxwKD zc?%?8K{Zpt~8oxvIDrlSW(paf*h@3 zAuw$BA>L=XRteky5EcO8Ivt3)l$3Kr^dGneg8T|MmKso^B*vQx(bs)pi=uXg$R3jax zPX6_h%f2&&8}>T!*SO!0ivTDEiM0J`D7WT^45PLAkEG+jc+!75T4Bm&>A^p{xY_Oa zQRrt*ooEf|v59z}t=5^g6NvxDQ+b)kVrV9WQT&YEvepO-$_Uy$~L+tU%+YvaXbr1b*Y9dx-X zLFhk+~QK)eMPE3={GI07h59 z=y)lJag_kAsh3WO1BVCiKhSmFDz#(x2CTzd=ob%0X2?Rq&y8Kuw>XjJNHq z5KzAjOh0JtcFn&5bKx(ce4GD#R`20Msy{u3er>gHH&VT2(w9v?1^W#~cE@96jlU1) zRc@P~Uo4I)GEwk_O`vT3&rUf4J++zBPHwu$uCz9^?zpV`&sj?gNW(SYpdHJg z={S?U>o_}A5%)>Jk?c)Kp_+ydzLnD|7N`n22IJ0NZ^oXVod--Q@VqTU4mm?XoBqlB zYI(3mKJ5aVb+yU;L?g|&Z9S00x6uO$*9Z8o25?a}bOB=zq~MjOHrzjOgy^1q?Z3WD z8%gbxJS6gDoSi9=VQ`J3MIF>#)|Ly)-qAh^ayR#L)Wp=k5?idwQo;#UhO*ae}+vlofsqY64v1NSa_Ae0fpI-}+ zf2igf$!3sT)$9MDljOjTTGaU&{p9m;j80ju`kVj%&K#1Pc&<&STAez1{P6eBKuQ-j z$T};j0q6;Rm)W0NwDR985EKC4&(EO{2LoTP?{Z&jqZf zBXnBB$rlVNZw_?WpDA-|m)~352^eT8v$Yc_(47m&cf1fa3>ivNXkV<|8WVe?Vh1A34C1yuOo2n`gBR;nY$P!a zAWbD}Q+BKR%ySrv6KSS#D@nI4sr0i<|@ zF$15yQH@N8Kh*XTw3eGE{q`!bhJ`df^*b4+i0{ZVpJ*Y%1K%0Yyhfow`a!UFn|Qt( z0e1WT02N^tV9B1|ucfpH03A-3+KW0Rd~Edt~+& z%;Zf_xp7W+qH+*7Vtv;+pS^pV`vtB1y;Jj>l_0QD5)`ZZRV;XaQ1#L|lS$hR9K}^Y zb_tD?I?(h>aR1;L6+hZ}y8GdPOa`S0$eao~xH2B~<_HJ!8{4vt_++OpShFnq`ljHS zoJ17Q{)q~IW@I6>!-Cv9oeO-&4UXGbpR zjQ=YKe0J(~2+N+TD=(%pq*0qkV-`jqX|<$T)GU&VB!UU}$qb z!16*E+pm@u$ftQdAOb{a4(ZL0?q{pIS7%0)t+{d8l4Hf+S%1M&5e8&k>}LZ};?$h- zM2CNuk_I@DMrFhDO>2Vw>b8&2v=ib6o|HIy&_99K^|O)$H)&1oxR_HpB&{VJrV1k6 zEa3)TSB{JlERvAtfqNlkdcXFAiE7U+pNqm^k@fhwp?wz+||ay2L*#K|(aOLf35lxIKZlA{l z14-@gaa=23))Ow;W{8;KPo7IWcX9c~0*P~+IFCcdg0qkXH^B<<(Wl<=PBtYmG$wWFtZFv(HYHsU zc1E`voEBEkuiUhZ;ud4g6_|Z7RyZbrb$(M;sLJP#bdHOSc20!lbgd8=CWofrWLdx; zf#6=_>Iz8c;L8^9u+W-QU`RzO#MjpGkJ#HM2so^s7@|fISkW{V^q76L1ncW1eQy(p zHgzD^J2wVx(10+xW|TVk!y7Fg`fVS40Au@5t3!$6Ro%5B(&b|TAMfEPWM!(cx4oFB zDjp8ic%awDBL`)FcZuT(K3AadnKG`AKIJ&k+}YTK0j4do#x@OWDjdb^*`J;w3#{3P zVCwS!*`@Y$KE)vbu#zrd9ab^@eb9H5J6XcE#W804vh$ZP@BZ22RPD(vnu7k(t}fZd z`)5OX1N&&K!8a0fBN@?Vn+yP-#rz*h^Vgnp?L-N+|M8Q&UN=+100i||82~zgV_3R` z1)8${dZj&I4wxLAa7HwP{qWckFs}jmH}0|WbO%_r&a_yxhcVx!&F@i%`dqf>fp<~v z46DU|d#D0y$(M@TENZ3bk3vfs^pEnuNe(41l-c6>cq03IqO@FPBLAjh0Xv+k-?fBZ z2lQ;rpG+-T>dy4r0zj$g^9%HVo6pt4q?MaMFm8-J7sb=I5q}C@9|+tS$p&C_Ec(c7 z#))F{3DShLfd=5}y1%UtI+-=Qdw=fL5GY&lh9b=R> zFLyWb;QX?|N@kJ4!9n)DNcgGR%w4p};2 z98cpD(d2pr64!zVe5HJ(1C|8Ww+(dGqI`W98K(DZZEm-U0| zn~;p|nLZtZ5~~2b4hZuzxN3Ktbi@SRMwQiGCbQwz7w_H?Y;u~?-YQweD@*f?>h#XH zeom*(MEzSjhC!VJ<;OHoe#6l`N&3-;7st!p@!rFlWd8|$YT%S^)vsU^E46pO_5*uQ zR~L&+0Ivc51}%CebwIf`N!U7M6v;7B0%*8VO!L?yJn(xkAU}*+E0Wj^>twM)UZiBB zB@6+BH+1KZ-K~$eIS(-HgQm)Cm5{ISN}9wajIk@h)QF1U!JMy@?i7X8Ia}Tg#5jq( zDC@w^kk-!99Pzj73rKPUJTB-mwtCHc^Fca^yB9=;$2B;Yb1`3rf~>2~O{C3o4bC<< z^Shf^n|EWkie4|3F{3^Cxy4SK(xaxkng?p=%t$Ij%XBH34>aIl#94P-FsaP<|97N+ z%O_SZ8?q88J-f2}hzf=<{bBc#V&d|Ux@QX^4J8a+$&L2nx{K4ywse-YlKtnFJUo0j zg(e(Wb4^zJld!`Q9SGi#@4*|Kg6J!hm~#Dfw85|s8I~8#!I}OX?UmzO*L^=63hAlu zi#4-wgrLVFl$t%|ED0dz{LvN&ME`Cr&h7ac?4(6&U>iQ`;{=n3dCjTcq(HZV5SfY_ znvL9%I37GRvX<{pa-y-!@hzy}(TqW}-%Y%28bOx4rvdftZ3{`PV3`=gHNlDyHrG1K z!%u{@LsdfJHA&n$ek=N?NGXQyu0j!g7w>o%2LjW~mWQw@aHvK_q!)JgD_v@vd@ek~ z367qMpyaK7(%TtU3Pal%dF8owHJ7tJl7)xFzi4oezEtCS%?`&~?q;Q12o`Fqnw%(c zW%_(nEhKE#M3>$(h$}Uo z3JMw%4k&J8;dn#A`)|3e@m5xwBIu}^8YbR7Ig3ib0@@bqYkJ6t1Yw(Vn^h~xxQ4-c zOM_y9e3Eikip-3gUyV|jGh-MrL!hwIJa+~7lgX14P+-NRAaLzS*X3n0i%YdYu+5OK zMxutM=BxsuJt0^03J5Tw6ijgItocI^K`e_A2|E$HiXMZuDVOH~D4W3`w=Cg|yG$kYVE*1Esf%fVB8jcLzDd@dvvWQ!7pT zys<9vYhaMJQ}~_DL*ElmcOV^Smjulk(#?G|O_i77gA;Vx94CMu8@YJ}bZ}LJ#ka>1 z(Kh7ERvn&$BPq?mLbg-s6mYf^61fh{w8uH4%J9gZzmH9&EKRsHtG}b&y39WO3UmRr}WqlSo&kIi+{Ydta#I2s6*`IBlXPCbT?L zzkvhYTWC~W9iF8Ws|!3f;L$AJ+QW+gf)?zVtH@>Y?a3BXQdAV_K{u=MWWv{ARdI!P zWWAEjTu9HRoZ!NOLo*I^LpFkKCj#z{?i@_hm==e%NScCJe#v17 zI${B`YW$Hr^8vu76Eq~|$XZkex1l3RJ@huAT3t3mDyzFwUlE1zf39j!6j4E;P*Sus z*h&`*!Xh2QKp*kR#8J(6KSWZ(Ecfa(pVAL2u}FtGvw7{)$h;{mw#$Hxf=Z3UiU&*M z?k=|NP-?W~ZfbZNK4oC|v;bjE3~vO-&KGN+a1IiNA}i`pwBiq}O3Kr_`He4SzLbb? z%&sfyTRWGlG;s^>lND;;e5*QP{SYkf>G_|;%~hX4K_0vn5hWYoM=kgsX--7(V&b*> zFRnDm17cg5;HCeX{81chzixV_=R4LcQcQgQ{4-$HcntkP?rD4c9HG$^vDPJD=fTXFkgL=1qa; zK)iCpNk#NLa~dZSHf<@O>&O!P^3xT*3!>h*Mkh7W*N1rzgbo#jN=Ex)`ZUWymUq*!5>$a*|nIAFBj#QXIy)saRHv|MFaW$Gw`mIEJxxICs z5RE4EdczED{2N;l>gt<>tIvz|XVv;dpFg@oU)p@gOm$XQj zZzea*8;4g#<%O=5%3^qn+cee+)BAjF%DorB5GKF^zw#v7@QHuem%!mic35;%O^~A0 zf9WmSTL%9HOn@pTBnB^CVS>0sFuBy4@M>_Ci<1f;uio9RN`d%(4|5$5jyQgZkU3yiF6mKCR_y^r2;col()nWdKrME02d}+;PC28*e@ti;? zh?vw9gU)D%Md~*L@<0Kv?(B3r!aAEf;rK)K1{Iu+8iA8XFi%YaL4jO9G|M;0n_Y&( zsq3)L-f5j_$~iBpQXL&&k1jJCP9Kxkgje<|;Z~UM< zaWo{+wO_xGT3j4GGwwK9h~U4hl&~|OVS|&g49SWW5TU7`3`ku1t!)Sx6y(1pa33IR z{Pm0sRV#$~23MW1D>&v;q8NGRqYyANF(URgsh%v-!-|^QQk~M;}h}mR=0s!uPZ2dkj=EY+MwOz{} zx8mC?Apf7j-Z4m&SZmlF+uUQ@wr$(CZQJ%9+qP}nv&XZ?_BZ>S_kLCH{c&%tADv1k zom5iYE4}iptmO_41%7vbGt!zf-87ywD6`pbZ*ukC?bqcXtAf!to*>5^4t3v-g|1_1 zr*q|R1pFnLx&7tsPUqAdl2Qq4X;~QEkq~!O6(?~eiK1A58^2R^YHI4WvcMwL@iN6e ziBZ!S+LWV0Fr*gS)c&3NYiw1}j2>|SD%GYMQ-vs=GThA@OH34y40RPBJ@ic;%-v4%^`_W^ zAuS;$Jq)%I$@cKS{*5#yP{0bS-N(mZArwujG-!6pB7po=Z!`GF`8(n-u>v%TLtIa(FlZx+i|mOD}O;Jy!A!hq)Q5 z&0dsT3P)#*Gx|~o#UlI|&(zY09^7z(h@bWLc(bLotewgI`vS^pF!Fq(6Mu;Tc9ER_?WD&dv~0>T_T2!Tqbk;^>aUY2P&x`^(x`f3knZ` zXvZZHnLier;@`zXPaBP2u!zRQeL?Ev^ zOYCq~V&9C+rq%BA(Q>7*wQMYEjKr~140nAg*s-u9YiYkd-|PDAV*)&vPDcApHFSh? zVfnf5e`JLNS>q55@AS}M0MQL;EQ+NvXB}Wb)}-upkTYUNw)>tDZ3$92+qDSB>($}X z><4A;0Swy4p7X8Ji^BeCd7xlrYax8Y1V;#h#9ZHYqnE=@|8^K%GwsW(2!!cGlY4i5UCItGM1i8W+mT0b z&qprjXkXJVWI1?0T;vXbY0@M@Q9q*~r>?yrm8wl?$#*U1I78n{5DvWj_W>L2buL35 z`o;_tyra)&baq0Uhu&k&!vTK`bkC8MEnjLR|Lx6 z4Cn%z)tSqZ7G{=g<4rKUKd+J5x{)t-)gRm&9aLin#G@3fgGgao*CVat*6@@ z+X|*hO&l;do`0VG=IJ?S)ccHK)1NGYV;9T<;M@l~QKTmJ*Sl zSAuA<7OFn0e-tmA#H-Aq$)8$MaiYbSXQ=oZ(huz@&NXRiG({4VtIvjb+p9q{|I%5m zDg#_FHtlFa`-HQ1@iYuCtaUcm5ohyLj`XT|FdF4&1f@+z7>i(n1d%8%s+OFDe^Yl@ zMMS@t%pK2c0Nl|qFQ6XjDY*+~+=;>pq;!=Wl<`Zb=R`FFgjL;`F!CqD3JW{RxxKK1 zibu?RjVM}T{R++R8C*Cg0NF{I8W^LF&FHx=H5@&*G}LDUv^&*gt}j%;R~|c)p9LgS zs6<^OH&h8BFi>=6r}E zku;kWIFbn}>(@VUHDqBXfrv)270`oaPd(-|hv*Ht`*p z$8Mu19y@iqY$l%pU(4tD{iArI%%cZ{IVxU%VP*h*Gy#jrCU+sr)l> z1Wn-vH|Dqrm;GqoQ}37Nmz#G%BsGuS`;`=+vaU_&V1|Zqs|5gp(kcD^{-G(=?M?|E zdHH5jzf%WjxK^WRELFc+x?1g&*LV8WX}mCyr*55731I)N#%P^`KJq7Vsebm`%OcbD z;m8BDZ-oLk=<;6%V&wnL_*dfbn1kDEf5k%#iEoU8k60Fs z5lMg#=cxu+VWXG2E|&FOrR)IY95I|!I+=l*2d(8`H=&2C$;6bH7uNWM4c24>=Fb!t zk}j`mgdZqpaNckb`c0;yQV0gw!h-)ue82}Cry`Bq~E51GI&2u9O6R1*KT zu41(n#u)I{2*RoN(_8Xjd%8omSD-Gh%Y&jS$8AzIEI&wr6MTm~57hbhoM*ZOXa|oG zxQe9xEROlL?3&*dvQZ~GR^x-r!_hU|X*vOA1y#eiIN-4r#8n!t!sg?L>nBZ!)iH75 zCux~`nO0bMj&ra+of~^kRPNHlZB49&xi{P^{`BD_%tR-Z$Q#wNra0<9G-6?P@J}e@ z@q`GzJN2raXuru=v&;;9M(r&KFv54k(#E|`ul@jVOWI9esZ%_9+u0MYebU5&;Pj+d ze_rw9d}n)P74kFQyq|4;`;U8A#P$CFm9iaT+BbTcGHHy<;yay9+Hlu?Fyu8dDW7gc zqdVw-wpQe}CIg9JjJ+hq+IblF(#%e1p)I4Ofx>lL_YvyZ_AaM9A1+v_YAzYt)dw_)yLvEd+sT%ix_qtE@p5Dl+>%Zr{b-41`|T-Ya&LV_R3{Vb zJT`7_M6)!E_Z6_4S;afZ<)`4)Sl9|d29%wXNjpRMn;Xc{VlmU0UpBV#3P+hegjtv~ zX*wqT?&ftTNQiy3q+1roF&P3Ck#%9Mxg9Z6){yKM=5`6Bv@ zpztBNI3Cvs>3mxN5=S^KX~2%sBeb%*>G+Iss3vjd7*hw8)nv4ASK&@sKG!h=;ot!E zTS0#jvX42hYzUD!UPcRVS{U>NElo_x)e#!GgbPKWYh)i)p|0M4E93>V0}b)ZY+}vn z&lx1{cHrorl_NHNaz13`2K=@fA;DS!GoCm^b26$mw5b?qRR!1naj8Ye0B{G>Z`6*q zY+6RPW29E6)>%j0`5FH8{YC=zYNE|qP1$T{h+>yYNmsJ*TnHrmt3`sA;|>jfhmy;MZ>FA934S475G08}VdzPKFM+WK(t&?&zF0zq z_GJZvp@hN~*=#mBrYU}svq@Zh1BEg1BgH0-uMvH6dmH1r9(TEaNxcAqfxII=yutpm zV0C(O$t-JzIfr9B;kZ?o;1=UDGg{0=vDf&9W1r#H7z!Zw$^R-t|FCLi{$ZrJ+mR6+5|V#e50>#v0l z7q#M_8_p>4<*{ZdG;7M1{9G`z$b75SRGTU~u!%qk&&XO(8z{{Hr{P@(+`bzIX7z)4 zJtr53O7Gyc1;@fa2_ps`7r>!WXZ3$CQ|qYFo_ui13?9N{IjzV5u$swFG2%MoR$ZG$ za!0QGpV7B4G@fGe2!<1{Yg3?U?t7guSPLb=>oVqt7k#1pJC>q5z(Xi|!tZ=k7sL-F zjdEYYMg13N#UZGhKz7m5{*q8Rn5G|+maoT44d@Q5s$==?6J>g zK8lNak%o>K{ltAYlOcAAIXgZW5e|l7;B6Q4-3fFS)*8tp#;!uPck0?JE!&dW z=u@no{Or3k-qTV%CBAZBd0hM`Q0>zb;@8d$zMAiX)OmGnMZ;$?HrWZ>H*3LXtsovG z+tZ`V*G}`|2|TZt@av`13EawS?J zUuypk)##Jpa-J(KR{tO3l5P4)Wb64de7$BmsG?9P$3-?!gV;H2@D7YQ;13X`_DPAE zYR^iu_wmc4H<7q)@yn@nw4oPFpGIJLwl-x90eEQ-;d3Z_hJixiasK-)AdbF>1L?T# zU1EvPRRY5)_Pcv^PwnNC*m9do3P2#d*nUzGDpLJ?YJAcz1*$ZIY=>Wm%Q$54v2vR6 zSDR~pP>{?BgyKID>O<)=6p4#h>%(27TZV4@@ouN|)T>Cyq=g-ENw#+RZN6m&;eqGQ zHz;YP^MR^D=TE6c1->nZJn_6hTGTDjcEH`M9W4Og$u76y^12MzqIff_{; z*o|OS4S6%16ApL`bkX?g|IhaM(L??(DD?}oNhpnNk6mBrlV9e2ssa7~)YcOCp9U4G z(Srnoq*edZ+_!}0iUxcWpuQRYOYZ>gu+2`)Zak=s8vvg9(3zaFq0S96^F#Y#)QQ$` z_0J?>JS$p}`r0iNnPtg5W3F%cbr#KP2AJFFZWq^wfW8-^ClAU%8p3t1mHB;0IeYAZ zLdM(QqTIp_JHJbw>*Dv#8p*)_-Z*4%f12VGJ4_`W9*3O8EcK+SFunf#UQT5>5oheekTK>=``L)AMpO!Q3P_IKKGy+~hWP2!A+H6uOqi zHdFU7jwQF0y24?~3DfO3^hioo{Wwz}6goDjhuw)8Hof@fiAqG>+Z=m@WMT(tB64Aw zE53xmsWAxTZl~!BVr5m1_2Zje;(rVxG5LbuzLC56kQ$0_Z5aTYErMHX&ANdn+VS8; zMy$Kt7>K(>Ur6WK2dliZEU-qFIlJ1sBd*m!XAt2!+sc6y!3EK_(%8%7U8wAzy#3A3 zvep;&o2f*}XDtXxN`}6}!-KOST4)K39+)h8^K_^~WRXwi(MnS1&-y6(J#D`b4pD-LOMxY9lDrg2t9i6@g@=|v9}Y0u2}R3WjaIp0h76ZCn!q7xf#n76hoBZ zVS$5YBg)YI9?yd8mo`0yPsYAgvr1I+ZXD}b>cr)*H1+hsTuXy7sW>sPw@*DW>Y3Ac zk(T$G>pv*7Ozpe&2b7^*qO^}s6De-?ohpclWnKnzT^XF6FB4bLJo!#bvyI=0KhYw4+^ke5!lQXQLG47E# zEQhwC;7Xg@8U?0v9_EH;@bkv$D063(aEso2fsqWpG9;rk^HYxgW-(}h$l&?=nWG%D z9HT~sQpr3ufG%0k24vp`4%j`jJ7wjZm6j3mrJv3d zaS#x|P(6%sOih)igbTSK*BqcX*zW*!*8Aa%T#Vd#n&Gu0%bl}HJczt)1-Ty}QWRj~ z?_htHVIz9zD3ewS5pnTpEpAVJ^_j1Xb@gI7VCT<|Tl+__ZI6nW&)$m?dl@hw&WrwQ zhy{Q5J|dT?zkI0Ujdv0`K%U|BLyAs?7*zc|1SCl-PaQ(Lk!HoxBUN$Y8dpSZ;?dbD zj=TsjjUcdlsFLN4Y*C9CDhhos>Phrwd@&YcC!XEQEukU&d8`p;gpC-Vk5CvAzkS_K zb+hi0z&;D4yZ-@m{A5Wno!31zvL5sP2&=uNwPR7+$9CximEd$83Y!@3S;k)W(xp3Y zB;(npP2kT28zdGWy?~q#Rp2@sh{3dRZ|U_j8-v>l@%bQC>7C-Wa+CO*@V1_rDSl3c zN^Ak`@;PyA}%awSL5Esi}+^mY5n6Q&26*=r4l<65gl7$)F8y)E za;)I~eJ#&E`mOO{Llq%xqOZEfpf!f{@my_At*op_$HX0CuZ5+-cTkimpzZ0_o18+8 zBBC+cqSo#2Rn#~k9ry6;HxS%p0Eb1XbkUypF;T(>;%GrQW4@zu^5(<&IfA%i@dB9p zJmMA5V8u34Z)xo>qwW4?MLN5e= zRku%f0?buc?E#;nWs@I8$^NO0XF9<`5*%K?(Xd*_f1nXS!6ZByNlbhv$ae9MuE{z| zUy{+7c2W`7+=bChp7RB^mn&FAm{XIFbWwo<7_rvUTB@`Uff14#eu|~w)I!rWV3!_j z%cr|1=$x-NdSj$bLt~hB4l;E`fwX<<_h`*TCvhJe*zE}D3@w4vvyX9LLK2oCOXheY*&z zt=n6!Mn|2qcavt|b!m25DsTi+ggGiJAJ3P}_vP1YN4m(S8X^m`=hr-NnrMsc+{djD!3e+@F z#zsB=9>ujb!2dTLuiQV5B|n-xZOAhf($6CyPi?N#?xal=zmOWTbv?#=+GM$SuYWzU zVKC`%iKaKAhXJ0%vFIw!$1X2fN4)qKA+5&CLGJC?@DSZF8J0Y;yIQohcTK8;5_Us% zIh{CuV?eqGO3ZbP%%L;u`2M;?A94?cBDrsyKZtPVo92&bC_nbeF^l0*Wmf^!K{Z1i zA-Loj`WxWlH>5BN83r`Z$@xj?{Rp{6C%2J-q|{iJ075Q;r-e*U za)04n6Of@>l`C=ziltA`S@XyzPDBa?bTpdG5K~s`?DOC~eW82QBDU#`?d0gK|E=XarKjp1| zrp4aLDfk8K|8Dq|TOHkL!bbbb)n)+uW>wATqD}uD5egOHC-W-PjDPmx9k200h*s>h zgslR@tE$Hs%wvMOd1Z-Pvuy6Dn*t{r{J;a4>TkfjXXzNLwCx=Uv^s2ABC7CoxwPzd z@?*f&qKE+gJ7R~|>p>htKe(&Z&{N);y*s|y+pydOd?uWZc5!@k!Nw{{3C(_QEv!5t zXI9+$3^$n@ousrds!D`5dJ~R|1}y8@7b_cw^6dIe^!Eh6Fo$ED5r|AKQkiPNCUMs(aflK#1 zpDU2JnVRaoAQSY1eJ{L%C!{T#6Wkw)an4R4W|52Jya?gsG$v2227mBFK6B`pWTIaw{T~GZ!Ax6ytDS^Ta~tWxQ0xGoKho55f^m|>{l38<$NAk zTCuQ@u!qw3+aWZKNzqhO$c?g2j;2-!DRGiLc24z-^}p(ilH?4da%c$ChO(OUoLLt| z^wt)h;%Hh*G9v@h1VdS6vrI$>#|*r_25=E1&=6x(4jH9Hvpr7l^yudw73DV=0b_9n zK?3yciL_uNs`zu!Kp3FvTzl3*6S}Faw@jwiNfb!lD+rTLI%LtZi_qYvQ^Zf>jvLkbY!~%=>q9)CV_0>@m91!-aPO2p z8FwBf_ZM@5R$9M@iYuS{mn&g0D+c>&p&O_4CCprrpwc1-8hqIzoK=oCMY{{e%q`R? z9qJYP3#RggOTV^gy`FOSAokZh$?N}~Ebr`fXBqi)fH0#HNsyohR zFv4g9T0_fC=~&a7iw$I&6jsCu<)|;wv70XC@0G#*ya#wd$eei=MWv0+C}}JS0zPYr)~kiS zv5g}i0hG>ds^unZ-rIN^B$>@q27wpouD(ZWupDtpw|7O1KdZ84n)e!EC9z! z%%w4jp{waWrI?7YwE`>RXC8+PaUIKs_3mnvykr`@*c9p5Xy*>0y_?@Co6v7{VNWl= ztqw(|-drQMnZTRJeiJRD10|UD7pj`7YxD+~=1^&@n2UFSF}=_r-X5?fb~hub>(`yp z7r?I6#6?CN3AgMNXPwU6VuC6+D@zidj2GfR5rLPAoSl(}xzx^GDdG3yieo1-q2~<_ z%lAI57Q2I!rv-20$;B=%9Yg${tU(vw<2-xpwEd{D5Lj8wzMxG|KOFk`;>{1EK-u;_ z)(OYtcUo!!nqxEM4#E0?r*$YM`D9fI&_;`f9j9d*)@) zs0bIUJevn8H5biw+il75J|0~pB_7M3d1DTX)UXVJoTR8fO%|p3a%RsF!~ra5UHIjFnXCI3lW|D+1`f42MOhOoTOs9SEH~rHYoB zZ1g>GVLC5ND@N%Yaj^o95Mls(&=q2W6MHn4W;^a*AGuQrx0eQ!@Tqd$@rJ7qfxH3| zfdJMNn{@zfp;BYw`sIbNxRTeFGr{YtRU4Y>c8nXqXza5$+5Z4F$7?hUGG#%7*wHMc z0E*lh80}q(CeB?tKLo?lpOhB8qN!;=OyRg>!*I89mCC9>R<5Qw2Z`D|EjrnGc(`RJ zAXr={5m_tUJ%ee_hsw;Od|)=d?LQT}CsfUlt7iM4}~ zEmfy99CYoNqNR3TOw3!iScJ=cvg|N{v)|r#Tm|^ZPOGX3@e}|l_)ENoD7%|@8ri(Li31e%)WR&ZIx~-fiS5T8vzSjDh*1V(=lA%16 zIRFEkI-d56gP@wf{bH2h34T;TD@!;By@O;&5xz5;$Y;5{%zoc~Pwubvf3?>r8QOCu ztWVH}3Drv@xXMKdv#I%0_vGZscoKluyW$7*$+_YrJnFQn8{UNUaSfQD=YJecvH1q- zvDhJutYS%`l+{*@S@xNxI+(}48QgE@s$yWV9FMUofxjK%nt`f7d&;%P0A6>`H!RNq z%w1uB0Z#0nv{w52Ht0L#qDPmtj!Z!z6@a*v1gHcjg17^J`@MdM%|>r;niz9+?HIiE zsRR~bH(-71SI(U=efu3svZw~+%CiEzf%be11-(gUH>6R8fRYctthzWk_u~p}sZ7|S z>r~47(?+qhWDl(T2DFJqMcG`{{wwHpvyJbfjBo052CF2LjvfanQ`^2PP$B1_TFueA zoqq&=jnhGLI@rT!3j)j~TvOAQywbHAK0NW`yJHaZE(^FZT#+QGin`9B*w}k}&h}*+ z`HOnstl$6Jw+Fu4%775$i6Qw4=Vm6G23x)3mp*&_``Q)EPvEVvkjhfdYk*oJGjd{+ z8Tk*g;dug8zz&*Ol^dYnOW~l`nP{bF+^XRPxB}r2ApZmmNcA>24hv3%;7jQ+JXuvp zx`L-}Y8y5(4^!_6+7O-{!dU7vwW~i%;!?uz+gG*Gi4(tiRdqXDv-uYp=&N@)@ZkV~ zo)I0$H`Vo@0nCzlppKDN8EP&9QwOk~I?X`#uO^h@g)ohZ(Wpbdr6)QgG^9~rZw);Q z>2$-0ps=J!-caEZ|IL~PWW4&8*wMc%SLITzYx+@RD?4Q)Ahe1o$~!mvS>fU#?dJh9 z!$`e?Dq=c>(0l3-ulUh{!m;{N!Q@eQUfW2Zlj5O=&9E9z^;pwwxTDybq}KQOB^v80eHQ1~+{{X}iuVR0biOyjUNv7>{#9<^3ju{NK^KMq1Uik(qbr_T3NQ2P$gl=Tu14}KUFGk$mM$@6zm#XPdf;;!3*3#73e>r# z{K)nqtMph5tLkk=()4EO zT1!{gkBLQ1f5`zjoa6CB($5(^n5}?(Tp`{2QOO05nqaIl&W62@VSK~%d>ARZ{i5t_ zt}@Ws48vF^4`krnd|v(avTUb9;B6O>4K-QcmS|>(b!ib-QTQhD;ozYOz876yuj1fK zzp6Co+O;xDdw+q?aKE_mOPQkY3yLV24|Ede3|p#mxeDV<8Hm5u4C<(WDxW5=T@Eq! zZ$~arb;VLocbxRn`(F>LNZEwc;a2Bv`OhLvgj`IxHIhODX;-M73`<&~E?M*}R+G~G zLr@?8ZkCT6_G&LeCY*4Vjx_1Pe?U}nT|O-wp;MaQ~Yg{+GQ$>x=u>cWdcB2 zM2sQY2hu(#U7M+It{P0aWAk&{d252{oB`@N!YD+*wGfz;W5~fu+GEwYW zuD^akcM!jrNF3jA3wH$!dc`g0`iKs&FmzUqVNH3)t~h zA{{4Bjw8o=3LK`EAzkJH%HLqIn9;}Jvs?Yqh@j2)D;_7C>MwdR8XCCyoou{=MC9XU zxW@lX=eMNHa~X@_M|1Re>sHt~B6}L3NBY9pasEwi2pPBQpSi$OaKF3J0ItiVIzcz^f=9j4xSk=v{FFf&)gXl z@gO|iMY~*OcsP#o@H9A90BhmEg{!g%wqN3<-+#X*uY_&$iMr2RJd>;-r?9J0=4QK$UC=h&Fsiw!@pRLB1X~C* z#G5SDPFhiAO1iouvY=w&0^I(|!KLXu;UKW@8@DTJ6rHu4c*dgRv^T*+xL~qj+nfJN z653*_fD$9{FU)S$Z%cydY`RVC;1OLasn?I*AUo)JVXs`|X?%Pgo{I$lx_CXdX56!; zGJ#UMbqk5L;~bvNUv^zAQB)$&zWq!?tA57nhubp>qUTK+8K3#u0zEP|LTnRhNi#}I zJIxJU9P&ji_ZEb;X?Q^>heo5P*k}hFM-?~vnjC=C#t4nDIFJFBPo?zQ)SXh%LBo$n z5lXtI(U{i>vm3@H9EZpr=1nFEDti{BUNb;>(b{OY)g7zl-)-@PR)<@GV)rMS~!Xe+rsCLi+y~ zZL%GoA3_z^rxxdDqQyrzAoV{%SQldY-C81DySi-Y{du7=Lkd#(&SO;vMX-9BY!V4H z@(S+1`iTyc`;veRGi!XUCBdW6#J+Q<0ql5;`0}s>F0U-wX{9J=c*2Q$||Et_T63TCU^uM+K z3)Tqc?dM180{}yU69AR0sPOxb8B)M$?ZDJU5T9mhfV=(|ok^tsU!|A_%P6r5?B`eH zJq=83t_?=uXUKnSUn6ZvGP3rfg7gtR4Phcq2Z;(wx#VGpS^q(8o^PUH?SHIZ1_Z=( z1i`^QKbctZW$BaDp^c%gqI!rAEUwGP*0$DH5CNS``=*}VB%7W|=##?$KdULk%(t?u z!fU%R`dFrWJCqy8xv*PWJGN{e^DU6cPErb#v`hpz2(Ih z(x(uoewcmL|IiHx9XV}s7NVSC@zI3lVPOweVP%|R4D3w$abKsPMz`UR2pm7SK{+R0 zEe8?*e|w6i5FDImg}^96`~P|8AEE&C0IVH*WHmt4NFry~1JQm|wbr$}fhe zI(soZ*`J1id{nT!dV|-;Gnu#S8*__r|52*;o*~S^Mq!k2$M_v^bb-(RV;4;J0p9<2 zwh91%-r=Cz*uhI_UwBVfZ5+&1_AS(I`UbcUX&_9Pk=jf2kzESihlOU?rDFI!Zh-@D0|bBqWt+jCA(Q MK7C@;Hhr)EAA}0i@c;k- diff --git a/build/shared/lib/about.jpg b/build/shared/lib/about.jpg old mode 100644 new mode 100755 index 0b168bba1b7c1b899af157c11291a9bcd15023fe..90afdb08b193f4f77b8233fd1b4ac01e5b68d6b7 GIT binary patch literal 115730 zcmbrlcUV(f*F735c5Fyd$nglG6j4DD1ad5Z;DIOzp(r_sh=2%!NNCx|iXi1kRay>G z0#YI%M5IKzfDolcYUlw%NeCf@Walp4@4ess=l*e@`-A6^Jh=B}t-013V~#QBmi&^8 zAal6^1{_`1u zFkg4@e?METJNDme)*}#M?ud>5y~Y9jT>An~Uc39hKiB`e{{OyWUEaSN{`a%S+NF{K z#HF?I{2zU-J>>uB0c$r)z9RN)S*NisZ^ODhi1mBcZP>F;(ttpMnQZ!>XRi%+?Q7lo z4I4LYmfEs)+jek4*>1%8bsILU-?(AZri~yF>q5c*BR1~YwD<6-3!C>{cb5A7;r`Q4 z-ehb!a>Ofl-iMOXPi5ug z&lO+l>KhuHn!mNQc6N35^wNIy^^cB?PfSiRre|i^OPpoy3Xd-kuFY#5V#EK2?EiIM zAPDQ$Z``V>u z*ty>^q>~F%@_C#Oi+=|)C;~33HyQJI;a*&v*#kNkzMo@hcC_5QwfX+-_pw-%ZjY!n zw#M>2M35k`lZ$`$Z=Xjc&061WSwV{NP|M6r+=U*wK5RZ*2)jP^YATDoU&M3WKNZ@1 zZ(16)=qIA22eBQs{IU51MFbkRqR0HeO=_Um3}u+NTGblecWw^l@a%SEO!Y_UR&5%w z63OWrOy2pv0+TXNdN0B+WqwpOM99f6^LVPN6xHf`%Qy1_go`jX{O6XXe8YiCdH{3a z_VdnNW`-z&vsnFd`7JV(0$1;fcc2TjYA_k12~rvz+VVxMy9UBtVB?*AffOpZ^;yM_goGLi!m9L8YB$Q?mm9oxV@~FA z^VJmbn=T=tSr9yxJwv23C5R228lmE&jMgF^K6Hlw>-X@-BOWiy-{dSNxTKQoO%^mz#jP{jJ>=JXn z&cLA(1U0C(a<=__LDlf&dn-~nI*>5;IZr6JA`>&#|b_o>Tl_VeUs1p^E@-A^tHj<1-n&<&?Q0i24>W}_NKNcH=XTS z6hK&7%GM3B4;L&hJ?4adDdDLb^o>IM=qw3hAN5Cf?$EG9%@B&jv^L&FT96=6%*Ee` z$Frv-h{E=GN4huDo3pJEM5O$P)(nU?fy2#>?;Nn*pr_hR=q>F<=X)E|fBwdC>q!;D{_f6})3oo`Q?|0PKL=_TB?tM&Mu3aMUpZ>BZUbk$lr&w6M@{;r}qF2tZB{$^;& z0~_~thb+|yVsO`s8oww`stMHadQ%e7A>Gk&RaNb|QUWLSMkZP&;x$&bN9Tq9KXH%L zyXtzb#(r8Hui2%^)*f>z9VhI$jg;nxw2j@m))8>Q)0LR7h_vwgT=Z?cZ14AMhx4yA zyf;L3PKYcoQhGZynjh}ED^ABG_}#@N*-+d}Udo$|ob2RHypPSE|BxNzf8^5c>iwsyoIg62lBQZ` zo4h|egBIeCgAddGatSZ^^tpeJb55(w6O$L3b2?M5JNGlpYn1W)WFdNYX~bKN=$DQG zSCNF?z?Vj8Vxio*&#M|5gKqxEa-CTvu~S4F=ZE^i!VpH7p5dX#!LPoLwqZ0!zwBu( z=?`~GKXOn-H@va-lOTQ)BlFsfV?qrkCoo0&g&x*%)T)%0{Wu8%CbUA6N`%h;f{(c!p8m%@b(xyN}O*?Nx2 z9Xi7Yw~Y1MXobh)2hmtn2_mwx;ZU=F(&FhN*@&-`JYHT;lT(4rz2Q~>y1m6}?z)?} zSH6VZi{`I6x=Fnc7YUfeDajxh-l4IIsvuO5ep3{1B?xJ3-Z+O; zX;vM>89Nm}6w3{jC2@W8Pd%Vu;flf=R@?>ux@`wNhUek5By4+0LF@{W01k;!&9avq z9Ydb7e%xVtbL}}HJW=&MeP<=oq!^FwVV-w;B0(_oBfawhPQVnB;kM2e@}yK;HxDWH z6GT_n7LFw~tjvHzNMibV+z?dHXdW0!5nG9{nZ~O3A2NI60s{0S{7|eN41NrmIG##q ztH^TSA|?VhIGq|5^N))-lSUa5=@anh6*|@0-iatA6#pnIO3Z^6L@YI1-m5h=Pds@7wOf75kvLy zX_wt4n;Gv=+0n2MShWX{rwQ@+r3zY3?jsV&$xvg?s`ub!k=cZ zy<2+x6zVssp^sk;m=sX>NJ0i}3wJf*bj>*>3W+bx5Ol^DRP|*T50LQ^1cOeIAd2E$ zyqp#nL6o50x!zOdBD>_%~uNxVp#cWR)wqy{U#c08}pre0*&gGpTk@Bisyq!qP z*xpK^Rp53v!1Qn6Q1`Q$`8^co1f|evHFp52EL~Wop!l2Il?T4A(%S}Ch0+bH3`(_D z5+Qa8V70Yfldzg{I#bum%!m=|p`z{qUlqbN!E*`A#sQG^GLk`}i98Ps4E7moIZf;s zqJq82>?V8J7dZET{Z8p=T@bf?MKaiD;iRI@7MN|4_WHzPqbLI#kKDQ`YZ z>7Du+;Z)tKA^sH$q41~<^`iqlOfgied30s>?n_A^FQ_RiXrOQ-a`9sAaCMimnBO4jz*+D?yyft$^Oy6?+2$Lv>`dw1K_-{XY|w zK6yy%d#GPCgD&DEr$HnpThzY)ARYa^=zE7Ry6Xo-pmzVv@?RYYxZitfI*B`VwlscV z0R6q^;sAK;x))+S9*QAS*Hs4dd1^1O%+)JM9azHBt|{1QDL>)ndTIXh5K(XP)kpI! zpvKc4!c0@87o*!Bl049-)}M_X{FL~xRnO>R%Z{V~d8?>RssB)fBhrIDo3CHh=}?Vs zY~9^fVt(~xqq&!FMQ!ViBj>A+H+JPYWfhFKU8uu0nAY;cQ!#C)?M6;sPc79D=C_@E zLku{x?Ze3ib7}POx$YO+Y(rXeewR7I(#BrZ4)NfZ`WMWqwTxC9N)Vs44)|`gtx@W1 zSyhZ|cpB0F{O^NVbi#6qVBBRg?iODT9fRvELhUDGhtKzs`w>9Qt>o1i#E5&8&uU9~xzVe*BdopwNPuaOFOWi!_pj|$Vjzb?}-m>bCL~EbQ zPwh6(*>}{s_Aif+YYkI2af#;@vbt>aj$E%xxO!aQ=49UL@EN^JBFV4z^ro#lSSWoEO>YT z8E$R&-Cr)h)i?WP;?ZjTHxX(2ZzBuo$*0_+XP%4h@Ow^V=)W^Sx%hf-JLmO!R4mhb zUhbCNdP0BF<^vUx<=06?@3!t4t3gUh5c17R=zZ41Z6^~9%d%2pRvvHmICoEHJa}CF z9N;?pY^Um!YewKQBtHyRy0qOQ_wL(d6vje_p+P$pNes_FkTPYbkMHU;?~V8AcF}b6 z>wq=*RCpiy;B0^D>A){u`B7S?=gF!o{;$8~>ELFQO3NDg)bB<;pTs}ZCqH)?*-t@{ z4V?s|z0d3n_I&|iFvzOTy6I?nX12BH_Y=Iy4{`m?JwB*iMT(=}cWuiGe3%f|G`{?) zh8%u9K933oOPlL_Y%dhY=vud5-8Wog(TXOu1j25=l#|e$)utaAs-44>o~Hc*WYVw% z@lAU0X>c6&{TxJSQ7-s&rBFGGg`xtSSr#VmJhB}e#nCn1>qybls~x9R2$5(!F}HbR zbv2}gMa|G}d~x}oM0IKPn_{JOGeAy4$A>j=rV1DCVcx9lGGdFRNPIU+(N1rfP^UH_ znJY~03Oip(QKPr70MO{1em@^AA~esU1rtAyW4qqNlq~-Q*SLElqGr(qKpY{#$-_MI zf#^&8J9he9sL+icLm^HD-yJ_VyMm1o?V4i8Xv4Ggjv>SI;-Psf(|&LQu^h2lQq{tW zV;}%oECc_%Xzp(N;fW0`v!+XhXEC!Y%RnJQE=9XbC_@lc$US!6ExP_cWB(NCl|Phr zDyl5FB)>dx4n>`EC;xmPL4e!<8~z^i__(=1HC+k|;LdO++tsBcRU;`tj3tRq5p>>4 z5#BDZC{add0~yI~cMkJ3MSU0O2o4qE%tD1n=c;#BpT+hhaVKYt=@h>%@aIyKpH~pH z1zJp@H@g!A4Mqy#s%Z;V8!5sVstZM3;uh|D+ng@|l7z{$82BiH>P3*)e)O5e7f*IOE%kX-g#wrQ1LV>Zv}?l?X2-WR!E1KWYNYOF6}ct@qJ$s z^;9`$H0fS@;TkUq;+B1tOQ`I{N{X$^-zX}>%1ds*I3Ef(8?0DXA}~Z#aqgZpj&7tE z$em6|5Ufcvm04L>NM*#Zh2zBq^gxf{Hj#)@=zlg+pHc||^6f)AnK@(M8Z=Z5kt(42 zSueSYpn%`p7SKin22n5z=riDM%cIMHJ+VNr3&pOj{cFMsY*W(gq`mTnSl>`}Rq$9s zZySmG*yH`=3|%;w3m7M8S7;bu+3f1`Dq_ze3F1oE6%ou6<2P#lh8Sn70%k>7zUxqz zw*;g;*n863K9o*l7OmdQR&HPsX9pRqWeMUOx4`xMbPY^7a-;CQvF&}3^f;tAhOVyp z2^Ra$i|H(gWVP3?1(kL=E4C6Wj`w?~yU(@@{T5*$5Yx+^rxJsQOyAFn2E?dae-w2F zPYBmeK=$2<#5aIzLEe%ir<*^(207?yseewG=^3TXo(UpToZ#0&r+dREy}e|)JPE=f z!O6tid4|d5z86zQz`pFNcn^sE8&g-O9ApyMzz@%YX9SU2Gt>(3^ilg(49H@HtD^S3 zS8ty-oAtBTTUiD1;vWG_Y8}ze^-5D4ttNM)*fNuNvE5UY0$XWLCea2{?#&!ba(!(>>mkYzuxE1o6l~N zKcQ;7@k*bNup>j}jf=;zM$4Me7xgx2)iXbDuu5)Z32XHeLTQ;cN0UFjTS`B^YR2Hp znftBa9vu39>d}$!oy73?;*Sv|8jm-(hsBP<$q&%)Wi!lFUJ^wpWX z-u2s+%ZC-d#L}*o*51zF_Eytj)TiZi)@;kWUop}fO!Op(a2)q7y1%Q9v%~*NSLwqv zgAbMUBh#YCk^3`DvK{?Qh?>U7@GBO8ku$66Ze0lcp^yi^ z&-m%b^wVynb?3F-n8;rJM8J+O%C$L{ngEmOlu?gZB-ZQT=RJq=xrCq zic?ng-*S(cbtNpyQwlT;w_3+mzSwa)I{Ht+zuz+RL=~h~FPTaTQNUfL(qg?c;i_+u z!_U|N^(BZGhs3d10DQg`$Rw^fK+aW_1e65xM)~l<_zE5h6YVjedeeY2a>bB903@|h z+!W~7a!%2ZDp*zwWMZ=(K!_%!t{B3&-n~79JH$?>VC%_8Xol$no(vevpb(v2HT73x zx#FAQgpa5gz!a$7@&B^fu|nk(95PrCOn@%%389&QYeentT~j?G6hX9WaiXj`uac`g z86yx;#5c?_KfTs?hGpL!YuOu!++@q?>Wpdhgf8(>a4l+Tlv z`!X!;wZEbmG!aVQ?7{FNv;ub0Z!l7A9+_ zwX`V_Merpc+I{^l{3L&=@g|c};7`ZC30i>4FM_D%F;Rjw(+%63^G}pmc1>S{waC=S z3N98)31$R@V11Xh=(XXLU#;d?TO9Eooj5gAymUlK>rQ&k38%GVoY=opC! zm?@td+@w2jnLjARxC**WLTlq!BZG@6YI*-fC^ChQ*?i@ep zmG7#jqWMpi7Ff3p0^V|NkOw!(_$P!Vw5P18-!-Stx76sIVrZ!Pam#_(;c^h=rTGDq zl}N4p0nTr3%>bMY*Z3@`{Qi47(YMk_J1!1vXWI4Sjuv!oMM+e3FxS;grTJ1Kh$)KE z)m!S(-)1YslgQjDPdR@m0Bkv@Y+F@(2S~?TC-+zdEKWj;LMd_W$HxwdHSq4#WWr{{0~i5>&PmUPoFLkA(Pio^B+cn91_D1I zbQlhI=Lgk{#05i6*#U8M)&icw;N(^j%U=uWLhjiYsFZ~jfsBL8fCxs7GOZU#izvf2 z4zrw^-Reyu33eY?Kr^h5`+EzQfGk~eIoIkE>hcy8G}F&!e_1eZ9zcPap{1wlf64CB z_S{(y#bJ{1P^AjQoI3cAPJ?(Dq<~_G!L)D9vVFrNa18e@-@=CmXBzL4AOb)%H~yWv zgdX!eIuP&x1bn0_YHxWxpy>sO%C|ax5uIHP<-dtVEB|nK$|Q}7Lm7bTd<+299RhOt zh-{bGvKYiZ-E-M;M{eSU=EPosMkwmpTQN8!#Hm4_Bw_t1_Y(lHJ_%yx`+70@GJo>~ zUu`Z9F#PuI=Bghhi0j#R2#oen)0ah7-%f3%J1N(@mlq{&9`+{rO^DDEL~KNuIQH`- zuezSMEYW!~dxEK-fq4?|HJ0?=tr>oKP8u^IALnowF>#5QvYCnl;oV;y`jw&r-nOm}A zBmauMjI$5R7AK`l?X|>xe-IE6eYA>x#ylea_>C}~A&~9|h8Lb4@M_IUqpsJGf|%H3 zJrx=kbzSbxGBEStvRy=1kO5(Hcbo_=M;(H=Gfv)=7W}{9w60LtvrZBOkFp!}uw|iH zwHvrc14cu^QmbY2rjA?b0BpY=pw}`1 zynl!gl-r!rm9Kwf`}C(J+Hk|U<^&rSt!!g=Z6SMTz&wQchT(ZYB84wjvP=E7_zbW(SmTUsxm{m zG9RX73w{PLJM6eR-T(1qB?#sFm6}Ol!r=Tm_GiUoJ= z$o^L92`HR$Qk#~}Sf=_KyBzOd>EXd-*QQ+#mAT?hXo4cksj#yDXlXfx`Ued0Z}I7! zF2_BG(ZcTGQ!_F=JS87Gol;|IHvv1`^qXP1cxsF7Py`V>}J4D!zI3ydJ+L$ z^|>p)YAs(=t0K}w!645{PK@ofTqKL6DQ(|oqI4*Qs1XrQy)>?S1R{LzuF##RJdm(_ zH@+)ZhS!?a$! z+JZkq4#jf2xb*K&vY8$DG!%8*zSSqDe(`Dzb82O^`k+a~&c*j4Ak7YVTf_J?2_ntq zxOs57=!>QVF*3{LoQVw9A_z*3s1m0%F($7Wre z<>A?f6P}2PRNimPqEP7aNQ;S{v|?6~1X1kV+s3zlNgjfo+@?g{7Epl^l8)uyB*1BH zAk(X$hirN5y?JPTLk!V#tAe=t{=oRF6QGuz)|HBwyO;uk3?3wy2DAgf_RezWX zH`h~y&o)H!3)NyaixivnSgAD>PMaBABSDNiA>}I!!k*lxOkFhu5YoGDt{UzA^fqnx zLr$pQH)v+C7S4h`?Wkamx)_Fo`%W&{t60Ae`@8*v+9)J%4jsRuUMj>x zYZqJ_2u_yCir3l@cO|!xVmYY_g~ZWG0-;TlZqGb`&|4j^>Ra15aAm@fKsl8Fio}L$ zyq{ilkiSb1XYVI`!qNm}Ub$7GQx!PRVk#NH%kSgJSVsyoKpeDaNx+B$wNOl&zS(I% zI++hLoinzK(DxKlH9-H+hf$(fxMnGzQe#&5c=_zF{3G*=R0sv& zEk{8C1e`7yUqUyo6oKtP;)foQEuWtSm6DJ?6Z@0W29UOey1L@E7&I8qEdT?NeMsfl zKtmK&(7vWJC?gA>j<*~gmePRGev4ph7%dG6SF5p*%A{cKHHbM~gc7ZP9_vK~E8+`L z!K4n>kVdD0Gja=Skx0CA7&sm)FlF!SmKKI8U{FSHa+H_>JbpBlGhOz{(I1@a9`W#v ziTE9V`wmfwd;&GS=4E=#^;zIwd=&{FxXWQfDW_9VPQGYs8V4i>N3$zumt%~3{xe=B z;$`R16|fW|GI##b0*xbaaA4j8A<#7xKpijHQJ~ny1Qo2$Evgz&2bwHFhwCO`7Td7y)- z{O(GM3LSW^THiwonX1qU0t+hq=76@21LZ-ne=2c{dsPjO6u{sygB6aEr%e>?d+zwY zvcFW4=lj8N$H0Yy5l=0L>K~tK^0Ut~&w5YPaA5#dCRg5*p2*4#;j~OBYVa(r?9Xi4EaKIu|`FqnB7yo ztkIv^Y{|J3{nhS;`&Gxr`vuGwDjN=q&qti|`@L=Hrmfc2r_r*%Y}zv8?_NDCWC-ig zd$y0|u!^!WFF4cAn9gNbm^Baa_P-H$Z)Gb5zh%6=e^mRRhG(IvcDi3e+cBI>y^T-L z-r6p^nk&@3Y|W+v`BtUZ1MnOxxsaVBbUr4`!E-EM?L6lN@8sm%5+(57l-V%iSD#{abTZPe{b69*hS+?225=0lg&SN{^Rc<#I{bKmgPthMW z%85J^CAD51X6UI;*d0b+`nPmvR#YZxS~2JIlutAMeB%7}_trhnzbom%jL2-s^dSAy+7LgJWj~|?p=J#U?q5|W7y=@JKibQ=M<@@U)|nC?>mt< z?{h=lM8|br#^%6uSDJ^~2XDeGcgR83tK|!x6JJEHtUou1cYfpgYp^3OJDOx-RVZur z)7QN0%Jsszv@}$~wJ3d2xmhsU|5%^mVqHs^=rBdDJaWA5x-SknTZV0>7^=^F)`c${ z_%mYbC5S(#b_kr##^~<$*+;2Qw-CZS1n8n_SVsQ6RKfD6{RTDGb_NB>>CkUV5HZ!E zreU&hZ3D<;C*FgbX9{Em+=``P6=S2{-uD9-wmk*@p?&ujluD0QO7rg_|3*MGc_ySc~w#U&m|IjSS>je#qtHS7~sC zyQ)w~3vcpAjc$Jea>k49VB0wP0%GtR8p)-j#s`)=M#gu-JOcC23`4?>w-QQ=~wfmR7MV&WSR*LEfLVGKKOdu~<2zCn0-A<{j(wA7|&y zv;~n}8l)PPRE!RL8H)C-Aaz&O@5U=%A;Cimmy8}9NqP4Qd2E|LKOoH4;IY~B#xd4U zl7a-$AEVoz?#^}hksx}ii6GbgB^cjfN{)24=6xh+8BXHPHTtrVyBT~9j_ixN+rx@K z;5N9q6;%S4Dg7>_s?q|Bi%#dg6jn`IB`vsFklX?S&r{NvOU>6GL#P=--X`=XJT^w~ z_w{*X(m4DVo?aTD91HFBT#-HRj%)*I*fTz%c1GoW*N+z|2I*k&P~in%^OgI)IZ3Sn zALBxnb11w3+6at-kbn$A!J)l%WLF93NqklRMS%QMs}B8ic+1BhbFg8EJwIcFqJ#^p zgZHz!l;`jP(QX#8F=eN0Ewnr2Og66ht2QpFQAw4*%JLnS-j7SE752uAYiHm*E!cPC z-t#>h(iO^jL#*A$sr)~ywvP~YPTA+2_Ro%Y{k6k(SM-s6&k~QEY%kV(#Jv5{f7bb7 z#7m@f+>|daNG{pNr>3|1PeX^YX-tBF!AHopaSZO&la-!aW_9zE&Ug68r)x<){XY7n z<#WKMw9fiTLC)T2lt!YqeB{{umwTFAPRd2dKdW~>?sCtW^|ng+lw5yc5^ZU)rYVN? zY$b%BQaFQ@Hrf{A&Tkt-zE0Qac?23$Mdw)521?&}EpnFua*QfBOY`ZQcG_LdroN=O zQzFR23OykhNW)2yRg;~IJMF!V7*S?;0CfoW`fJUOI4qm?vgc---q-7S(d{KwMKA4N z5cT=}{2ff0s77bjr?E-Qz8xwdVJBV$;c!khzwd6;xKwZbfffG{mu@T6hh$!Q0`off?#REqm1)-?srS%3pa25~9%uILev_%D|qliyl<3H*_2oCDZv2 z>XFXPr$F!4c;pvyW5^{SfJ5Atsc$CZG@>$DC&2`10CZRYkm}Ia0LdYc^*!`$6?9cI@Q>#-I^jTulLjC!?L{^+*Lyt zEQLZ7>7rgP&!2A$SxUgzZoNq85vO7FzLZ4S*q+YHt=n&-y0t4+#mUB_wOa3bg8sD^ zYO__0OFy&CV~TSJXA8{pjjeNXn{KFFyk5lY@E^i;q&fISE9l&7mbN`JZd=8`?qacs z;Zsf*%^2y7wHj@@rw#DZCn!Y(0>j<$vURM}x4?yA0vdwa(v2yGpd$!L$PqRvVuwKE z5-IH{{woanR^T%`xrswZdTJKI0e<%LzjiH_<HzgIug6-b|3eLoyE{&4Lk!Bm-?s7YMx z`99J@xw3+HzY1$P$o!Vs!`~eQowM#ljrQur!~tEInQN^xx2s?1%NO0UmJMI=$#^o+ z?(!-xywDZBeO@)ubdy47zKUr-TK15}T|svE4blyc`c#?EgDU1kU)IUD-k$n`Fr(`R z1}D1%voZ2>87Ws4)%Ik|VOq>Cbw z9q#DGB~n<$vG$KB-JhSaId{3v4-U5u&{q!{0TRNGQk#VwHQlhkUY^@U9P^U8@+G~u zleenBq_r3ACsQW=gy}tf^=QKAym^E8L(^FQyp?*Na)e&*!31)pS>agwq3S24B|?eL+OW) zfhKOz8J1-ss9qMQ)%|DM{WI>P?32$=RTS;px0-69)~#}UbaZP+N}8j?*N^Jvw>L$8W3K zWSi&6N1u+Q^hDP0+{SOUi=+;WW`SYP zz3bVw1$q`}lLNh`R-bxx3O$+*e%2_;?vllX&0#QaZc$whv6=d|aT+-b2e+?8&5eJF z)++vXBDpW8OjgN6OEVg|gB|a;`p-iTS;Fd&%j!M#7qhf??An|)gmZp) zVqb>B&#<1UeEk#IGMK))yGjFlKYmW#tgF?~@#3b%HeAbJYN5BIm9n?t-gPEoqnj@( z)!_;>?iTOO)LX@U+4CXeR;MFM`a+&Kb~|$4^uF07(32X`WwKOtRdJ5S3*Ni)F&_@e!Qq^9Ip#%LeV5K8 z>fdlNKTT@caj5vg_QZ4UwjOcg?}}s5mJFfvl38<*fN^#i3vtP$iC~P^QlmGIf{`Gk zs)r)lbzPC+q9AD+q2UG|MH>gbeJ-X2bl^!ZRs(_G(xTxD$|UqB*CvuuV6xj~mrnHs zF=Pw7-i;@4L}7gROeC>~lEf8=ry>+x#X%Hi_bak5q~FrgI^!jN(EL5|4MtS$O{1}o zoDRa@C4vS5B-8d#5q95NYstc8YP4Oq`Ss{Ur#4$2@Pn5*I*|uE)j@CKot?Tw0ca}W z6u9cOxqYK7xiKbZ@Vx5waZ{kvk_6%gmGDJ@Z9wGX9x=!#1tIP6Rx_NSH#!j&gY`4% zkg$(y5Zw8|YI!w@PGhV4mJU6>z$f$Zp&pO8A_{d$^8!#B&wwtkPp}_?Is52w%_I8K zb=jZDcf(amK<-0%SZ2@(Z4*#t>2I5~KX46%yU@VmHR9*FYehH+Jb-%OtUvIU@a5D( zAZnD$G@rR&;|-#U*s?vqg<1iuM};tz9x<>3-gbJsLd=SJ%68=qwG_E8oY8ZCOAwSG z63Q}-(~f^uTT*kW*>)z*cnCmmYIBBwAzur04NFmim<~7(Jc94i;}k*q3zia~y)FJf zxcn#CNYNAGW05|iIu!kqmVW7#S0z+%c&rK z`FwxOzJWkk+7=MH>?R3<$bK^VzTEirRX7aDH&pRUgFn7K%a{Jj5ZtBc`d$qXiW$?b z`+|){%c8lH->aXXvajY=q6o`vH}3G<@kb0e;-7+K!aWfM$Dxs zd=TH@O(N<7$~)QENnn&tiNd#gg6v*u0rhmhBh;H-P07ERr<}z#c#LF-gg@ef73z?> zbWrNpTWS=P3VhSvyki1NGb}|KZtu3@gtjgomAZ*HAdtv{sk9V2<|pI`nDpqI*Ku8o zMsebg+0Bj6R#4kMTfMqlsXAuPk+l&t!L#a+cH z+LYJm9BSNP1pIEgXp}5Lyh}Z>#?DJ|;3>dmV6tlde@H!5-*H`)VZ0pf8NzX+{mgV?Z%nJjvvCtD!$d{n|DR~yV zKg2mVM2qj3Gh@Y%sVg^9qZuhr*C?>CF?e^z=Vbm|m-^Sqgi~^ZmGY+2@|bk>?Fk)G zf0DJnyM{O3&0qH_N<*)1l59Xguhl)g9*K{P*Ww zl77+7`QVq8_rWU4E#mp4UiY*5M(Zf^;i%EY=k)D5&}JN}2|~iEA%E}#q#8BVt=YQu zJNJ!x*Jz~E#35$_j!bW^AeV>7Q7S5`7a*WX6 zKzNQnD7wHle)&&QQjpYB15CcL`@i%tb}CvLpJNu+{XBH0tM1_ewW1R~(WTJ}iRxiK z=RDqqo6JU@Iyb1e^~S@f`?g9c33iW~PmHwGm>ZZi>}THK9lz1cO5FBxbDEj^)^YW} zK9jYZuGiIBT-}G+nsit0!=oFFu-e-na)0EZS2%G1n~08>pJARDvY>7TrAE|9RM&09OV~7E7EiHxqQ?dfp3EE0bbOq z=UhJ~6OEkLzWKm@u8{jDMWcBYAH0g5KV<0@w&Fy6;~R{etHDF=TJH0Lz{7JDAAGvo z45Q7Grr?1TW?#<3L@~B+pbv6&K5c*@uMGd>h+S6Q`c_e{(yrVG-tL$I-%j>B*QSSU z$S&aMPNViM(kVoY7_Y@0phgCk1>@baL%jH|V8l?90_wR0L54VkrE*%fMB|xrYGtSjyvcK>5 zxKk_X)IMM6{+(Yt27WEAnkNYv}j)1OcD{g6G5@=-ztED5W9K~PG5a#7-)`)CTbc_zJoukg>)8`?+xe-mAnFHMp zC1BKC0<~`*xTS^>4rAYqi^+xwDoM9}Q&A-=H)B!;AP+yz>XlWLbL*&e|1iP$5V`-( zuel!ENnxT}{3IQ-60kz)e|wX;Z@!wOKe2-BI|PPL?H9YGq!WrK^F3E^e9ges%OPmw z_D9sc?n?V96?}g-x%q$t^_A8mMfD51ge~}OAs6}8URj2>BVRq5m#R$+DuC5``Wco#7c>@Z{gO>pzlwt%dEEZ3_}$$DH>R5k%?B%9x;<~3 zv`SFh|4@c4bF9F+aK{-1>-_M9GZzUOv&T=QhN-6eztz~N-c{e7xcy9HUAFsmqA5YM zwYVbQy|?fc=_No28qWA4?gKZ&bgM$lJ`8vlOm;}OPJ-yus;givHUN_fJ;o4e>{J&Y zZ2S?jRh$MZfENsGh@MF1iAUbsL+pAfp5D*tEP@2#EO?#COg{<``5832p}We|CBKbD zZ=~#jkx-pBTedMo8cCpeluI9NgY&G1>md&}xj`~gKiH(`$U5|I&0?D#@~3Q*a#iNF zOnp!Ju-b9Mn!RE9hsa?*^e^AFzc({KEjO4RZ}HK~!CDqAWruzDU@P6?(p@1}%pTM2 zTs_(g%#()kj}WH$_BkjV+9Hzj98r&XO<0dVjH?{O*6-Zj2p{5mk5`gj0SD)i_cPdl zjd_Z-3Qjd9ELKtjX2R_<3NWO(Yoa{$d>;J95<5&bEhd?>ik$KUyPWaOP0G zb|z-$>&)kk`e&xcRc+7synTC{_3O&j4H(5S{Cbw@Ya=z>YAtfRXkE4h@qj-*hI%bJ zGlJSYO?lAusI(AQ+N98)6jpUUdnekys%tsw{Hm#4_x*Vz`iI#A`u-Uy^Rl$W^5QoA z$K$7NoV2@Xt1D~W=ln5V{dV!0KsW2YAN5@c4qX#O`EG*7;p4t_+NtyRnk=#eEIS4M zoRjRPm&EypcHfP6994)hI6SXDrq&dt5W-d2=EKN4*PNU+ZmC-}kSG5!tV=1=XEPwsS%@J7>I# zaJMCfHH}SQBaLoHLFzLaEdA`#P-4rp}GC9mh}&-|%~qg*et4BV2zuuK8Rj@gwbI-OBE&a--WU#zQDU0># zl+5&vD79tPLuY?a^Z4aKmAWd&bbY(+*L_P>)n=DdZ@yX+9g$a0w&hMHxI5?HJ~n!M z%m=R5Za;q8y2GTlbnvStl+pQ%OY5nb~rB+XK)p zZnT&;*`!#$0E(;V>s=3)(^SVSQ$|;z9n+mVwVDqE=_q{SIjMMAB(^z4w`yK=jcM59 zZh@~m?Dw0+iSwI350%$?u^$+9NntiT9jiKuF+Kj3I$L!q?5lOtjl$%rn+ZEw<#4w> ze5bC}R$ZO6xs&<)rEEX>zK%P7nxE>b@j&-^abWh%@sqEcSW`z%=07Ex9<$S7%im$| ztLyS>WklKCnVKjvC00?P9=tW47E$CoFAaH-i-U94R?li{H#K zt%Uo(VdziCP)kPX1qa6t6;@M>1B%dft3vk#yWIs8MzlbU6s| z3F#0lzEBP73!j0Yupcbq!8PM}^F5q0p=%gEw_7A z7H{A3?8P5l3U~~x%6~!cZ62w^Rk^FD+@m9R8-_76w!{-h|m5i}wJsgBKE>{J8q(6>tvm<5wN%b;A%?>?_NM2{2w z5;*eHM2U3T_*AIM2r0}687ggD(Ospd8wqs&?ipS9_tky4m)^T(geGBb{)+z>QQsZb zRQ5&djH8GO*pQ-F{0=z@ICN_D$|~Kdrlr z9=XLY*nK_Sk>(R(THZju-8|C6iS1c)%&@umYpRP$v;+Vl&c+t5>3lShPfA^Ymk8^P zo3fMN1QV4Lo@ETQIDMOAm&QR|m$i(t4zX;ikQYI&FW>unD{^p0hPmQI+O*!3j@$TJcbwf$68fW5i?(7kIPpDUm zn4(S6sCRB)1y&dv^YPNlsM~MaXMO%|+12BVYqd`akF@fbHYLnE!p~+^u2yPbKF7wZ ztU|X-HF=7x$G(p<{7eQ)0^%*qw^yRsPSaPjSdZ&Q_IwCMy@iZ`evg~V>x7E1CB0Ys z8*ieX$o;k|hlbZ6qCThmnEU7$jJcv2aa$zSv37=@`odVI%KjyDjernI>y%OcH0=Cm zt8Z7%n!Yaq(ZbS^Vka`ETEC0d#Ob`4IF&$5pZ%oX{KE#d;WHSRe_KMUcmjYmZ3_HtjgS^iW#swdsDbnCs|rCc+Ds9KNdb@PN%e9`}WR>S=9 z@t*!jktp1*FBKPJx1C+#ILy}FmXCIS?_QUX`Mz`RihGOKhwfsR{`DZStAh#^q_Z|E zxj7-OA8m_+Tz%!AWlC1R6E{86&8ig-3yY>R@KLuKGUzt8a->zC@cm=+m+nKPE``7DxV`MTZ7U9)@l>+<6- zPUpJ`j!!1FRi$y4b!8$^@>jZEefZIL4sU)7Q?PQX?|i(K;mWT-e1lA_ng*^Qvk_%U z*1U+KbuS8+XJPHuom7jag$=*;p*?QXuuvRon)B}w$m+|zH57E)DF$!bT*wG}JQ@}2 zjHArYoYN!f7Z~Pu7dG>)mB!|^8@RbTyZc;74u7AT!+VDF!S|cwI&}^c`%7dAOel5! zwqUvm*|cxhEMusJ!lP*>Wl?pCho|#x0QL(~>4mAx^l#9Q@elM6~lASJ@N)zabXE+)dfP@}(P_$?l8 z4pQkM!o1Y(4y$1;^$DRSA2XC?Vx=$;9CSP6r(ybXX;z+`t%yd-pr=xaL5YE2ihARg z^CrQFoLT*KA;d7~Yr5pbDpzGry?SYt>syY>DVJuwL3?*$D-s*eyjZuHJjpNgq(u z#E)vCTf%VId)V^t5qPzIB4K+Rbd3cMuMyJE(bw|Mr|djQe09k)|@|Q=-Rt`6W#s-6FR?Oq^Vr z+S{3+4(->-W6;%%pKJ6!5LNL?WNqkqKnh9Aq_Dd}Rk`t`k5p|KD~u;_lN0|}Xrsa= zHIm_w^i?ShxqG(*5Ceptk=J*fmFs4{afFS?LlOQM>_ZZk#{4sTbaYP{3#EAtf8twT zXNp2YI8Ov7{3P**{A$d>9d*ZBu_^9oCrI|Mz~;5;iJin`0m=4vrm&2^(2wX6#{kha`Y3@xfao1N$| zshso-`%=UFJtv#!Vt0i!u*D@8exGrz#yZxgZ`4KR3}aaG9?S9Cvf}gnkT3Qi{|^jF zo~8QNyn5SM3K7bj{X|>crQY#^U*2=Kha=F_6>=wHGvdmfeOp=KCNcfVu=Mk1eq1hCMAu(6IH7@(3-saG|8EAk|W+z!ZR z%4XOcc6^_1MpcMGJ0i#R$W52Fw>GbC-?gRkyiu8XIdi@u{``Y}Wo*o}5NS9d-r0<_ z-PjnFiE~Z6xGz)}^*+pp50{#M-e$7*vQmAq5A^)@h@R3!h^uj`}4cgsUA{dw6> zWrj=4OOKvC=}$mJT|*Gy`HOicbkq%uB(j~@0$SNwcT zt2fs}H%ct>@&qruGL%+mFK(PFYPukk>Nb>Oe?k|5NkKR-pX%J=N`h>a8(#Etf0#3~ zGR3vMOX|65%-Wjy07QhG5UdgR+l~iApLH6*)FYZU;iN@6>BT*eqtR4mpVuwhSpIv& z@%SZ>>e-2C&p;!0B7UvroC|IPq@x9m1wAHKyjvRmxY0N?d5gmXdI69JVJ~Pvt-G=x z!X7XmxVwp8Ws(>{d;6Q`{yjo<0VS~bI!lH@Iy%F>gr;{wRt68)^hW>-(u=(dX#T=p z9LHei!zL{0yEFCQBd-&FvqcZvIFa^IKFOR2=gwOOJQBM=#f9XO&=FHXUc`eCv=vtC zMBq%3^B}sq0!zi9t6a2rHf>pd{Hf(b4QmGo_e(n-UI6{elA(0i;taEt3_-T9O}e&= zp%ASCz=z>`88#j#P8Z?i02Nd*)Z-V>x{QUgjzK8zUT!7-v?nbV_Mtbhb6Cz3s%yaw z%}JrZ(PJiU;O$@-%)VMUkZ2F-)PkWn;o&eEF@RM6f$PQKi9CUB-T-t}PYMC*0W#d! zw%MP-0E#u_yo`Y&za!|r&~)eu=_MJo@L#hZNSH3TR)_q|SI6khM1F-2iFeVBIh=isj zC*sC;wSSMaU(F5hicv%Sd&CUm$gwG6U1*9>Vo-pnB}xxCHT(%-e*+)aW6$GbNQ=m* zbp_h1*TDFr9gz&}AR!_y#FuL#robtQ0SH!cLI}r(@zb(Kb2bQE`QUaZ(WgG3A$JQj zkA7-OHCGoN97>;8Xcn&}rdY=!26F!75TOoG0=Rh0=yFXE;D$4ELEaBG?SEl-arjxg;B< zR##HUqDWiPZZ{R=zPZE?fz~sgXX9&%qU^RfPdiDpXHnczR465pxWgPRk-j@^)xB*9 zHkB4FLgHDt-ApD8yD@Pm;xxMXepRmC#>?5fdO%mEi}8HiUq@TOp#(Zu+P%;&;T?b6oWKCP!^~@ z)@#dh?@&F8&stkEidqBdBmH{E8XH8X@fQ@EPo9{M63(l8G9RUE_HaSROy_aokuRl8 z)VxsZ*dm2?u3@uL6eSWLz)+D&geWJ*n5`W{E zHRYTz?Rt&x^U_QCQn-kSVSDxYLc#M7FLly~uNwP5gS*A87?&8h!5`-sm=v8fyZ+mO z&1!q4%V4sxPrTLVScCjz`iNLI;p70G*Zj2%B2rJd?r*%g>5@6dX;j%BZt7}~k1)Xy z5Gl$2^P|?qjNR5>!_<@oF09kD97|u$#Y#j`%!Xfhys!PdJ(BGFu}!e7_gB4gc+{rt zLgMos&zkr}{Xd9XPMWYR-BPh!%N!?vMStI(Yyr_=RG=!KZq5sBlb7WAxsx(DwT^Tl z5#?q=&LP1S26!^UF{*4gf1FE79R zl6%7Oq?oeffy+pd@Nm3Fs&q@Eia}i*PS7feU|fcWOXJ{KB?hTxBGWQBjev72udSFt z_f?h*0;HQ+B6SsxYPxWo$pArrD1Wi`y*j+aJQ-tSjf3aQFQ`kJl>R(@&N^hLq@w(R z&&|58^SsX0`U};$5364!xtv>Dz50%Q7VEZsIooqInGJ*h^T^l+Z*a;jA-Sv_T8bc#TGb|ftIz4s) zJG&<)gCF!#5eVtkF(KjV56C4>fNVwUc0*Wh zKPtXyKA7tk5VUl4`r&%c25ILy9{F0e^m%<5%dy}8tk#RWL?fdivaxyN@f0hQxRg0zT?iy=+hX*# z=s|%+_Pno+?(OdlC|CPWjAHp41)`cMN@6V}IoEkYNl{yu>$J%t1~0vndzBGgy54lX zoT^bFYCDolm)etcKhYYPrBaZ=b&SMe6dE6yXs=3G9?d68ymDwUzp!&#UZThE^mKyv z%IWJikWUFnJw8EEHBmKJ^~ZSz@@#6XCjxF$d0o2n;h-BP97T+})l)6m`h2p|XUJ#f zNv@-{psL0}B>c^ZbovxU&qxY=p|Y5do~S3=6U54<|Xn(E4S4z9zB*l5@{ya zCs;HTukro$h@@atvyqIclFH8|nJ(XyCAOExr8f4a0{;h2g3u+blWL>f6!r3T*N4Fz>XgtjdHE*XvQ``D<)LK$1Tn*U{}j?9+pii_qWC3`*$EeuuDo&+juX3fvOfj#M8z}MPIW03 z9`_+@ii&Kl9`%p@=AU!2n{1^sJQ*~e?>#lSW>JT0{pI55W?lS`^9>hq7n*sd?teV$ zp<}hT+ozE0{4&k@ukMeIYBIy`-?ZxruFX@0yDC!5ARcc^Q}v9~B85q3xCY@ z)NeW4twilrI>;4Q?J&vH)aDOx>2I;$HZ81L4@aJg<_x)w)SJn$;qcVq#jz!i|7@JX zf&je4FHnL)WLdi(pqsgs+Fwg|%EK34{!oL=hlfzKWYuBy)ig%@4Z6iuKtdq_>n2G= z^#TX|&g}|#G1l3XXXT79$C`4PE3fxT!Yl_pHcS2){;5DL(H<4cHFWJQ`u;zo(vq9m zNz_h%e&O^uJz34f{qGUlm6vb#_&&PvEU^Wir<<$sBx_Hr!kd`QE9ZF;<7gpYqN--(C)7Lp>wm>wTS z@!+MH9;IYN>wIBds-wFu-9YKJ`M1{>?2m(6K;QO=X0f6-@@(fZg z`KHSS_@?BRVHPJ>Zfr?+!NW3dJlKFz8oet@LJW zwcK^1P0+l;_AP$85t=$9(4&M+^eLn^KchK`hYf%C40E1UV!f-v6gWPdQYLn{3cDS9 zrFtac*(fRN^TEEzJ+zni4SaRS50WP3Um3!w#vkEd$G6aCBibcJf#ByyF6b zawQ-nqN#G(8_UKd{Jup4dNV*IH|*I{E4(}{x@h7#(&Ejn-+Y<)z8m$}FcWoZJBfNV zup*l5;eNeKTv=&S|2Ss#bW+?0gZ0yGCU2b1bXP!bQWt%4M9b%zj<@QbPd(wN-4)=F zjXzob{-rlw9p}<#kfSGDg=xfWVC52@y;?E2tk=GG9j-*QxMP-Q05`}dw3psA$p3v) z`o26)+f^1vIYkOV!sesWK@HrOT!7NsZtNT?_E66DP_X{|_!u{3L|6Y&xi!IQ;!KTw z;4fY>R|OY6Zerp!ZBfpD;ah{{BriT6)~=0y{|f)AM{%S{_V^EjfXi!en*q*DRm2oP z#ZalUEBE09F?oS@kjrLFB2PMB7!f%Cro*h=krAWML0+Ooo{&NiWMwI1k~*stRgH6x ziGsZBO=OB65n9jXWoEzwAYQ=B@0VLzdMk&7la+LI^AS(6JWw;Q_fvqt?XRQs-uwmi zFC4T6XM7as@EMZZe;L)^w1n%|&CZ4b{_ZZV$fT;QKSF`Y+W-C|Qoam)i1aN_qL!iw zvRD#;0!9i)tX(0Xus{+K1&ToWR0V2`oo5sp`$JtV<%E^gWZzEcR&TcE#y~)=Lwhw>Ch*iA1*C z)0rub8!A(-*)O@1O&Mf|o@8Fg2D~5Cp7enWsRXUfp%kE9GI>EkdGcH^w5&R|PXnwF zUp?zt91FuwLRuZLGbe7%PoVqnMU5U7Hx^N7fmN(yt1S1N+Br}PeL#o=EpZ!s(sI*T zl%yOWP&#yaO15Kx#R4HdW>@h)F7pzoC$NvX{Yje)9oC86PEHEjp2C?C*i3^mXXf2k zcDxQme7ra*{}q%jEIFo7SFq@W{KYo#8yi&%0;DkP@0~ZmN&zSwxZ0NYhX1k~WBo_? z0~X3KaUz>eIkH5WD~7tfU$2G;v7a$n5t1`23>a76PW0a+W#}6~j}R!#>{E$Fxwq|4 za9k){^ma+Cbnq~hbtZu1bTUz*~*w{Kd--S*H=m^;BcusZGvHvK3mDEk$*# z;As5p%L2{dIMu7>qa3ICnbe)qlU&l#g|X{>#@;;0-K~S%)II3{pv&D3D{qMl^VBk z=p5qIFxdJwA`I_{Ki*CYpc!94-SniXBi3Jbhs2Z(fLx`o15$I0l$ONZ? zyAHgfz=Uk$Z-ZXh2nNgKW~)l!cp_f78mz6NGk`UH8Zev zaE0mYi^1Ff{|Q?KE2eS&Lc7}hd!&Dw+X`hCplAOX-p#1BetRpyJe%7*|Hm{PkTJvr z*=AKgf9{X2ZWH7M`#k2-#XV2Gf+h|PzJ3qv;$VEL{~me3&Y9q@sd-T17E`K1zAyo>5sucN zXIGVQR+5FSE%pPot2kL$u3Rq!#+Q8Wnjs3JjBJB_{eUd2j=|JvetLrFN zzv(KHu&1u!r7(|Wotfi67x8nwTx97=`#Dgzk3d!quqcbt8OzX*;H03hU*&#R`(-Hxw`#0;d)Z2_0&Fa zjBcv?P-IX9`cA;SA!TKXsj$9#$Ha@>MZ5|Prh>;kvh~COEN&7fVskpGP)6Gel;tnO z(LJwgHxF_Ty+hE`fwswCliH&sOPO;8WI@-_qF!g2tY( zO|hx)P#R?**`2sB3hOAvX1YxY-QJx5I}Hl2MZ+C$AdCr~!E@%a`oj=jTb zKL?XoeqTlNT*7%oB^~Kh1B;gnTi365`+lZkfuS2tfl<|02Fe5O0@ClnFP4iE>>hnk zC9L~HM#@rHz@FhJ#{jIw*jc}c#1$^O&s|k@5Jq8gOM&kQ;jGVkGFY=qSs%4WkfZk^ zJHf8huDJM58u%~3ap2m*C{V9j*1fZ~gR$zk8VVgWmB(JVMqMGT8jjoLHLVgsv;`OW z*UftYqfBnyyYwr4uw{2ik0nXTbu_TY75YS${0E$a6dJClP6q`JEohH`E5ojp0iCDA z*j=9i9Wuw7y^H+d{XF}t+GaICwVrU5{m$=B-*R^?>rTez;%`Yq#VQC$`j%17mWF7jGRZ(R*@ z$4^g0^SXMoMR0)O3CtX?O3xy}oUT7+M$jLG8%?3M-uMF`FH9lFX`AuF4i@u;ECRp9 ztWdh0d%a!7sdPb5rXsw!MAA41=C&Z!mX}KnDE+*>-_A?DP4?qM{4wgarO>m)8>Jqg zzm&y#NE$!-N7BQcQkY0~FA-)RrKah=~`?RVwRQ%EE10(X&gC$%ib+j7^M!Q4B= zg$|0rxn@Eq10*GBp$RgkYdY|cIc^q3tBb)HfeVZ9xy$3(UzRNcMWaLzaJzxW&4aHM zzi-@59a<7@m#;XVA}ONYVjSGf<1H6zSADnorDCDV9diLv?+;P_kIfnJZCGoioY-{di>fMejf8Foz^eV9|YizNi zDE@jvMb&_AKW&sU!agj9X+6CDXPzM!=(+C9_3h3lSI50=lO*I+(N{=B}sE zfE+(;pfPPlA~U(wcODytBSALyTPfu`uoeJK4dz-buz*5g?Pjo{(Aoc9Y52nNeUS8p z!rEaVer{Kxtn(RomJs(W$S+8d*rVE_mlQS?Hjx$RmUNH_vSzpF-)dRMbC%F8hM-R+ z$(BHmk(~ej0irNz4B|DcP3GW3R+cgZHa1iqK`ZIggFwqS$66x)?q7*<*NVzEQtGWU(Mp%w_ag|qrj!}sjN8pkr#SB;gaP$2 zUZAB{7O_of>GhklXcypPi;Vhv9b2EiNmWRsA$fvpg0sOR>=kGmH;PVR+s=~&gJFnI z-`LXgQ@3{8o8$)?VDm^(hMPik7KDuDZ?evSw>kJ&YD0Pc3TFOIOvGh44)1E1`Ivs% zQ}m~*q3tu50=#LGugP=Uj5o%m;sR=O-ZZJlEu+C*H8TXV)0piGF@a-P#~L=ux64d% z%<%>B4tYuN$L#w2XEkQcBa0DV)N+D+iqiK6bJ=Y7i!O&z`E+~jForfzd1w!z_YBrf_FubA(`lxS4K%1f8e(h8Ec6(6n1gj*=45Ga6dmQHr#fanE21TCut_|BnuTbkS2GJl zb0HHNHZ(141s%ms$UjgoUb#`~yCVGWkP6L)Qir z+)95AkZk4lVJoUtB@`S<+pGo1pPMLNfflcX2*4U@#YSmBVo^F&p)Vr$Wih!?ZDAC0n_E8zxx_#Nz!`QnI0(5d$Sr?X94yeHfqjWW&c@1O zV>DD-F-n<%@=x#?OBp@?Q7iR$-lp9?2B?(|iZ!7bZ@;^6?9%Qklo+0kEP4yrkpzc1 zV}EM!8;5NMp;+*dP+t17n%eA$W%g5_C+wz#8%=k4F7s=rS;n;hrsJm?luc8mwL;Gi zbMk`J?DpG6QHJ_(q>b3cU^(-1=Q;*@R2LbaSvP{MxiXL_Xn9Z@2{_(ZFk!Eq8LNQS ztHK!ECK`{RhbP?aWEil#h)(25=p0l7k}=*MGlxAB3~bD_^jZTo{y?77yiSG89RT`2 zQE-kX_Iqo@$`uT#>`Vy-R~(mLSdw zFWlCImspv(nVIz5*0g&{7y>Zy8{!+nJ%`3Ps$h|E={4`*wpO#19kCBc zR`j2i6-%u4M~eDmb|#CCrFG$C)Ix>9U%>R)QGDck-3#8IKYrS)eQ#u7Gm=hqafSTS zB*8w*ZDHv%BB?>M>23Gsy*6R8EOG9Il~-sN2rt?m?EtF$ZaQ^dfni4)hmNDiN030w z9~+*|f|_cqjQa;!{95Rgq1ioNQ+(s-?Jq1b!@8MqH4OgD8p}AKdjnl|5Tb>t<&ac0 zwXiph6d4^b>Gmbhcy4{hQb=?)J&leYt9h}&w_&EO*SG%f5qI^v+yU+G@4h>x^oF5b z?n#stlt}i$o&;%z_`k3q?0x_5q%&JvgTfd zuq8kNi*|}-`LV=VLM%N!?l;lOl;vQB&C6PvAU$wJ zwPFc99PsvHQq{FEb-oy9df<&x@$W1%ghKE3WegXD(^_*?S$e}{GU*d@rFwCRvsF&F z**oCmqg-%LX@1Yq^x?N76X&q2K6JuZLGI*%6vq5x$arB%63dy)iwdS5f zZzBI&=+ReD7uX4a&E=eDX&^TR7!MEgU?2+su?6iuh6aaPi!2=m?vCZRsR4aw!L#Y7 zDdte<3zl1{IZVtDh#OApS|G>kFze~yrhRvO4aYSmC%5T=SmJ|=t4qO?R!_NkKE z3s2FA?(?sSdV>m0kLpj)DR_{yr(dI1eJnE_D2mvZOF2MG||ESS%m4-9F2H)1-#=k}J&bc<- z0IcZ{!=v%JH=-n!+vj|envDZKJ#Ky~v$RKWkoi^;nR|^?)#E3cy7qwY4N>>?Re0nH zIpb@%&?i~lya?U^r5e#EFLW=-e3{?&>9~KvXIN=0@^X8Ljh39wWgEpktpw||LGmD= z*xzpq>B~X=u@prfd~eOCGrzi^trueG%yX2H!407tu#5UrjW!O=+`jIb!Yi4Zf?*`& z+SbsAec`W(NujlUuy2rM^x4UI_EbHG!{&0rvI~vYwS*oL5AmDnDrw~$eRZuJzk{r3 z?QxLqAPIHm{R&C4n)&++qt)vi_gvsq4Me8EwT(rZO^wUNW`c5-vQs=0b};!Z1{0(X zEqRbrVFmJTYbN)ZiI-O+a8~$Rvk8l*L06_frO>9gfN>Syf?*LGt;2P+$l%8VkdPDd zF(|ZiqI(MvP8xp1-K_2Zf^*&~3K%PZAXVD;L88 zHeQ0+SPx($#nthxF2>Rh&L+VSS-n;JK{q9;M0wVy;;MKks$Yz0oB=1m0d-S6#J?!=n#6R(fv?Ol9d_NvCiHekgXX9$KlY@Ou^HdZ8I% zOs43e$KCT6Ts)ftEpe1qsMuO3_D#swQVPb<+Cx2+lAYSU-B-r9^WzYPNNYb|<~2(Ly{kL&=k$NiII=E>G-_#pSw~UJKd#D|9~BWc>G3Wz@h#Go zN0sBGe-sXw$*8q}stxc5aJ6}GY_AWLA3iU4LAr2&{OnV5DvBg1VkDz7BcE&%c)ZJ2MRm&@g#7IajEsDiSF_@dM1^Zx@{DyE zR#{sPdlV?yws~n{VcCwAHGx$^aSXk)o3~Jgh>8oi(+XATTZ+Mjq3Tc9jKbvg#|y*? zO$+AiF1U5C_!hTd&-Ax>CkoDm=XymMpIfOAQyA0Pd8U{uTH*6JmfrBKK^EazsiJYd z$XKlU10(r=)WDeVk7HvcBZ(2knm%&^()ilX^(n{0-5>bTWhC{zJBjw zrMr)N$o`n8d%6$5bxix?_o9r`f7k@aUh@fXpDQvg&xM{cK9yVODUUPL1sJJN4Ef`- zvb#wu`;L9ea;Dg`s1^s=I$^eQ@?6h;4gz=_93S%CaMYhZQ{lX^S~uWBuH{(O=OXP= zUqBP5Zj^7kw|;VCYR-&v&y3JBG9D7$39Fl#=3SfL^e+U_sRjd6z@~b z|8>dC0+)b<@N_@vwzl>v0U?Obyp*nyY1+k-hacyq44>%B__^hK)0WmiSYKt{dC6(a zAGdXf3Iy<|^4a4dk`~)eANuS9%cOmH|LU16FaIK6GGSPs+*6+MeKOyNL+(>l4>aQx z{h1fjQ-ioLWoiG(SeeR(zD>atHfR+-NItb`gINu8Lwp&{`7xAsvG|$cf;lX<=Qebs zCm_@kEolF2BTe>VW5LlkitiGytGt2Zp{{S}`clJmm9$&0FS`#u$%3aeJeU_~HG;Ng zc_h62$8|r=N~?rj*VxL;pK%!?R;s7X*@a9_+9?6Sd{KIcM18J)uH%vWe_tal+gP`& zX|D4`c9mf+il0jV)=IfzUaC=40t87iGE_fIV`b1G{iZLVurGf(iSC`B^6wGdxrhsG zj%p3Yu2~MB7@*Uxtf)+QN?^%}3Go;Wq__?wmi<$mr?0D&XRN$%e1f2nl=P)vTWp;< zox@)&ubd;(zT;FqxI99W0?6a0bZVxEoJs1m!xe*H%>*aUii8uZySml%?(~A9jXR+L z=#YY1Y3B+YV-wCU$lRD;h)R@LLQKcLtxLQMOU@do#%EAqE_1p_ILF)23BjH#%JYT+?TdY0-^We&vTTfn6pS@Wte>vf9>IpYVv3Ln>h_D)~l zA@xM?lCI3!J)Vz5o?G3YYt3&}@j!GwXMFp0Qp)ozm!8t|pE&5KVC6Vk&9Pu zcdVhA9qg>*$zkD3&dJ;KD_~*+Umk2_8GxzLtT8M7BTET92Wzk;c71>C;K^`M#FL=C znLB;fKQa8iX!5rp3bH_^!g`J6Z`Tx|XXE-21TmuBR<~C}KII1*vdd-;cr;qq;)o%iuX$DkgBTKx_8v+mlh)3K)zC(9;sfOGIrT}O^U`viip~^k` z_;~_zoHwk{|t8;Si-GKEO<^;2GtY5eh9QY6>=OQX;aglqJ&D;ma^J#>})kF9{ z0=aA&qSUz#2zK~Tqpgy33$9&6IGg^%@Wbt8Y;qs$V4WMxUcbZoQKv`BrlCg>1s9mW zN0?{6XaDbHU_1*TV{H2e_*69~5D6w(^nGk$Hr4@`3C>eF9*L3jIs1XOb={+#^ogd@ z?&sOx@p4n%Xy(ickvLF0iOK1Eg8&PExQ1&R|Lg>;fT1t*01Ag@eoL~lw(CZc>RD{o zh5T%Y{{psgeXrPhZ7O~K5bqvDJZY{DDgxHI;9edlc{c1avAaLCcHGrr#@*ExU<_LM z2gQRX;6Fc=;+P@O3>mZ+8XGo%(Jrr(Gpplh zSpqhlSW7Ejk|32tcro`Tf5cq~9B!PLO0}~ib(0pjXpNSDyN8~US9(pY_qI5mW+As{ zpxxm2Fe5jsso^st(?r`qmth@+-L?NwCb5UjMU6g$;b=8TH*62_{jXv+K^=oc(Bxe! zcGTYL2Q83;OS(C1_Vmo?v}s5*@qp`mVD7mU)KwpV1{qK9m9x>QVCss_npucG1t_N3 z`zrGK9{B8;tsi#Sd35vvY2EjzBwl-ky>eh~j6X~@&|gc>&Gq}f31Lvz4tA$fy{WvidpUjr`h>|UqE>5T!!4e*|TWbS3b6%yzQMv9vq8@1q| zzCL;lpr`{CepisA*@A+l*A()BTX$~4+7>zaz}5A)(I5+c@xR8WRnuJa)mu6)*k?{0 z!AMCSN&a%9G+s_uzEV?LG}VB%ZkUuRs+ej}De-etxJ_Mu?9N7&QR8_*s}#kdLW@>Y zyl%WyE#No1U6>PWz)PzL7lFrxt0fVG71|<1suFgszY$+}I6jT_o>#ZG@TyDd^pfa4 z+Tn<(b91&ecm5 z{mYJ%I`5W;@ehD)6(eig*f`E+&aBN#>VEN6nRnJ*kC)%}S0&eWDEEZ=aM93_rjTA* zARBsqVsLbn$$1!iekiN6*MI0f+|vt35@<_IgXMvF2=VefD2@yyn^r|+W2Y^|fdYid zY8Mfx1}1F4tEjXDZ1>>EjD2njCTS`$HIPMPx6=p#LPlWyrS_ml7&}N_TbN@+w8?h+ zN6{-LGgrrPF^K3XFPV1xLTS50M@Ip@!U0*9c~x z&J+FH?Ze}=YZw_x9+L-Cgj4NmZzGoUdPV*4I}I@M8H&qbt38hPXyv!zN5?hf{g-jP ze(muFf4kZBA@<)xLrrC%uD=Zx-p3<~S4T2Qi`{GVovv7VN1(R)9uq2)buVz&*uuY%9%fiEssUySoyCGCoO+jIFH(S zuU=}U;_OyY*ak10dyD5Cq26LUU5ZsqWjM#Ugj?KgnKC}Nga@Ql6I9K{CH;1lyo)R5 z7zG2Ix`F@D_ORrM(2}Una{#vtT%LPs$(AlWz_-@fB>k-2jw1ZNi+x;qi8m1zLbf%M zb9p!3Wn3iuylXPCYNfmIzPIBeW#@Hy_; z{k$g(oWT>4BUP>VqDPX`kV{t{KKE$~v`py}-c6l*Qedq3x_hUz!-9UH@|?6m!21^$ zvI{>Y6BhfY*3ris4CvJ$A#h{pSx;U*Q)a!E<#T{yM4>Whcb_;;D9fP;{p_k6y#Lg| z{=&(1kc*f7WeLxAPIv8_#}S?>)=8RYd5v{kx_{oJ$k^z9v17Nwlxdz7#7Dz2=#9Fj z`#0>6-(+3i-S(L&i- zs?O7&mEKi!E(IdX`Xt2MnwO79-AKRHd`l~5dLl9&zpGXhrTE>zzkDMBX*IVIjyLvB z$=^0}zL9e>2B$W#Vw|H2-^H03^1WFq64sbbJmn+!!qKYsVhB&v{As=g_<4gk#cc+1^{lftD|UY0uYf21eeH6B*m=!-sSOuonx;L)N2*^98JO6!WLoy1@j{gA|FBYPsOP{{a>| ztd_Q!6;w5&dRT8S`+xknxu2TDfq^+FD_oW9`O|Hd*N+mw*yvIA?!5~82@CKsYqWLd zPLFX2`*sKEV0C@^)d$7uwHeqBd(1%JCkaLz`u9lvS~$!3(_$npTnJ<@xxiH02P=WA z+rIk?H$er&E^QthaU&57Uudjn%=W;Y06hv^gb6 zVmks40vwiH3Qw){Voz+4O0@Vh$VDs?1(^9?X^G2ijI@WNw}lSBDqoWQ-$bALt}&8W zG83S5uu>zxTD#5i!koK%gUn$P$mS%DrfJuJ(F0iY5+Ge14ul46!OwNJ;=Aaju?VQo zeaqdU*+3)}1Yf`nC|PEPppg;8JTNeYp+LgZ*8Q~gV;0eYw|EqY*^{VX35x zB1!1jg)VUY9*Qbmkum3=3?6{aCrze-jDd83 zMPksG%RERwOG^MF@yN6?YaL8gHSm&FU!j7*OPy>W*K2I@1xsg(eqeZgnROFf#ty4% z^Z)A1a@|EtH;Vurqr}j}D_qEt6T;jVm&nDdWPAMl3D63q9K;p`6|}|m4F>ah41>7O zWVHHxg%TscBlIFmYOSlAM#PekU>yl=d|U6I)QjowL+4>uZImh^g;~$7zIjhRoi-GS zyEo%QU!koYI2|TXU|Q)8?RVFVy#*RH$I#WY_nCk!3A*+*E-4fPh$sJ%K~x@Z?E^M! z!zk1mon-A9xWjNG@n+|rr`D2r+fr()U`D>!LlrQmBl zpVS)2JbIZh!Su(4OEGzZSDYJUluPITS!wVL1syU22AV7;&K>=v!Iy@cGarDKdj-_c zGC`GFm84od`l8=+>ypQ30lDh3*s$(**SbS~gF3vy_TSESti9pAds0A#?BMH`iSo{f zYgbQwG@+52bGjvZYrSjeIc6ya?i>+)M$Ol`NA&1i(RPRbVdZAoXS4jQIejIse z;0vOw%#63a)YcCE{+?Z#+nM(~76SThWC3`@v~wr!%N7mPlJ%D%a`<|YG?l*pnSi?;rr_Xaigkq5 z%s1HFR%o+yxX&n^GClY3F)SK#lJ|GMp5B-3%aR23l`nVLN)&PFPF!w(SxR=11^R@a-e6pNR z6AC!@i?Y|HTc3Yd)-u4G=a^2({7n$W6c;{N$ftVh@PA zU}iRTqzVkMt_(vXK^kFeV7xLa7nY0G;D19dvI^vaS%5{N#6;O`rwq)WXy##!P2R&W~z2}CULTrv7@mS4|mfu!btbDK1py938Y!7Pps$k7>&r%94+(?%#i zd3}?K9r>JsY1B{{1@xTT&uc5J^bSY&RHpe4Ue5KMqS$Y8(PGB#(tQ&sHV9Yi%U&l! zT>FDKXd>y3WwZolcfIpa_<$|(Q&$Dy$6}Qen)CQo-5|0VXc|XGDM|1t*p(D8Zzq>5 zk<|wWgKWTms-{G|Y1Xp^n*nXTFVm1qN(XEOEY7bFj|LC~v}RbR8*nETNDKjLaW>i= z49W(qz!~iO!uTpH&PL(DxnOp$qcrg2)Zv709Xfo}fv?l{Q*)ncpn7QwR4s5x?PVT~ z`@5?8 z5V${NrrXT}1quxfeRtL&G^?|-aqNS>$39KCkI;QNEa=}Ol0(#7n!LUz&`*V>O4Lfe zo(%<5P8v_6vrHlw?6kkftyF#-j-CaTZioq1^Yh9K*goqc@*ZprfH}~n+uv$|dEnsN zFAI+1wSkifNFd-dK|TLBEl_^E1+WH@u{tcd@wx6Mys@8pEyR>)Zm( zz^JWJTSJp{9WPbV0cU1e53T~MXORk&Ypxg>x@vE2g>vm8KjO+bmggU-cQCNqxDc(> zhH0=*JO2+;Zypcj`u_pf*C|DsO0tAG9U)7kh=lo`IuT;BjGZ}>Y)L`}W9FP}*-zQZ zHb>Glw#lAtCdP7*WF1?^jF5dM88@?>=konMujlprGp}CLJ?5V4zOK*ye!sJeREIZ& zmA~Cp1%J5TvSBhKsCr`ucSAjzF|FII2!6!QP}4U`5Sr#Wse$igfFbQaF1%X@W313n zAaw=#9?R%E1!{ZWfzp`(Kd|-sRK^$3<(VnAEL?;WSN{8`k`9Phb3_ppL+f6F8+mtCeqg5jp2;DtdRF@d^+6*9PzDXd3xRs{-qmunhALJB9SlO z+|U61`C9h%A(dpCCl{v==30uUYZ(=a+@#(456(wDX5n|(Boy&9&P3ybnjSm=DQ$7o zx(C$C%TloUjV@_TJ+CV#k#iWHgl&WPT;nfa!5p}QzhRxVOs?DJ`iR{^cG{pd^0$dK zIsNz_14sQ8mFTwT?~U0p2PAKhr~UtW8p;e0iSUkoFnM?Fh(=h@{I8*1t`Sc>v^JJULw*nMi`$n^OBMxt6VSl@{+x^!06T z#}xO{Gq5H(iU*;0I&||v9+)KanWUO9RdKR&UGo9nkiv{`-TMm=G-+f#RqbdwHZvC3@{1m3u=>>G*V#=_-AF zeO{GIYmFy(+wLcjSr|=9FNw>2rZj-d#|yGeldz{Py#KMO*`-@H)S*srBpZ$>YJuvBfyHBshQ;ZcutkwA z1XCD6{)Ak9L8Ab5P3l%-;rHy>&^?!i$U3;J7NFe>)GHgU7FG*F`X2L*anzg&k(!Ja ziX||Mhz#lcZL&=GW*b1TZ^ z8&jbC&M7+k0|Z~$i5xwBu6|u;DHL7W-WdiBgOUHu^i@bqIfR-jcz~)leRrC4 z_JwF6!VZW;xY>hg?EPUuzyQS5Z@AalJbLW1N0~u!X<53bY6Lz@1(S*7!s;(A^Nd$N zgw2d9`k`}^lN4czN+1Kd=xpII3bHBq3Q#LN?Y|BB4J)5op8o`2!)u4Q4d-o;=LPsS zT$HKNB#=|R>};-@p8;;jP9Vw(5ItKLgl{d#Tq@bHM6P)63i?Rb_6Yws!&UQfAb!d6 zR*gpYJa%VnRq}$W-M;94YX)Wr&ECnd8u?H3lo7#mWlNYpCP`W(;(*dNU;kUIQQf!Z z2T z$u5OHA20pxMTy=OdJy@$bfY&@gJ0GeHw5?(BSRM`X;6JJ#J>Wh@e*~5l9mVS}KH4`*-BdT%xw7c{V?dR^8@g3KWw{HY z74%}bm-kOCd+jV2W_JRhuz-<0ufhdN3FrusD+nZ=1GZ3sr&_}j zw6{@OMt?o;QJ(tZT%SD5Zo|1gyS$<|Jv8!XKGNe0)ugffi}fT}2Y&xaI2uCGXY71{ ziX|Czj#TON3h$3IlRK?ca>tO)Dh1EJDHnv?1d%~}#K!H(Hn`iu-wmX3r#Gh~Cx==ex4$#pnyUV6X67YAqg+SAd4&sRVX@q=_qK!PiF|m6pVzeTeNpoRA=}21xUvJF z42^F?-1XG^f86(b6ipqd_}}P3@OMk00`Q2>qyf@lad*r*psX3#^%Jdmph$!MF86fYBJbhGVVy~C<2M{yDOX*sv; z34H;!oxaR?MP2ml2|jCk_FZ$Q=7VZ8^{Z!}{gWcAAbZn0>~~pbr;G1?l23X_a*<56 zc$8(BIWOD(`gAPQfa2SGqA57@Zf54IVJnqfPxWAu>^1ulrosDOy%XU5+)VFN70PEP z{l4g~u7?^DQn8NZ&JqYadfn;fGc{o?H5u|0=K~ULG6B!aOJ}Eb6F%Cg?zT0xri35o z9Q2NNGQtG{qA^EpJ@u^C-uzSp-UC;QW?KvMv5@Vdtexipy?}8X`A3J515C4xS*5sD z+MfF;;qr5?+jMW%a4@dt*GCNsdB|4ClCXfm=bxR~}C$f7?CM9_4=dv~TFQ8Ap80L5VTF#H15q@T(V!CXbEK@Y9Hlmz6W8u!bkB?MdyU3|-a}ajcUN(FoDXt>-Z@fXCw;EXZ0e5m zy0Fopdz;`9@Xm|5z&yA_4a6Qrrjk~~($O&^0$$Lj$sUqxZzt%d4Caqf1~T+AL@p1jL@-WDu=w(2IaxNDhK=)W{VG7T=X2qD_Sa;46w%63_nd!Ne1`6W?c zuvFS;`yKX=U;`I+=n9~rWV*!pKZ1%JvTmHSPhOE#sn^5WaU{D6b<$?wCo#p&^q=d_ zR8)}3-q~Yn|H<0S9>4hEftZeIqt45h)?D%1FX;*SDm1I^KfxsQ)TvU&(i&^!IGJ$l^N;nDl z^|JH4E$_?s*@i%up?5N`>;KgNFYr^I`tWtz7`PcUKX;+P3hlT9GT*H|DOg@p&1GlH z6Z?0866|htq^FvzV>2ILS-wWJx)B0o|Hv!zt%sGnt`Xb_Nc5%<$mPwD*&fvS?dAI! zd(p@4iXhUv@A9vU;?sq|UabhC=the;E~tM~`wNaGFm~|n=svoZU!xHlF@Qi@1NVIA zOI;-8ixNnP8tGz@xV=%XGN56sN)g3^8)R2TjI?fT1tGh)c&S6*lBzA!_;>{Q@5*ou zhO1csNZjhDCoeSQsTsuEvB@-p!0;~4~j-t<^@552M!U}e(aSQ0#tVU z=1&XpqXLN$Luxa>H%P_2rw0%@fvJc9HRJ*>6i5ZPd@dh~`nt=ljIiHq-uPU%r&f4o zZ-k>fFUVotKdbg;nR0KMXc_?H_t zYTJX4(R98D>LCBG_Wyp(j}DOj9~4gznR`xoFI8M=6tNNvf{c&9a)DeAF2~dwgwl6AsVJO;G8(9n?3H_{M8^UWg|^`=XaE z0UGG0CD5-RT~Xc5%{kj7EZLJi+_eW3c7pKzapT+kQjuLCubH~H5PzTemNR|f{ayx1 z2cgF8g&Y9p6w22fO21KNaNne)P4;EjgrdOH@ZXiV1pUCpcMM=zK%-N1Qs-q zU&4Sn0ur#ut5Mo}aSZ04zDNR&I#jZ0%qXm6#DhJ(I@41X*Zlx*;Sxc$LP!g*f6Z8> zN^kq^RK<<+qSXQzt&=NwFh{-aSmW->r_KsBw>I|qxw!a-%L(S+WZ@%%S*H;aA9jDB z{Rcyx9{g2fxx!kTWdaNFkuViDNKbKH4U>Gpfn*JC)CK8&yte9>0 zw12r<^X<~TE2$PJZ&Mlh^El_;yx2P{qYq;lRVdXSed}{U9TJz!qs68=PCbr9Wlbxd zX<>gI^3{nZ{5Ce*&=B>aF3@D$h$Pn4I~KC@sQ1mcTZQ-gP6pX^j>|XBhlbqOk`{^Y zu?uOS0A-Q9kUs{N4Ih*r=~zotMQ4xY%6q=Z|IHm?UW0 zO03ZVez`>c6K?8apZ{o?+@%k!GJ|%M{76=$^}`shmgLtM(pe1iFCN~IKR<#)zuesi zI{t{>j7);#&c4aN#1Q0_+hQ&|AfRUNJGb3TZUh1x2Q(~qs6Xw$QNm{cW`MpnMQI9W zG3f{X@VML5gq#1+bWC{2ds<+iw|B@}hFg=0;&q9vl8!{NzwSMA`1v37L*d)L3sRSW zK!*qrOEmmW*igkeT`RaUO1hj~Jx{a_yb++1`G6b(p%X(|5J&D}_?uD|l`7c>PX;Cw zd)v0&xBewfQo{6N_A^wlLDKCkb3bb>JAaGwR~g5!Fdybsq{NDgbYc-FDBj5VAWrkK)NqADQcy?<+FX|%A&C^tQhWJ)_GX9rj*sz`H;zXR7%8g4Qqr!9rl&@D zu9WIs7=A<>v0pI_Rd2L7m08@UL)%E!! z$u!&Znp29Di@~a{+Hl#L-!N(I%<7!FwVJ+G=zzxa+l`Com-e*MkG5V7@%WVs%0(b+ z`gh+!Vd%`Q{3=iqUHluE&O)mLrssuZkmik-oqhlHd(?g*{?d(IU=#stuTtn^Wf=Ia z9<&F`zMt#ScJTTkE4)tipcZKCO1^>FD z+m{lyKC=corcwnUCmaDoxVm`_TYEzBfm9HpR2;W<4(?f*zz=MeRIG3FPXHG=5Lnso zr5NsAJ>w3(J$d2dj`vwm5*-GHNQiqrAMkoBin_AvL3Hy91eeDEP`AbX4$$Fr`9III zN0&EN65&1d7tDBo2#))XqvpaC!$SasxuHB${ZW1neucx|RL;4wPk;pZ86y3bTLo$2 z(78%KEFhS}HWX>L{ui;Kzay}^%W$Ob)z7|fP2J54kNV+Gods=@mm#+Yw#^EJFZ_33AC+<sbI>1*a!=r0vd-qb6&2wNefZx4F7IIH zM=6B_`p)Stwa9I?nngf2x1|?b8sxJKZVjF2`q!n!KZkedy2R*HjF;tJfgYd@4kXNf zevV3c^eB4&BIn04!e}h8q_H#^2OeU@$DO^|G4XGg();oY>oVUkh+B>2UV|Fc?hOrH z!XIG$@h%AqKY+y@7^a7KAKm+w^sPqs{6YdD@{`@#o*FseUyi}uO!@1Is#FXih`KAV zI(Y(g!?&j6-Uv7Lq|mp@w*jI%8Udu1tK44{ItkB$8LqJnkW>DNhg6c(?$_}q+~2_4 zFRe%76E~Kiu?JQFD^A^8oIeZlNc^keYtWVvZzTJP(gb?Ctyu>;dgH#$94Cl?mtmDz$4`E zZZ-@Qtv((+rAm7HDCn`M8IvKkVj}%~zP6|60@}0+J?`P!9qt(|w?5u*>id(^54I=2 z+5We3iR#tVP?O=alXkodciz3vwIRoKv>Q#AR;W2Qhgb~77HL@6ECgp} ze7|%*L;I8G4X-mcD4O|zL}HOcsE$EI@AOcK!_k$zZ2gqX*=a8=58tkv9O6*pDRMI!jWRl`%9+Ta#}KO zT5_47s`WWX`(lZOe&qMA@A*WH8)kXgYM?)Lk)JD-eN;-p?N%noApeFly@TbW_`n%e zrXSwduVJcw!P+)QTen2DpPm#sYi&sQ6u;KYqd6|TaWPxwPYO zNkwW!|NO_76F~t-awI8NuKp5t_gNJ~Ea1Meys1{XFZ0593d)a>ovoN^G3xCd-X|8E zKkr>u{n(|(a1>xxdh1nM<&9z4jywh3xb7#KK z1VpsgKkQO_CNC26#4%sqCXoiF-`(XsPEk%(TUckSXIV(uMwt(n$yljY6qIk(Oqc83 zGSSMdi0iQFv}drcNIsrGQb&F3w=TFzjlAfp7e$@^_BR5u6+lGff5?D8<;jU#xb%%*uDzO3gGlIA2-j(}@(;>so*% z-H^hpb7ugeRIa0`q_c!N5f~EW;}sZqaIIgVBCR0M&pT)VF>tB#!Sh?QM&%#(SLV|I z?lbF*Bm8Mr#BjPY;!%OYHT~w>MAMT?T^r7NKYd;O`sEqz*~1xgnp5O^w+xXZQtDgL zt>J6IGD)M$lf9@agQhx;UWC$mn|;~2VMqHByKt7X;L0`_=mBHSv&Qq{%R&$}&+lZN z&68K_Sw|v-O^y@>9*^AQk(*YC7GNS|s*F0%*!5}c;pstZcpnj-C0KmS&2Orm)Nuy8 z62~aVw}T%Qd+ZB9oLvRHJ3nF12x7R}kWUcg%;4NbFaz*GcrSeWS~m>|7tKM3P81FPC= zqjpE$Y9gz4(~Z$<2-0R0Y%MFEYeX0)Ov2e2f|9k?9Bu>eKr1ByG#~%KD;gb`MM_{% zoQ*bQa-kUHHHTgeg0AC~Fbzy9k^+qV||BKoYDlre@$ZE%Z?I zYOCo6&(5^2aa6N~cTTw=^1@C%D!G&Xff-^%l}w{Wq0494(6rut5BT_qeLxh}*zkFU z<%C0~ABy`|y0ZB>iy=Q5$DT_miZIxVJKxLu#Q*4w(k}C=GLT0dQBj>5%8JyASE)ER zNM3u}g(5{=>6^4oDZR$FdV!F@9ml#r6J!qn<)XK5Jf)uEBZ*7Wia%4>44U>&P)>J~ zAUh@?%9H3Gkdupu3+;EkT|acbPQuah-TRS+2Fr6ndK%)+D$X0{hg`ewIpds74N|%l zVm{au+^~?UdY^0HVpn$VQ*en~*5V<BYd+&I7aTvQW zqM1k>Ik`g4Ci9GX4Kaae>!0sqn=d~(Z%aB1-+nHgmfrAo&tvk%K7J^^w)ev&VwpM0$+pUWydy4 zEXT<~1ay6l+mprX?CrGm+J9QKEBx6=CgH?&le{;}4f<96Ou-}lSd%Q=&m}K^SNGEJ zuB{rkco`?=U#}!`#7cI~&-VG7QI~Pwemc?GqV80wwb*$S(Z~PU!kF_tPs;;lI)x&# zNUaY0(1*|tGk|u$qt+dzZeL~lq{!h_sD|nx`^=1Dlx}POS~!ckD&TC+I&xurZP*jI zv`_+eau3Vdt__yfl}Sz!D&pJC1qp%zL7f1Er-vUWzsBFk-^JheLrfCD`SBGF2uFq~ z^!ezfss6>bdpi!^YaXsIf4>Cx>{+7}r_fk}oVrE8<1lVd0)p-}q@IBX56r(avI?B0 z33|QJIov|$7v7(!h$;+UQS~r5N~(2Sv=b3I8HMmePCi2C6v~e+q|WAW@z5^y@Id!7 zHUdoE^Us0PFNbHkV1|WK;87-J2#*cyoMVhr*+9@s5(bqZzUp)*B3Nes?mL70;j&m5 zl-&}}_2FiV@+>PwRxtQV@|Wm4ed24zR5k)cZsOYnSWAFBK^FsGbIiU6pD4HlBe8I= z{@oY7h2N(7fS2@Xyrc`G84}R@tk`%K)XH{vfs?|TyPL5*s*0f~W`8o~bO&pjCHmCp z`rjDI&fJ3uvw3zUJ3{p_y#aBoE#%E|hu`}AT)1ub*+dB=>0JFJ8qqleZyY(ZAag+e zH(_&ZaQn3qH+xErS&oEt77!w>(}5L^_zhRYblH-CKtr6HmP&qZfmo^Wu|AzaOqk{= zZGyCIf#zA03fDX-hYC%-NP9NtYined@7#qfQaeGHXek{}I?43NBo4ab`qA=v-RR>K zIVgdN?;wa^2AFVKkTl;BA}}|k_?i$&kqQdSZH1ETJDC13{AtMN8r!s$ML6g?^Yar> z#Fn$pVr@u$i`4%!w2vW&adqSnl3LVeoBJ42OZ<>e7eSew8Jx*^aqGsB2kP;#!wzYe z4ldo%7_0pD$aBTM!>-t)L{)CMKu%BnjHB0u*@%Vb=g}1x8qRi7vds+EHRmyH{@O!_z699-EY9K+{cl*P8eHWsHl{?h7OP3#f%9o2~&EXx`OHzAdrNR=I8OZ*QGt&ph0yYVoR} z@oE$@0slK$EG`gxh1Uj+5G=S98u*^)uBltLbG5T9uwB2T+ypLp%q zDvxr(L@3)nj9HHtkr`=x_##K%iy)01Y@hfNfrsP?AOoK6WxX90UjfQon!hc# zJNeQy%QWpZnBKqI^arPlCUjhh_Ovj-m`C})NO~4`__l4f;+tPW%Z5vqn2&BfRxf|} zW69d!CGt|qR%E7*O~qPu`7d2}&bcnHU+VazJw8mc%U|iDY6k1Fe=HA@>~cBMt8u*R zP{{1PI^H#Z!V#<=><=Sp4uR$P0i86#MyDx_njFGjhrEN>F+4LXXp~Dh^6M+UuAB(xYM9k#9Tu|9gd#5QweUY6pTZIf2=1TeAs_r}S4D2XHq`X68wm5#h?8SL`N zjfYPnWyS2X%t^iF8g>tplNJ`Xf+ntVNuPykn4sCmjl4_3&n}2As;qAfl+gw%3w6jC z#PM3-T0GR*YvqQ5&aqY|HGz(kPwvcJNDc~OqE8}&OP6x3MpG_8K_1U7d6=WFaEhFqc*#QoEYh#NnLY_41+5d**3jhx)wM>^zAjDd2RSf-?q2LfaN#4nB!HH zTqbIpeF}5yw_rWB%E!ysV&0T~I&AxPy7*v)buqP3Bf_x*oq#xQavY*LK~Ws94>(=O zb8Z8M_W`g2F!lkD+Zs54ph9%rkD!z%Q7$QvU+*3d}(`rCpA%MnfT zT1%hhd*#1M5{r|Ga!}-ts*|eyJq~dXA}qm{nh2RMxK3*ViS_Kdy4RQ&@R zz(D{vh_6Mw!@}5`?UNg+Sg%U_U0@>?$^_|5f`rp@ecFN)(EJ;0Y5w_vC+I^I4NM+wMiYuEnuKyXn&5o(4N0s^ zHRN5nJlR=az)gMJH5PsS7JH$n;yQB$p_LXKQx@a24A38^VMY$x5*BrCC}q=z(JD;7 z@s;5UeX)?;jtlE8O41tFtW51}LU0xLa}7Vbz&bc*Qp^YGJ`x$<2r{JJ1Ts0+<;ZHMvx8}S|@P!Z>Py8}WJ=#9Wwr5G5~ zF^LbRnPL^7}}yed{iTu0Z| zH<;`tJH7V7kIFgpvTLc1*AF`$U1q7ZV{%`VLQO|g)$M{a7pRqNmxA;!~u4U zcW43WLN|E?Nvwja|xvK?8-V47aXtt%>CAX<)(akHf6VBU@|E6u0g;U}ov z6u2mY`O54AJQdF#VEk~$Gf|ioz%Ij2MX+P=J=Q{C7GhO}W58xwaun18qdpT6|s2)?;xOEFTiuk56j@oVD z80T;rqw@qC>q2c-6ya2SPCE52ev-xvN(BA@!Ae-|Iq`B{M?XF`+eQ>-2lNQI8k?Ks*AU|%U3BtKmRjaMbbDtTo@qB`!C%3FQb=tOUkeHO+z=3imkE-q1#pMM4aYT(-&Ey0(j#r&dYSl1;pAY}!ZjeMGGv7AlFGNvhk$<~`4nbqFo#zc+n4 zI0j3~ZCFj_IekM&wOnE=xe0Q>hE9dgrKg5krb}Y3&W@F_?N|;3j%z1HzAm9dTHoVm zHl5ZtAaR|w7WG?w13M>)_@B6#EEXymUeE??dmZi~s z+|=GvzcZo)6bNXsE}G&xT3MTit9NruD&`9Z3g1O*74DlNM^% z0(*4=rVA-6_4@_6R6h|;j!nms%n!r)`#JCRO$>Aa9LZT2X+y)C0Fp{s~h{?O% zqb6mEyL5p_lo-_sGA6SlqKP2ih+y*-L2^N=;o0Fn3C{|$gxU>l=`xfCc=C^pIBLyC zIu`XBlh^JjJjhXvH8SUrK{DvYX>fxgSTOPBhMcCtmlgcWBf>LZFe0eH-^LjX2J~Rg zu4T~3I5jGG2sEnP8`?i>J#@LYKN zmk=7|(6CSi14xvT*~D(#;n7g-_+4tsDo%b0eJrd1(J^`SW%wJ6k{uLBTB7_k%y*1B zDJKOqvQNXsr_g;BU)sEsh^g%kHd*pkVt~QN;$pE&!437&qvfQo+Zooc-V~VqG@U7# z=SHfsZ}U3WyH_*5oe5}1QvLP(dUZ=|Y*U@pI`ynkcS)qW-etX?b_!DQ3Dj!sH9t<| zzx&((zDL-{s6}?HA!&xdObv_fk_iqU178+)s(_|5Uq;EETXYUi59Tl8c;q0UI#9k(Fpej9jRduLIv zU)^q7UAbI&{nNm8|0|C#);uqc>2%CgvJSClZ}}Uv*BY0|nwy@e^&iImm_M&>HWL$I zM_@ayj`Ga-mQWCDBZY7j;loH}95Xovi2$BP$$=z_7-Tm$AcfUuBl*8HNdPJ#8;~=F zr|F&tb$goPX<(XzXwqC0CHlYn>ccFxSECPdhaE?71H@_C!}(hdr?Q-Gmn9Zi*IA=| zOiTP9mf00rANxvFQyTzc2u7q$bAz(llk68wBSeqv)5jg+w1WIx3gj|Mkk8da7D+Pi zuRoWtcI$uCNxG^UB4P=_ISpvYh82`-%tynLU3cDa^b=TVUkEP4+4FtJ6H!7S)bj;|Mi}vC}q~=^Zs3beHfndtgn9 ziJS=UazGz#IY7i*wW0GZD>2fnhNpG?SG&fBT$aWZWfPbQol(Y)b`2cWCuAdpzMk8_ z0aIO~`i}zjI(oK&Rf^ME>#6W<<9y8R-KSX4%=+*0hL`05@E$q?HGoX9{Eqo zVfuN#&YX72t{IJSY8fGBq|CBxY(WGD0M94Mzfn7+!j6xrbeqOBwEyM0WLQ}ffY+T- zVgIn1s#$UIZ5(ncRWJ9oXgx>IcVpfdiS_@a@v_l0FUROrrplB#`7v^}#()`Mc&WoQ z&hK_?qpG`I{@ZqB_Fw@@@n%mW&2)Hsj^8V!vw2(%Xlf2VL7pS7c~)XXScE5(gM2l} z`87~orb>Z|-^}@-IJk6+`CH)-$QO!vD0e->2LqjS&-yZ`jBA*$}EK^plF>LyMdz<$Ff+zvMI4OBCJb;c0zUpwm|n z+DOU8sU8~w>W*6^037^qxZ7@LNCN#LE`hxDccrsQ`XX`RLT@p3M;LmN)c-@+`dG$C zAs(-Y=z20LI);v?zMx%F`~x;3Y{6xodfQzV^c}QhJ3OBOPBU8i{Eb!|*M)e1>-cG7 z^S&qEL4YqoHZ|j<;d?J~Zjt{RQIw}g@u?sG@0ClAw>|i|?)?uiFCI|fXc2wOFYVdN zBaEAY^!1zA#8}cp=Stis2Rqr+<8ibpuOEeCZ%eWk9=1i{*Wl#ez0;hoyuJ76pJ9vY zzOR$HB)RENHLpgk%?`+_f2R@hImr~C9OS9DE&plr@_K?9?~Moz$HDTBpua8crsdP*KN9Y)#C00+W`&;_K_J3eM z-|WoF(wj4X|Mi8_9pSqwrdRSlm}O{Y2X7@0V_br5N-(qQrG`58tw7t-;-a+^1NN28;Cg?c znn=kJxc!YIuq3(;;mD5oVyZO0Pf)SAGmmZQ{WV$X^vzAkG(zLUm{a#N&2Vm(baKS^ z77z2)oO)+(0sRFJ?e|Y{nY9ssrmj^ARU>F!w!1Z-3XjjKm#gbhYsFJbl?@ zVB$;PG!;?6??VM>uUT30G^yO6sSQL;LpFm8uQlgd@jk6Fe7MD zmQ9A2(XJiQ$UH*cGG!9)xUjgr)0(5a)4IZUnciK6j|oX}yNf)==-cRk9Omvob$Y{m zeLjVrJ2o_R^8e7{l}J2~kvI%cwox2}hsQM>XKt9zUJuyC2=<d+@G%9d8GXmO`Qk zf2*h&@3``L=mI?eOcPLdGG}b3kBTni2hc#o076bE=^HFNE| zM%xHxA=TrBK$g6nFqW|s_`%T#IaRPB9eN_*zw1C+4@ZzKp6u8^h zO^k%yU|Km|NblzBI`Y*C&~JF=9GvsmWCklPr~x015vn0q;Z^ufQ8Y|geh*9<4GSkb zqN4=9!l_-N7FzIf3+*R(2GWwRNYM2!$CDVV@&p$yeiE^!NY4|L3yvD0vW(zdNAA(n zJcPhj*tWZP*+)>$!42>NCh`Al@UAX1R;c`Qn*zH@Fg1#=;VB}y*SoQ3o;3vX0C)EX zPbGu(ZJ;w~+=u&L!ONDb+&l@{13Bp?`{3gksLye%1u(?aKxUvD67LJbH6?v6V$!gG zgWC{GtqWCF!ouY9q|A8A7H}J_*8SfQ_3tP% zC%zIDZ<*DU*=6UIb)&DgI|#?;iHS)c4UqBQ<%~YRZVZml-z9C8W(7J=Ng!4=(eFU{ zu5Z#)Py!U+qtkQv@=z)})#L;p1xa%9TtKapdIaR%EbTUO@?#SOH4ogA$m{T`ymbCK+2n%~A)TU2#?3Oa7Z z998G$F4B%r}2S?Km-3 z@CzH?000+|3qNGlI*@XV#k%e||f*mQLETNNiF}<|! zxPS~VvnM4AzC_FlvX$0C?gQ1+jy~~1^%n`bUKbx|6bYVPE0m*1iVLe2-O$$KABR^m zIVXc>#ysjhFT%wR2_^ipXU=h8YjFW@0`Rr;E(N8|9P0iSebzYc*s>vvrlAdB z_e!6CWI``l=?D2T@7UX&i0cPvb?k`hjuHFi&&4kncIuAY+DuumEpVHRNSQayf%S%d zzfUPSw2<}I2&+El{j|iiTu8ir{Kcnw(SdG`@)3vI?Ak9DPPsb{$jmzzKKE1uQ(B!A z5vb=vKGs!mYeR?lG#h1hta@jt%?##Hryg(`Es=9A?dnIoZ~A2V2ZR)r*<=>~gCIQt zpA6!zveVa$XD?Ma`PR>wv5)=3BKirB3(nOFmrdbG$wjqH*)mw@2>bHER-De7w2gl?>8*$eYIHZ zZ`Dhzq3M3Q(3r6MY00j`j2D!2VQ1zzDo(E_u0;55)2`#uC_(ECc-Edfp2*2zY!(^y zXqZbWR_!AEfj(+-P}qypQPk%oB%2ue!r$T7T-l;RDb;wa@@z9>-;xIq(WJ!(;Oe#R*1u@SS#@=XimI)i%*k*xCpJLyEKoyjz+y?=rcb zC~wI9L1GE&;2I;;`{}S1e61M`P&jU4Z;nu8p#ZKjJ7?8hx!^~(3;C&u0)%%G#4xy) zP##M=!9%*0WB5&a7ms%LzVTu}BBtQJHCzIzMSiY6JwEv!tF5Q^XOBATi$8=t8{O(OtELVmBw#A} zTKXbexjMxTuiEB~bO_$>I_A!pNj&Ye|M=D?E!j!kDIa~_ENwu|Q%X)I->o%H?VeQ0 z^`li9;>#W&n|e|NA;ALw)Dl+gX9#>|Dh~b)7!6pgkt;jkmY@)*1Siy+{LJ27MYFUg z7TxQcIMQo_0Zf9Na0D$GicgfL)*#};AQPEok3(#QbQ<*-rukYe5{{W`LyO!uQssny zBDas0S;7-YhW=?P5nGW?OAF2v75h@3%*}Hay48nKMVU<1__P3*yiT*@PqS4DD=cuk>iK#v+nB|#mC)0d2zbNyL~=d)oYus+SKujodXgLylOvX{k$H+tLir$Nfke07K{8dtIUpd|p_ zMonWJHF9TPpOf04{j(KlX8D=UdQiZov80prVDUL7XB%_c#|n+>*lYBp&^0vevwx)3 z`otT#H~I%`3seuW&KQ|!94u{AN4R}p`OZB|J~1b2#Uv)uhSF3gN*qEJZVqLt_MDr~ zWU0+^YD>pbX5=1rcmf#D4f<{&Lc&!f1%rz)g}bClA9rx_v1ziYBsEnP=$*6tq20z{ zqtaKSuBJ=&&2B)bfA^izV?cgNrBK4GbOMzrR?Eg`@U(HD=z0hUD_>%FI|My_hRFcG zn_b*u&U?VOg1p{FzhMPI4utCM5BLemHoRysp55Fb7nE$`PdyT49;NKM;$(rJ7>hR{ z)`tbXoOf#3T+!KWCfdyTeSLr5wi@m9kuy~E2KOBl!5(0yw-FK)l?Pk= zz&PZFj1Ai36s+MI?a@&{2cz5*i#I8GP)=757e;FRjCn{o1&GWo2 znOWBsTjP4uRHtlSm9;q*X!+N9DB?cpF0*Gtj7&~T#L!0Kg1(Fm%y~RaQSP~J*!wQx zPMPUN5Z5+F%->#E1@kk^ti_27M-c~cVorSV=VRK5Gnym+?(>385gi2; zI8hfj%=LDPe3hUGdzD212Jh4)HI|~Wtb;Y>NOZ4$sqm#p3UYo30<0+P_#B?~_zLA0 zC?<*-mGxPdaqv2bhh!dk0qz&KsuobXyh5=$QX}hMcaMFkzp|% z8-4Ct(%Z&))KE#ixK2CM;V`*;B*HYzX!xfBb^EzAqQe`P5=%+#a{CA@(^4yCPTl6C z{jy`$l}Dz}%UlQf?z}Lpc0xztk>St^d{?0{8x~6u7czu$HF-A$=~OQOu5P|n110kA z;{Ms)Se-AfOK*cs;FBa@Pw4WlVR`PP8ON7n8>=1CPX=?fNT(@GG14 z9~0^h-ZygP*<#Od%sK^H-w{Wk%HdfmZD11a%FdUTBgFD`!dQw#d52RJwa>BseV6*# zqe><&8N`J4;l6kFRUsX{MwOZKNbQbcnw4SZjC#G8o`V<_+kLX`|UUq2oYC*cj!& z5=CT0H;<7xBO>e?;`>C+xdtL#o7v@xVjPDTyHces3RwN>tox_bSf19c=7S}!gu}^g zy(h?Gs714EgGPsxQL)NLC34mmPzp}gXot81DaDClUX}`RPJUy>)>5T5UptvPRr$X5 zW!Ll?iLt4w(jMuW{!OK}p@J;rXASMCO>T4z2ZSFYOO8UlA(IoBsWvnlsQ_p)cF*KFoa7ua#71kXT%c~5*r!HerE_}fGcfISZz0k7ygpvwtzZk(|_s60TZ{Wi%vi0(%U`fo|dgj z8QAV6D279Cmm3b-5M_CT{ST8crRJk)auu4{x-0bF@BC;-P2&0N{iIo)7m3WJs0dU6 z%0-4WS}f=P+!nQLQ7HN+K1llyeVh^$!kJ=q5qZ}LsSFY7>}zVRKL4bCyYR?6D4Lz# zZ6Xg1<2mJ|69YG)5cZTkl>C+-@L8yZ6%{1m17YLaA1H^}==P2O0NVP24g%y? zAjWiq$1qNAe?v>0$KD`9xr?_sA7`Z5 z9xqakUPP6ZT1se|Xk6^8k*o8kms!`rNtRlR#nzzH=!q_rjm-47Jbrzqe0DZV+t1GE zvi4y)U1fKc*VFd-m)Y(XS?ao_gXFDm3t+c7W~*d=dxX>p&N5)G@M`Tx&D1Lkv}=Uo zxM8>mD0iQLL+2bSSmb)F+j~e2kcezUs?4AXA28lFvAZoi@gCN;z@83QFy#Un}tl@ItV$3amxBSJppEh ztd@d!Saqdd1+#w3_G_A7Y`;{ax~HF~I!|sQ-_I5HVyw(=VzW0@d2I|%Z)XABTFc9s z|L()FC#>aU6tNnM*^bG*Uv`#QrE_Xg{#WjnGLGlilz`bi^-=Ft52e^KoC8-V$7K*?{y#i@c~p{V8}~FV<`%i-E>l)2T4ri45xm)?g$aqfmW(>) zR+*_qi7=&zJMWZBnaVh3h#Q()i$Q|ul&QHdl?slT+X$8PB+L8V^L^)h|9CjUAMl)e zxvuNC@HjAXRdHNR3T(%fLy1ah-gtj)>KtaUnr_R81C=GEa1`C>_$@S&pbEI z>cN@~Yo)!6xFS~$6{72gKw^V!%&KL7Yp|bXr|Xk5tY56~?Db-``z_1lwatXd;Wt+T zFKQrCTn*myRWkpUCdZ}*x9!)iT~QQ9dsq3F#mE=u;O~597rI~uL>XwPb4fS}W^I<1 z&;8XDEC06mwmdKb2}Wg6=GN5Dla7ud z?W&c7!2?;2p5THcK9~O10nyDrL<6mGf7Y;u=1qr1zjRB*g>qEjf*bMunxzMo-Mk7=pFHuL>ndi+>B-1$r6mq(TKjEDhG zTRt}5%r5ztMGQ>e>eUDm|09;q{PhMT0_)9lImn#gQ@WAMsdS0|;cpatz4GX5&>0jA9 zCzf^+&Mis3)YfQ~*%@l}`uK9Vj{l>uU`H#07M6PEg0)U2bKh#1$Ga4GyfJH-X_SA! z;qKE{*%H6qh#RR^KJ^zJ&zwY>>1)zz4O0+>3VNH=zL|=-lYXJ>{qNEq zI(qgGc=G^=MN*O53m^RN2V-bWxs$L3kQsd(QWMV&g0gX7(pG9Z#BHTqww<8y(9IUS z=f-d$*%CRoJV}tH`eVj5_l6ki-xb?k3scLG zONR@tzTXjIcCq(h#*W**hmsetq{5#Tf?K9oD^t0?-_3OvaNEgage`2;E=XlIpldrI zCPndF=_`750?$BAh<)@n2BJOsDISTawLxHtgz%^Xu|Sit@hT-Q!*5dY5S^m_O8O zp$5c)wUzrU@Q)L!f=;{bBsDx_dX^L&Os^i|`}tL1gMMw)t92_yV0Dz^N9VmsN!-!4q3DO2sQa&2v# zcp7f`wl?%?dW@%fz_!Mr9-G7U0l)i~?+&*Pi}f$9cdM%bCHBuvM1B8`-PkIGL7mB! z(dD6v?IUH;qEB;X`<+#?ldKY-?c{eHGdb_NGXU5XI9}W%Wt)&LD6%+C@?8q%SFSE8 z4tghE6RvXeKr2G$s6r9P=r7sn)3(qziHg$DTDnP5g}%TxB0fJ0=~R=)$lC{zM`yE= z`&?%N#*#tNE02Hx_j`NtK#tOcnCRc~q(gEibg}epRX#s}=lqsGQnR?=hhS#bYaBy2 zd~`nN=WOHFIZ{w_wcViG*Xqk>zdJE*1CNp$4N6gV7|x!ueLm*QlXb^bMX?Ic0|Ft! zOE`U`Gy-?u3gg}7C%s%{`N|2u+?*!;pm@;QBxXxLKza4h93LQTaA3M}Tk(VvPuQgF zyraiY){5}c5brGydTT^tLs={G)ihdgqSqaqJfzE}1@ zRFURU;hPQGZ~MjhPjfm&5vz^5m8#z5p1<|hgfBfSC;`)Z-6(vX_SdT=*JA1o2boqwNpqpjH{Xxd z?mPXAbO%}h3wSk7>;sxrHI&}6(F#F#c#iRsF!4>=q{xvQixT;ko=&{vIj;mzM6GMV*b@pMNOmy5(Az`ok^PuSWt~td8mcrhd$;M8(~cOQ z%HUew7~dbb3o|Vfaawrp(MlKJl9oAryieX}&rnxHqHAkarf(uVW~)z;W8C1wrX)KD z{l|sTZlp%O4_}6^b8`?LjK*L}V+P_n(c-i4ZP0b;aWRf}{CYhUAR_PvCWobIiu*~I z;QX1#^p&)^9AG{=ZLIjCBSLl@$_bGq_pCmbc%BCHZd38*6K&usiJ@piC&0tsg3>3s zNcI6*gwt$lFkDj}1OKsc2oV2J~|t0f#CLq_6+B(n?wv z28!-?T=LCHfAo~)m$#i)Kit#pj7UoTs7Kj<053&Q@a%r3QX zE3{&Dmp+a2F5lx*NfixDJ(pgRpgZaGk_9QPM;$(8JV#qOAu}g;&xmWeLVx0ph1s4A zw6F*nAkzjE6&}F4s9(S;dJQv)BZ$i&xYQMF9Z*>TLb|DiQj4x9KMDTfq|~PGTr|@H zFW0*MzVakJ2FJ?;`nEizIt8l@(`|6Oih%vgq%IDG#ezVJ$$nCtK< zd|%)B@B{-5GnL5{#N~7p3;Cmz8@Rz^*~Z|u_Ptt<{)}Z?AV-cp{NE2Y>WtX01J=I- zccG1?L$8)*05vLP<83f=3Qdt~+gmV~m6ZBz*^KMoC=B@~YSt1F_*2atkF`pYts4Y_ zp-8azx6q{9|)ws>pxhJ@T4a~lstXf z1to|+$N$-EP`tgpTkGaal-*uD!+G}#?FXUK3g5#BS^AH%m zO6@IoU$A|l>+c+T(UpWd&z@b2&Tg?BPiF;GVp?5DzDvW@Q=aS>s!aVaXFO|{pHBvh zK7UMM%@{ME7M=e1i}R+3_sjk=eN6n5W381{y(F^sWBdDmtPOs+G*Z}xP2Oj9H0imT zgQakmb+!^ib)FviG@R#by%v4k9ih0GE%;Zr-+1*d=sV55U5DQP_#67XnV;c;1@Qdc zAb)#D0KTE$&nb^mtvsuWKPV_?YCh`#|0gzF`Ru^>b;Tvc&G$>`y4PlEl^w6~n2=ll zMRhRPz_8>DyJrJLEu%cd}Su^s#l0puS{g^*xuF`Dt#+j~5^?}vAYiTq)yg4)yBTRrw z2;SWhW-8m8oU@(JoeyRWb^G~R`S1hsVDzUlvuW-P|*1SJ!M>(5hX9faTZOh|s7e8jJWWF5UC_a|FY9$!*lzFJ!Ony;zBPIUY z18H(9gF)E;<`CU(V;VkbQ)`#ulTUB0M2FE?>;GV2lOv4QkIKGorIgzRFgMe$NUqn(|RNBE-`3ehV0wWZl)D<%G( ze}8BY4k5i#)kn*HoNM2cdb4d$ncl@-)aypHUxDt-7^kHsMa|&8H+beN$LgcGCLK4# zwNesvR|uO@=W|TNw3jm`Kx{SjsCgEC%M1m*0@8Kps1TiNw&gM4I_ZewyNF~<`OlIJ zR)nZFRRs%18OvBnJDuIiN-{kduNkAd+}PQ&*>@SGzU~!WS}l-6ge-!AaGA^`;5$ZSnb0*)Jmv-k>gF z^5Hguu-cJY>TAi3Lge_tihE%Zw_Z0r5#$R*7Dh`2hweFtC*Z3Wj}PWuJc+=6e?+)7 zqxC0*B|A*NgU~;V9sc*ji@`aGtpHA)-X-~#^L12WE8qPX$`LN`;95|xC)5tuc)K_@ zG=K5@w>%&-rE5W0ez3Sb-(k5gR-L1W7Pq9Te)y8x+*X#%*OJO~s*IWrn7s6#QKR**$qbR+R<9Lx}k5>>TRK`0>k zz|n4hjxm%lH;@^+;~5l3S?Kt-6`xxxtimVF<@8>8{epBbg31fu6`5aoyE0&NZuz#P zM73m7KUcj*EJwSxP}NTm*4R3iLuc=RcYD{d!soDhp*n{J;O_E2pWPic9rRm$KHJkY zEgz-#c%xv{!sMh$Y-R4lip)Hl{T4d3BJFF+y<{G|6~-H!dnk#zEjPK$F`@9h^m80$ z)JXAQ%fo`(M>;l3CzV!EqWfEpncP$2-Ygn0An6R&;c}EH$eQQxx{yQOBu8`K0!c*V zAW3r;r%j}E1v62`SyywTM&~`W2#VTCR~NfnH-2wKHF z)Qmm44wI;G?lnlRfUlL10}NL zSTQ$^6mjG}ERFmVB%3WS7WK~L&g=jZ!PmH*(6J6viFr@?kK(mkNI(59ct(H`EHl{v zWkEvU?Ye0*w>e~&*mr3pIkhU-`vE_^lFH7k>|Yi(Lf;4TRdli|Kn(K5gK(Vyt&_qg z@>pr8j?PNO-xC{wet9hSl7~V}%O6e7L84D*8)mD+6P&mE7adinvR9*1fPP2Q6BZPH zCBHDJF4S;L%AQo09zeI+f+9K(zSD_3G}R+Vpbbez;wxg06}HS8a6tX!Zlh@7#q=nR>LEV%yk30UaE@Wrz%%Ot;73D8Akf@92}6q^s%u^j6DrO6;WkaW(a9DC^6+<%@(&XF}nNiL$bZDhdU24FsC8wuC| zaHIPUfAay1^le^}0nw4HnplDc`51v1&z}+JLziW|U}m;(w8KLsXN9y|WHH{MI!nna z-`h6Sp&~j+Sbbya=QHU#$ilRRU|Z}akiE7g`BC{BMP^s>EjAmq9;-SvMzv78e76=i zIaZ(XXd$%t>R(L-ADsNW3lS}eh=Q75nx0+@GxW6SL|)#bU1V&ENK%x!;0rI`%zj+u z-A^^~I%(vH+I!~=D11j`;19dXMe#v@U12-Wue_stM)9oYY)HPX#EaKXH8+XD95|2c zAZcGUj+5ERofWkm-r^nsdh1v84{{_!IFrqd98gz|agGggOh~^p0n+PpV6XuV3*&hd z?HQ*gu`|4c_$oKg!*Zk?qcPWHPKi6_2g6=2A!b;W1}xZKGDpTn(NTR8qVAd<$w^ z9jtw84e<3ATdMu9%;ys_iG+re_`e_z03+* zZ@_8~Ek^$l4R50N7=x!Or-->(9me&G`tar>?UJ~c6hrr0)p7^PK2UO@tmJl(t;~}Y zj?ylFhld^rkJ$$g?gPgNF6R#Mu3&n{nB03umT*9Asf0oOO3ySqz!ii36@JyXuaPsL z>Y5xrm!EIeX4vnXiFk4os@tYNWnpscv^!}s-}p{p;BaEusqTl>K7O_Mf2s>612PiO*XLlH=IlFCdFH3y9IS@^DO4&D~iFBzaolukyWVOcAIc888 z5aGfNl0hcCeYb1RZ*oK7fc6O%$worX6RigDLhZ!!+}!WAAHR;-Z%yNW>GSU%;|ElW zCz!_(&Nj(6x{I9dIJ@4<48QWW(!U_iuguBhq>E1;#wY37r$|RsRi0q%??=_MpG46< zfpxZH`w$Z=n%l7)Sb5-IXIZqa{#L}}N{pUv>b1zez_CGmPB-K z0I!SRxEkx+EzpVX(8FTd?Tch9(tkM6EeWQlM;{QwK;>ndQLJ=^0RL@cn)?Vu!Dop+ z5CSCeU1%C-yLjd{P;j-ybc9eO+TdPc@X=YDSE@W5eHjCs2QVtEiNkrlaTlh z`&!}yjQB&O#+fRhy+)MToDWW3MmWU!vT>7dS(ws!qc0V-kL_cYiB`kRgRyzXv4;wJ zd^AexLo^YsSCVSp{<%EH#KC-~0+{{}%@I4h!Vget$D66azt7(;_~7E3yQ4iwkU`0Yb5S&impJh;IbR2q3Ejm>tmE9X{X<``-lIxwJ`hT+@2x%YMJG&ej#gD8e6BVsxZ~ z1yw$pp{35}Rir=#FPhO@EMZdXzIwUMfv&J;jb?_BT&|MX&#KTsw@6Xvi`DqEaOpzU zK}t+&z}&9{%}ZasPIOV=U>k?n?jn}sR7nVld;1(Ly#&sKWyAH61j%8X8WF?1CtU2u zR2Bp@@y62iOnjSsh|KJH+Q=CEor`5ytQXI0sKXrF(WD#gQ#pW-C?02RwX|$sZSsx6 z`!zQ4PAt``_c`SjSxkI>Dq?kF$^Gf``1ELhHokoyx&nOxH=*wd-I_Z9dx%Iim2=(N?kRQZNd9MGx zT)OzVzcmo>a_s8W{`>dcDrc-MTcxnO{?w2l1(SHA!RJti(`48F zB(pt-a+tj?)z3yELaSUahYq#cIMmp9#X5XPq+mu^*n(qu*IlaI+V|@NWFcxG>)%QM z@)XhYR^?hkg-$nM*Z_UM-ZSW_IG>Qq$AFIex`?extPE8!4j>Z~Bnv#$cFA?>fmLLX z(AE@c7jkH`GrGipu240}!L)`YBMW+m4u$>S?z1faDg)O$g{VlY8a)NgVQ+=AhxTHf z!;;%2UXsw?Z@2SE`kz(UKeNksym0kh_Vt}J>S#EW{3(STBgas@<(L zN=#quKb%nO)%%Kcgk#zvv7oJzB5Iazs-*ps`~oo}2wun_p~FNo+R@X-CCcsVeIb0M z-YCgyw%)#L>SNg>$I*|4LWO5{sCMHRTi8C#Mtc46?)|Hpsb_aty$4Sk;)<|Z1wexy z^tn6Hn`J3@Zgtx2l69-9W0ZpsSB@HdedsD3Ij4tD$QyU4P{o!;?QH(!RPfA$%Dpg&)x#OY@f*$ymWWY!yNUm|Ax3Y49nXQPX7%SE{Ds;P8VN=vF2u~*U+vEP67ozvKN z!yl7x)*5{8iSX)@!={n4G`GUWizUKarRnwTh0NVlRyrwcAXn=pS zCu;>|7F`Z+2UC6l8ZI{&lcNEO(9_9Hhwp6RW)ikvM4HygV}=3rDffqN0l@sqC(4f< zPICh=B7bf?Z-y7~lDnCtso>7Bb5MK5*VE*tbDHsP(~lz4n3kQR1*O%)Y(soyXse4) z-GY&(eV^$7egan4yLp{T}3ykjErJYYI`2ivj*~* z(}6rYr`B$x^kS9AxL;x}SPdn1`TSkIEQ)c@H(oYad=(alp$2!fG!E8OtcDL4KfaP( zW_E)$>N{Q)av-+7nKvSB8H1~bCO4r2Ub?~b-JP?2pVo*LPLCu#DxtUf6jTuIXfxgY z;Dv$YPD(4bQiRJ=YT#%k082sX$bBX3moMUYfQlzzq>&9I7I~Z_a#yaGb@z-4`NwGT zUMQ_9meH~%z`_8;CxxC3hnY(pJIL^&f)DARszlF)@N^8Voi zN*(#>V&15YzmZ;QF4N*@w`NW9c>~|FGS_75Zs5ew{E@Z~QRsJChB?=CxgHg%p&5fu z9?_xMeEf51C@+YoDZ{h?NtKp=Au0>6JH&Q*B<32x5afyf z=`ON(`p1?(wiTN_-SBDC0)<8>GD={0^Vm*7L` zItB9itzH38M|NQ!N(Dt7e$g8{ZVa(LS>%pz*2z&GQQ`<+#D^XXng92w) zVBO?eoz9>(vy43t6AVLbwbC9gM_gUmg)+$PQNdcdyylpmv`uh&!y2jnz(5oge}9kG zoo`(}o6fr69P9inyGX}0rxTm6qKC&0<{AAHFG_LYsS>8+dP;$OW-maV znEb@4?&)}txIWS_FkTJ}7l9^C=~w_9WIxCrbnhBnQ;^Z)_oXvkGgE6XL#@U7*$!(e zBmh`al@_EkiZXPR?c`k%GneEkNpY6|&sf_{%KidA74_w>nPDGV~!v z7rGh8&%=H>3qW36=Ejk-N&+E9z9`yPaKYdvWV0*2G%C=< z(S-8|u5S_=@8g)r%<0D23GiLQPx$_X|K?iGUP-pVJI6k*H5jUO=T3;etn4>e4RtvD z-b;ggblb0czRpYx2Dmz4aL?TpejHqCj`vf0F7? zdB_p*RDk4gwv%5|J{4~iXUMMu(IBvyR3E^7?l>UVkPmctxyMAvwoP??mfZq#$ zQ3i`!eUZPd2yL2KDHGrmSnKj@N9_kvKk?f1Wl^%j*DH&Ka~fYd2IoG_J0p^n%^tSB z;_@->V=3w#JYdsr{Bgy57SC5%aqH#$eb{rCK~Rx~14^UYXtT-BOhGiIg_(mi7pJ@= zXpjsqf$uJDnjO$rj=A7~+*IBLb zKU^jWe0^AY(2gc^tZ^M##+L5MPXL;#uq)1yD>Y#f!}Xx>c(w8|+Rn)PWWQ{_%&4Hs z=o)W!+2ftn>-gn>N7W-0Mt5b!v&C{fU$DfOw@AS#V>w|Ew8R7o-yWL;Ryw?8Ty6<$ zL*U{8^d{665+DVqAC=yJj^4`IP2nvJdr%woj{L$hkDCbXH@f~6p z^i39W`n$<+8u`TuJ=(_fkF^5IMGNGBg>qr+M8K z;p8@D!7`~IlyXB%!AQnegmW`fq-6c0P)DaVo9_1;^AN@OY$5jnmN{hmAZ2sJw=~Y z$>wZ2SuOWg{7G^VI|;E(5~oh!p6rksae9=a3kCE{>q;zgNaz@Nc86A!#BJbZ3HNpj zJcDx?p0NO=c?FUrtCTa>Jad@oy^YD@Aa`v;RFsE(Lg##PqRA!Xe)B^t?3M0OzCTa^ zmYJMsywk;dafZOm6a|iVc{el?v+po(^^5OzeRQ5Oct4|uT(GGxN}RLD1YJ{mbU5S3 zQXx`L!_R1R(KeozZx>b*w)o2zIjD)Y!ayg>?6NhW@cxwj2rbXSYQdy%f*Xu+Iw0lfU&oo;*h2*}UJ8~n`0^V)# z53cS@3VOZyKvnUxb29JT$~XtYxKS50`VOl?5N(f(#~V_}8KUSYlfL_>pYoetk9YLU8)a$uu< zw9!*tZNv^Atd`z2_+aB*hEZ|*pN;QTI^p>Q71D0;b(sC{xzF|&)C z#n?hdEN;+v+`Q>s&;b#A2az_*rE-ozn4$j9YXa^j)Ug=OPlFxDnWUSeOi*^8ersE2 z+oI`us@1sqV8f-t3kJwY(q$dfTiwFO)gnRA}5gvyg z#JH7KA`(b_F6PT?li!qTy&_mT!w`8%@?5~#2Hn@smdDEip}W#{$&oVILFL8;EIa+WON3_WZgE8@dN27D>~p1=>SBjj-58)h)s*T*uc4(SS)aY z>+XFj{x30&FEZ()V!*eCj?a(e+8JZwxlq?uqINom=_phiVO3;Z0gp zzsw@z&Ar%yD=gm)jpppwu!KU%XmoglKj%__Q_bTJUQi^`FL*sHn26*Z=0{ZJzw{|E z;tA(n@%$d0{1?G&y_=MuAojn$$LVyXez80Ri2E2otJK6;sh62Epc*CE8QaI@_D*s; zuKXPQ`>sEf6X3(|0AWyh5)FabAkPWhikp@0=P61p0>nx+x)ES8G6Sr0k#mlH52XH5 z#uVY5KIomaKBvG}&&SH9hbLmNJJ|m`iM%f(GyrPz=ei5H!g;iS+pO$U{-ppE+;Mc9 z^0gBFd_}>PAX03nZ^G!@OSrV_@LesSA5$U!0Hj{mD;8&(zpWmXxq^dQO&%sYK@1SV zf8)T3>T^9WjbPk?{vH?GV1BTWfd1P1OxbGM# zOrp~BN^+tbg#u#bezhB+2c1ucNr)>)_FV0mpGDoQ9!R{zH zR8vmKC`1r}>?#}~3ktW6h{Ghujc@dwbKlSWi(+Ivt6<0xWhz3L+$%p#9Ptu-b9o8? z3Qp4H7XSOf0C`@)l9+ctga}=IK+OvxHFEVx&A2g${0V#o2_>0_T72Ve(k$E?A3k zvJ)b1K38}R)Qh|b@Uz;xDOn4cVXn{xtUFK7g&;&wnT=qC_he8YN(dbaH2zq~X`%_d zH(Jm2E~Av%w6UjGM#wcUir_FR|BL5@EMQX6JW%A{`@!a%-?@Xv>ML{fwjkWnMD77f zop&#)_Mh-tMZg1{kmdL(k)3m$hfQjGGu@?u(&;WgDN=zfOK3dBuAVcHr_7vm70_vq z>HkM^V7-c-kNSxeuE=ZP{_+XPu+($%;xQ64wonm=Rg@#OWcHuR_d(a0OT}P5r=Q8$ z8q2X1zd`Rg5CE6Tx)h^X3L^xj@kP()yrB@hs&c#J6sC}ll zGBtjU8A+a_G5g|OSl&V(ezzSz@=1qArP>`#{Y7lxXKL={gwhJf+f}#HKUul@S)>=9 zN>L4uz50c-N6`Yt`8l&|f--H{Y;j(M;t#T&@(sLsX61n>J_|qs4wlLtfg~42iQGqK zH%&ASe#_a~Cq_|>rl4_uU?o){UgIlmMp|K0=Du&&1n@O1GmF@mZW)c+*}k5 zsU@5-mRmwivw88N_?PinB{R24VRPth5W0H|txo^oKc>Dkw~#4zjbowX;lFn*1N^O!13 z(o&6HVXRtBrGMUaOiP%h`-|ACc^F3)wlFqlvgUQ(O|u*!WlCRq^{oRpm%H5&ehY2v zNSLI9IWJHq;)gm+BV?XLom0>kAtiHWi`-apqO%!QEEAzs7;(MHU@nT1OjDA`NhO2d>Mku*jWY&=o7@1#z({b}N+fmopT$#mkdpN{T zz2Qd<>^QL1u;bUc$dHn|(*H`&k0cnJ>d~SV>1&G}Yr<-~OS549@vTCu zp*ww3&nWe{JoXe{xf4Cv z1Ma{KaK}*>EaVpO7H+34G72HOAwGTj*aIMnnW$|ArB;O)vpG8skni+9Rnb^qvvA8 z#8>TeEvP~yn`0hU4yZ}ed;oQ4MyYlj^w7hz@3>TgMhCD?16nm$GTc}>qW{gz1|rD3 zD7;2R)mI>~fIAW<*j;2pG4nRc1)|KppR%BK#Lp_?rF+Gp59Zb~VdCPzw;XFo1za#Y z<#49l9*Vl2T171OoEOZaL#k^<2(Tf1#W6m$Y0`<)eta9Aec2g@CN&=>-i7LcEpE@P z_N&5FvV*ML&O4u(SxWk#<{)ucbiFsW;9hfbF9d_ci zm)<_sxs6M=Yq8nNfj5muDyd9NLF9cb^|UY3y4UG6X_Oo{nmg}WI2YtHhS3#Twt8r)VRc!F<-36N4k!}< z;e9{Q)jKY%>O{s1VN|ZJQk{r90a0Wjq~lPK%q~u;0&(YHS%5TxdLikR#)>JlOW+ci z(XDet=W<-rPDwQ2#z>f07BGZ7CZ_NS+8kiaCZ?s45`_afT0RGu$cs%eVe$A@lb;s? zwqn{2J6gIGI(xu!N=qtE*66;kSV8#ZR)r?j4wYf9R{DN%{qM`3ZPSR6Ph)(ZZqsP= z>^$}bX4C>yP&+w$9vAJY$yGLC3o4Cv0&$GhNM0%hrW_}10vwM3=~ZBV@D1p80Q@y` zn=wH?21=qlGKzGn4VY(}_ZS7Nd>2P`<7Vj-3@H&zW7lTse0Uz=anDLC00dvhpiEwY ziqTK9(1YG4R~zpLnWg7U?*#YuL$Z-ez)1Rr$FK-UbpIQFfS={CEmnR5m&p$ zX)QgX7{4yRid=!PLN*i45-x?Cs})4Oslodu%w4@q8WI1u5e--WhVDvWsoSX1fN zZjZu8YTgzGUoMKs4SfCIBw=Xoiz*kl0+2&AKcDBm*p5dHOl+(ovXcNY@ouH-jo5_B z%sfPPL&$9+BGE63#@5F^j0#SC^yy0?ro`_OHgufe4HjMjMDLpF$tDHO*-~$se#=EB zOK?iH7r^NDI?6!e2)Zbe!}MP8!732Wp`$2w?6rk`3T`MA_2EvxRcgkTn>>?@-Mv%| zCXo6{$HtLMa?=|uD0U8eSFn*EcOchyx7y>gOi!!I9YmIAF+zaT(YLbLG9PsMc&kCR z!^Z;lUv0`k7T%`}W4FKxajthVeA2@>!aJe4&)_uHJ5TlG$hqOW)g@^Oab@yknHwn@ zZ7RR<2lP&ww|0MrVoAwMK?~V+A8^e;44~R zt^vfinuH$Z)-mn{gRoKZZYa4U>`&5V@j<#2mX6x}xg4%f1zd+n6YPG+1Xc>tCc+E< z#=1v8$kngeNb|McVzZSRw`_e0W z{WC%`TcX?^f-TNl#v>(cui)H95)NF+hoKnss<}jej@sTCZ$fNW?xaJO+*L#H)=ov< z!3Bu^haAwN(|ts^?in-E5lL$KeVG@uAH7L_4qVhtvpF#6EO+a^r(!sdn?>2ux_p44 z+mgw#jf0DeARGZAd)U;k$0kJv@AT0W$XC#M_9KJ8Q`oY`TF{4%I(I3#i zK8-TU4k~oYW}QFFLY?W=xi`yb$wOgUm+&Ql;M$%ZE0OBjs`*8qCa5>8hY3 zKz1~-7Sl5Cql$gB-_ep+YHnn3|5c4|N#!=tC+weB>k-K@{Z|UDGEfh%B=Fj^FuFBw z(_m{FEX0N>4Otgh^4*69GMi{)Tmuw$6o@AcpFf4#QU!^OI8%(3T6zT%FZ zJjftdS{gi{Rm(qZdUVnh_gx-yq-X_1rad(*y|zW^%mNwLR>#*t_8I51y*=aj*X50m zRefCB<)`Oz`u>e^^QKO$N1Xi^>?wTx9OR#eYvb^^v0IY|Z=c=tpRGS6p|r;?eT8es z7`=FX|G>&W?bvE3=j_10Z=cqpWQk6nsqVP^tNQPy^axtBtBd>f;tP($WhO^&^t#sc#W%aQb7H{7q@POqvj zc+lKRkD?RA(-{XG(W@_1yxRvs^>Vpo;_JjCu&~lX9{6X^p3*@V_6tD|3ntR@ts}JOh07NW_Gyo7cz#DY76! z_ou2rLXVk;7_}hSj-Pq{1=m*6XW?=qb!H(Ui1)zb z4U11D{B2U(KEqx}_HJ?CNnkMf%`EOIinXZfhpRmwp7 z_l@y&7kS2?e*=Jzjay#(>H6{jd_WFxzhJL-{&5Ch3F8l}pMOJcQvM4WH9RgTWfWgl zJ{rvHcn9KJ=dB_KNHFaG4JY0h-CJ4L4)S>c<@*1}2`8@Ipj_}$;S*rUkSL3nu&Ls? zZvb8W14%-QCXPcI}l8NAj}j=nlvXL@l!zbNdAOQE6fn=ipn zFGScpH(ht-PE8p3#`+0(Nrzfug!WeM4|t;G(<6e8=bBP$^D0l(%(T~u@821FSa*JW zQgI8Vh%40z!RmueocEetM;z=eA}We^@#t476~8 z(BF)$B$Wh3UfoHVvs@keeic-MIm)*bct@X;h}k)Fo4fO4e510D+YBz+#D|K8WzmPS zg~?O2_9WoIk-!aEaUBEd;EZ@)n<#5kEQE^LJ-xp+q^}EQR^UPas>`nH3 zYbMQUW-t&hG03+987V+EawRbKYV8R%@q4?ho(pj{Jam-zuir!CELQ9Y|KlW4_WH7*nSS2LyedQaZ$x?Iprz zujj18GpFcuj=AzJV>{?XgC>EF>l;;mTKrAO$mgiX?Elg=qmP6MHT4CQog5no-l^|8 zR+&sm5uHEED@p#A^~*w6g8vTmi%M#bNbLRkO3+7(!xb8P4^5n5o320g7Wh{I@kO)h z=fRhvxRDC#OOc%xbL;lO!W-ScSMAX5?*F*$9Hz90o%;LmatSF|Z!ME_>>)Aa z#3hwCY5ZlYJ|Je`iK_6A5FFd=1@}LJJT_lvugEI0U=jCwr|i_A;1{7+%~jCi)MG}E zHMCyuI~*NWX>-}kVKFTF7YOSZ^QcK7d?FptT0F6m!-ggm2c^t5luMLTfJXg zs#7r~y^TRk^vLoYxhb!*e_D%L> z%K!h{ZA-Z%xnD9{tuW*g3XPdf8;g+3AjyOkMm2;rG0bi*<8C*kgjq>r+=h@6CNnOd zDECWL#>^zS-lyq;XoT@@z2jkEG@W%RE}2HA@S*!{|@4{+2WMdIub%~ zod4pDdq$Lz%}pK7(c}JJHtC`{Z8f3;H-UAppYHY?G*|B#D8hu^>gP3?3p>UtG)8(mFm$n^ zBpZz&B}ocV$M~1zcWtJ|EE|m}lmYe@Om8d|vum@aRvG zI_W!jnC=&OLaVqhysm133t1KavHA3B#VCUm8Q*Z}(=}e8bHLe)3I!hhVP>q3i?7VT zUDvxTutuq=zr8GYBQ~{?y*C$hE2qNXa%o}Uq3MEx(r^Q0`CtP>(W7?}`&fMEkztS; z?vGcEU;4OBci;Io_RU9Wbop<731Dg)Oyo2@i4iKX`-|+c3!dH*#vn(D8N$gz>;-K{&S)^$YLOX1A&w{%nEa;>;R zfoI=*x$=t2(s-5D-}F8GszU-L`c`<2>LJe-yCfylrDO^h8vsw#XY7zP+Y>&X<76+qUvb=yQIV0Y;OhUO;>^q?il zkq1iq)a~A3_OJrsHkhoM0;T|b?om6CvIi0Ks?P0JK9~mt^BW(m-z_S+SvGEftGx#E>O$H#JYQ%caHwq{*}78@8p-k`5UT+a zThtxU)&p_+W@Sx}{LM?vrPEWs8n^m<+lkrtuHT$eu^-_}W31t8BKFX@V<$N&2KyqCp0XMUGB)eba7aVn$ zbgA*K6I?yr|IBl!L3DdgeYugrY%B<02Hre3}jLf#AoavI(k2wL>)=24}ap{c590u z2h(swQfzc|ounwh4zHS&enbH`W7~pk_pa@;D3Wc3HWt~_6&IXy6PgxkDo$#R*1Azm zjCP@nmjdg7IPolkKTA?>SSTWZ${hp;gxN;@bQ|NYEbD0m-Z=uiRJa{6v^&^<@H_IKLd6lqRF~+W5i4#WQbsjZaJM$o1sbvF!+0u zO#p9beI#(tuox7y3cOy;9WL_MWh1myq9(fPd{I3K-BKT$e`kwLM5netye(d zzNfGW`@{zz)7)g0r2KAwzywEY!B6-+LLXtxR0Tf8>e?-EOpoo<=lL)MIIiP;`F^d! zChS65x!Q#?QoAKkTe&Ma%+#N2R`kcxvTEHrmmTicyPjwJtKzX~PR=>u!Kaqz&3ygN zp`y?C_8V8+9caaG)^JyFNLop7c#Rortk!;_3hM#5sszt6TQ8c%)SV-WFW~I|U=Jo6 zv5$O(oP$0jIC-nX5GoMf6)=HWr;w_O)`LTYf51neMXuX(0muG+ukLOp*etnHTd@Kh z#s!48qX_vWU2~AJE!C3_&C>b_6!d|S4s~+vN;0ywQGjD>=j;AG)HrDr5+z9UzngOq z&ap#+&;SoV_lPb{|d&<;uq$fa7}*avhiA3G?^!GXj+aH1M1* z9xYH88{@JNJJB}c155#-`#pg*%K$&vK2v6T^L%kj4u0V;Vupj&CwGyfs#~EUE1KbI zn^oi-M>8S3_vqm^A%Qj~*XF%WLp^_uzq)|DS$aqJMv*Ke9b$Xe+7=zMwbFXDJWh8| zT9B+=J)y6FEY#bJrBo3w3Qs`PROXfS+2=e&FF6At*Xo|rr6~C;S%&mGB=aH{xx)7q zA0wozA+(th?r)}m&yTO*L=&*H2G+xIua*1A&BOh>pSD4r`F-xX!~%N?Z;>+HgWd^N zX@18so&g$hW8#%QDp0maHtvotQS7Gm1nHu^2K`m`kg#S@sAu(&E_!i?wRGX<793a%(jPyS2rR-!>9rNLkCqP zp|?H%O~;{nu{{Oc_444j!b|k|Z9NLfa)XTTi55aH6q~Xm3GlBQy;tHI z-z*otH;uSOOR4m9%e5I+6A&}`NvTH?{(iVLwO!cQ@?fmi>tF_JG$r}%`wo+fF6w`z z`ArFl19xX9rk~I?f_#(}<<;A&*W9p4RqAkALVdj*VM z!Aks{ubllqbm@&HtJ7Fixij-H@bM#LD#5iq$vjx(D?deZL(M?ul9o}}CLYmb&~SlOv~ksa-JhnuOA{PVX-Ze=>V!Y6t>85s-& zgKNm6+gG8UD`e1Ljn}UCm_lDlEyo0h`-NMaoZ2l=$klq!(fe3xk zv83j2ohN0e=bEUq+976><`WMk52mp~uSLHaT> zSwVsV?@3woKw9Ei-!kNz213~I@N0RLAf_r*^U1PDTr=a2TmCfOIrh_ec8Iw(@_J>D zkFfPqjMGS(zqOlt#LEFb>YQ0r{i}r^3XPDM($_yCcx1L%Vl;Z~*oBWj&CUL0nYt|j z9G0!xlP84Z!}JG~Br@=id6!nI7$Z$6Nx|+G7jHFb1}Bs#czgc40zt|71=h&RvzdNQ0U$k7;=yJaT+!!b3RSj`u+o-sesgGF)w*W-d6WZy% z==nCG?Z(&>wp`vjY2hD1e{KIMP5N~GEwjTa`L`?XbSZ8Tss`|-SnhmMteDK`$a$^{ zZ<9+WY+i1)(Y9_(99SZIwCe5Jp|azEjMul3*^A7M-wBl=a``dRq-CAy`_c~9`&j^* z%_sjf1-%b^^+fpl_{&nO5Peb=Udf@2aJzf+A)OqFr$ler=ufnFL#_Y zdr3IH_;M8ZwF`f+PSbU!-#vX6syWyW#lwdVq1H_oE zHrG50`96xBRhrJG9|qn>D!eukiQAJ{*L5qujT{1h2=Fu7aT1`7WJ&Cf@*wcF?+@j~ zgpu$6KXCZ-ZvHaaLTdTl_Q3FZfs{OCw0-ZTX&~n#`KRi(F3uF^O7|S7N$g{aiEVtj4*qCl}I*h-%;dAoGbBy+E=bz@dobx?pItHhAfBG||~QYwoS8E%{SmJatW#KxTSot82wFM=V}$J6$viur7=Il`9}j2vZQ`?=;t zjhjh%YN}{@N;emR&We5`-WKvNHNh#+eXdL|b0?h7LNpW7TXE^hmbh#!{Zx*>q!2C3 zhGgc~do)_fX=F9Pj{cR>r-fr@Gt}O!oQU9a;Gg5(yY!bIo+NbazrM-&0U@(7@xM>q zUO;`2|AI#kw#XXo<4P+%+iB%jOo0?+#TfoSYLy)+VcT&Fek!tWNdm!sdHf_u)$82^HIT)|=MQCNHdJ5U2x z`(_G5z-7<2fi`m|DV)ltDvbAH6#w0EEkBFemYyH9?{8ilB$@7A&+gKPZFs{6;25t~ zvW8RjYiO?D13tw4HP-I%H1@H;uJuj@Ph(viM1OZ_sm<0AMU45*{kzN;$T!T8Ozx_> z!bCwC0^ew@WxZ{Q?-uP+%`S}5`uuQVug8rveXac-CDaOOQ^;~i<4oZvE{K6s3VB*y zinSdm=>G`3T(;pYLPpHWq%wwa}WK0L~Pf@D4HF-7P;Voy4;kwJUH>?w{`dXcnnZOUPU~OtJVd>jl zlN;@CIE;?pWp-u}SCd2Tjd^XaVOCdvk9fH?@W!;3AHK06k~h^eX0L40B|gQ*%JfX( zx7q%+D&D0qE+P-Dy^Pr--52m*mPhw+8gmCJ43yeoz5h!#iNx#$u9^4eg`LniE5sp% z_YU{Hyo#jKBskH`rS6q5dbEb<{A2MwUB*+#^PC*YkEfehzq?V9 z)*rl~r5P{M?gk}!RW2>7eh4C%QCrJHau}NiN;F^xTwbm!b<@3MrVNN?zW$tbaXBYa_= zncA*a35toK+K{-1N}-!8TPK56E6lW)XZL_~TiJDLKTBGzW~)}Xmx$CU#u zhYT+H&@%em9#k)wTo|jg#j6@Vb2kWSGH0Fd_AGv!Ci^Wde4+~ZS3PD8Wuh#TUFC`Y zy-4dWNhhKmZ&ach$*QF9t?r-VOIpF;Ihrv;a)i$SewyMR9WL{nnF6*JgT>Ki^uCv4 z9f+hpHhlq;!>G3aRrJABvNGus940u9(2T$-m~`NR>iuXv2-vsl$4T^$#A072bmUS* z@=mn;m&F1PXGMjaKCY2R{k=)Qc7!+G-($#p-Mo+cESh-EP0L#^D_0Y22}y8i)Fxj` zSQdYAB0bv2EgB#MegmrE3$e5`#@0Y=z!%c@gN=UWq@xxJS=frSxJqkD$nut6My*ZI zx5_hbzOAqA68VVFNGi!~Os@54hh`P%@z09RNyj*?*hP>K`TMhw1(Ll4)Z1JvnbkcC z`gZZzhO5vOKtY#HVdJ3mrLk|bAIk}?oHeErk+SB4m`Rs0t|)F#X2n&letFksl;2vY zCw*NciCo?wwQv(Qw%_Bf9W#8{w@+nh);TWcRg-3Mix8?rMBKY_q3*rG5N1u2F`3-7 zYuJGi-BG=?0>XVZ_$C4FJ z^1wJ7dIG4J>odav@LQgXX-1dT*t*m(FnrbkuBr!KI@cC^*7t~w#=Ep(CCp=z1pxy6 ztbF}3E27n~?ah5k+&NWV^lf&($*bcf`){yKYZktxnt3l!Dh;@mwp5?I-M863y)Md^ zo=p%-p5B?~F4c(+)Yf^jxkoO2w3eUkn21(fxtaGpaUfgC|9H>SGbvZhe4Fc@8iJ2Ybf?41n z%!A1~#Gwrrfo=g=@s{`!MCH~6s+NZeX|jc(?z4Tgqw2?d&eJGW zdpwGa?XI#ay+DJKBtO2F@`4|=6@Yhe&J8d*=;@YS8Ccv}Ya7S+nsKI5#nCXgMjSqg z(sfS}t3%V>RD}*TP&SwYzy>0+2zy9&Q0$zUfYOtD@z*gcLWa}~$YAsXY}b3J8Pxr# zIC3>{g(s8U>T=1wce>pqJMkfG>I^Z`Hg~15`sK9hCu=k6Giuia)+N%HSO(U!%HEPtyu0Bz>&ILll4yXOcjY=o!~faqt=D$k$&+VeW{v_QS5Q|1D^P$Ra6gqb{s66rE9 z#*HM%hWq>ESH8Jvdbq;Ct+0tW6GU!~zUhWg)K5Lnx-7t_hPf+RbB_c*q_gGhRcbIV1T4>niwYxC01?e6%6>)D~7U9`u(>KyjwBrUZv zEv4{VL`_sq2%=P~C7l8ZBC0TsOG&YGRqytvrgv!(r-io#E)gKzq5<#;_I^wQY_x;G zS_6>#**LAhh~y(lPkz21&KilUk)$By|=#R`R+gX}+4hBIHxN?rU4OB4VO*0nOh+7(;t49pSWCa&$k! zUXhUyjZ5w(?-i@VxRWfxcSJd^B}dg1WkZ8+Z18Bkia8}kYoDtG1w2acx(+^Jj>gZ= zo-=#?^yza!>K!w`myedVf4?6>2>#(|P$S2Cn@!AuYu?nXDEU7*6g2T}N;h50&{5%x zqO&1fmBR)$o9$lu0J1yqcul2nfqoJDT5W1dgoZMlM5jj z|MZ-dEJJZM>J9!j`{g(dNlYMSRvRDFa3E%FEnD|)0HQd}By-@C^Hv5Rsl%=Bj3!@8*!Lz`o2rRprC85Df>j^WY|9f||kuxDKBcITPQL zlxqRe+p*g;8?kfC)pnZQW-IgOk7Hf7VnsL;+}i3nn}{Fac%QLY;H<*oIkXAldDJx- z-Z(ehlCT>{ja%Y-a9U!Ar%LdUNm{%V&&&f~Lz*Bc8@4W=xSbVo>DzllEhY5d8)eM3M z>&4ozI*)Oy{1EIrzrK2koYRy%TtW~Zo^@YcgJNDVp}&?8-(N*xfj2V{6bcBtf_6mLVW{)-^9RIX@a^<}U>uY`zu3#%8`<7@r}bdf z`YkICg(=fQ-RJsGcNO4;<0Ar%1#^G%E;9Cqm#jT0eiUJ=QWe;6IP%^kEsbX2>fk*+ zvEtivzBHI0=V=vvfNJ%=O4jpM&VvQxBN_Fph9fD!9MwzJ!);$Q-Lzq}g2LGA>0yRCWi1bV?Io7Y z6{sw_?{f3TCk30`coB3*S;4m?Ey1euVR(C%%dE)Ix$eW#B`+aqS^Ac$Iz5l;HJuRy zkx(%44Da(ZK}wIV{)8oYCwpEbu?3OpVLj__hI#$jKSJ@84h1qdKfOy~)RJ=`nN8ih zN;<-&DiU}1vjJJ0lYt^X@Qc8NbwY`-!FC#=&a-F3XR%Vt{8o{p<)p0NvRO>gS=;7< zyr2t1H>wwH`btY1XlsgVvK-&~^ytc$f!M{9TBKam*{iz}vXmZiolCtRZ_Dmav(j*g z3ohZhx#?;JW0+!-6yBNNhsoG@@hk3y(G`PSOP87M~?sKx4 zKXhQ`Z+4w{FIJf9Qj0Wm_I@hS{`luystsYKksmy-K`$vXv@vcT)g+kf>e5YOs(vFhX5*J@!QA`p?@sj02oqotBF&b zsMYXn7xjcgfRMjM@0c6lOqVZIo3#HQO|UG&`rjRv#UKCO@v5=v^QP-I^UK8dMI0LK z+dBM5+8@h!Kzz=7z4;B4J0bGrDI4HTO;t>+0`=a?*1_BFIpcu3suS@$;Jlb_loZ>b znekiExW}R`@&c@&Ke4rC_2b*brmFsDVocwky4}!rKsbn5j%t^V*}g6oc8@`E!>|L2 zN);I^ax&5H^D(P4#REgboSUlKzPkrp^!w{%+O|cnAm%J#$Fz_kRDb;S4vxTyNxD8a zIg&hHKp@Xh_x37%d<9W23K8DY;U3AEl{V>+bY}4@`Z@Xi4N0JM_-$e-umO9vwwq-C z_x+#8F)gms!eU|Xy3kShh_eRX0Etl`I#1mMP06+4+t-;%3#FKK(igYmH*PxYTp8Xk z;LH-4tDH4CGQKW*M#RJsz7FC1nUA-7mv0c(MGiyiS$SbO+q9+Nm@DLzS8YaF#9>&e^-`>whCt7kp;ZvjK3X_>JuDefv$_aDL2%wxkOW zLy`hH_x9R+m~i$PC43{}rpur2cQH9$bMteO9fe6>+gcj7*>ndG;)>*Yga!vtiWGr$ zMS1MwEiA@MBC};x@cU$0;gW<-DqbG&bZYx^8sM_G6O$rOtk=GMuntxg13o1Vq}~V1 zV>l^iscKcxPSOed<2lwt$q7>2v{-$y?#Lu?loxkxm_(fZpUj_wClUZw&AcaxPU0}O zIAZb8-P7xnz+hwL+5IEM==ZhdF)Pepn2es~oNF{+_;JhaYd-*U2pg~g zefW1rz53SIPEnCwvChsr7fSBsI|)Y7Z15ZN7f*9+G`FcZY1_A@>61q9^+8M^*?nXw zC!rI(QGoXUN!8ic)m5Y*d@D%qtLTBu4LXID*~tb-S1kLBuVSIC9SG2m$u1)zsP@Ej=~Io#9w?;pT2D*?d)>FZCp(& zr3PJazP9#2{5nJqgbA$w7`7>1Rwz6r;XuE-{P~$YoS?Ah-pgeoI6=zvLu<+8;qA}j zzJf&jF9AaFFmB`9giRMUk+Us&t#S&mZ!cwJe>d?#8YY%R+6^8tac|oYfBOFLi$v;% zG%4KhEOUcAz4b1q$+B*4{R7kYxmZEPb0dkf1!gR-uDL|#Gx%Qtr{bUL7(zX>VgAsM zaaQ}B0QGbDzuyS<=cPVPI}C0^fV`7cis4NSZi6#lgNmN zw?c<6J^p2YZwI{tVFYo|r0P{G`~2K6W~V(Qk*HO z`kPWjSU{Z)`KHN7JP5n#;FA6_l4rIFCgsSRkpnTZH>qtsS0>GMU-S7mnITDWV@{3R z+(2=2?6lEB;RBL`*S#x~8~&EHQw*Ed$VuvJAq;8pX_M`*;6v6D~zpY6+b-YBWdM}<8KnT%Q=CiUy9E%eI;?yHp?7BEubGsnTX*{P!0WO z;~UTY`a+pcmd)4jBeLx!;BX5y&1=ukxDM!je^NCSv$q)efV?DE3)gmUb5;R}`XT|* z?>-aXy=_7hFg&{;W*?v|9-Zv?t_A>R>VPksu}#gvgqx;o-6EkcQuzWK>g0-O?|J(D zunVyf# zreM19FVQMrN((HCoUY7V$Gn|<1z>lZhrpLhyGzAS}2%)y0r7Tf=7V74Ma48Z_sv-72rmBWonO}IM z)#@H({2=|LdWJ+Qqe(Kk)r+TRSIu6#uY~Iu}KxRtEwbpT<8W{=-zz2%~Us z0NS@^biU}^3fg?MgHQ*%pPt%ur%=B~MFXT6$o%zwpB>IHi3OguI3BurK1YCZPh%~# zG^4KQb{!~3cG_wn;{-QNMgFy?dGx8uTw2M*K!ttmA^$4dyQOT!wWOR1SGW4BmPT{h zX#!%$(36X)JtKWs)(9P^?U}A@OZF1_rKQmwx?~@Dxt}NlJ?<#Yvs~MxClPbC1{+o7 zsA{V_0~ty8((8!v!cJHZdJcGtzHA&tS56?ab_pt;UrkmJu*9S>@fnfBo8>jgktdTS zR-Hd~>IFhJ4xuA9nTn&Pl6AZG<1EQ7TGAo(kI=$;Z>RR@$wg>5m!vIlbb({|A5mVi z&rV>}3p{&ywc`WkcNcN{zATLo>M1g~)zdx0$~n360f%x21x;Q48@6#FDP_MRCyYR1 zs_^&_$ic9E5foGNCg7Oty*|Yss)I2tLB19_(u&M_M@4z`KEIrw7H_?Z@_Z61x#v^Z z^cU@>9cXWU<%K@ zXZT=b<*(zdjQw-rbD$sR0_#P#_ZUeZ01C?R_{FAC{V|j(7pDR@h#VmyZIdXzhEmh@ z%gTz$D$}xD8uy4Z;+b2~xs4Ns8v`nQl*7?hq*)oh@Y8sV7sIDW&-QP~%o=%jKv3bz zIuOP1ukz1xE(2x9oo>GlJ*=RxJ!%7^D&6W6vi7MM`qXgUaE?oyMqzVO9r1P| zb5?GC9|g)j1MiesGkPQ)*?x#aJc4n2#k37l@(4MUZX&kfmZ{GlZ4wCI`agk1b$EdG zjfyWqUaWD;Iko8yuWNe!e_ltK^FY`{tHmdl%ES$E(z&E_iG!iVrq#x72<0u=dk?V4 zVT4YX#~qvo&-)WU(g>SosCNllrHC&-bJF(qouFd&Uir=|QLnBvgE;E6n`x-}cJGSf zVKb~6`Ud-{&x^76D$klu8Mk`ePboq_m{JW$7z*T2qf24kx8Y%c*W{x25OG{iPF`h$ zK6_XxoZ1pcyA?N_f>7$3)Tem$CAg=cLg$Aw<`e||Z{mi3SYqxM+dYUx%#4G<>IZk5A5$ z<47#^&6&O~TY>K%Ex1&&COFVQ?uD)jT=YOX8Xu?uKdXYN{Y-hZx+6FhLguH%rqmqZ zAmLP-Jci2~BkBOx7dWeDLPu|qp_QsJC17o^%| zck`jv#*G)qyG~venLQ%oVf8JYqlZhQG)k}8j+7s^dhltSjtcvHbV{}TZrsJOs=ooZ zIo0fG$8Nyf()e~pU8@Ls6Y6`6l@zaT|4;yv^qf7@b-jP9#nR-D#BoXIZkq4Q2XWg% zB(N1_e+MoP(-eRfuwn$2fEe36y~>f)0dJCH7;YWfjEIx&XS5K{a1{%nTOfTA04}gU zQ-;}W_3w_^Y^f%PEhAN9P?#-oofxUoa3&S{Gc=d#y`XCTyLXe{FSWtT^T(xxdO-SH66xZJ7lRN@ zAGd+i>!Z*uS=^fCHyR==Q>e3;iup}Zo5*J|Wf-v>1j)P!h+Z650znMqmGzkwY`b8S ztkQMT53b~+D@2&3z@Gq=u!=_D5#OP-AGGxn_7OMO#rpwmei|C=@i+tORooPi*k81t z?2w}q*|Oh&>Mq*ZJNf|oa)_tj>QyYFmU|Fo9Q~N)dB35lC~ON~h{wsL^_*^pC>T_v zLlO5&Rx3RyAQHs*_79at`5D@BF7zSqKD%7$S$5Xm4|#t3we99wRxfv1&pzhEhcZp< zSIj}s0qZd%F}q2@ur~C&od%q8Uh)r<3pzcP0uS+DUV00M-3)lurgF2O$mL_i{tO@_ zNmh}LO7#Kj^d_|KHP-PPnHxY-61;@}mi#xeM0Dfe3rw{Z=6;gh7-~Dfsn`dwd`w7x zbtftk6zeV!zm?b9zpC9(~JuUE5gYiNcXd2QfL zX{k-4o%N>uZ<&r|*Sx=yE0VtmTD=`;o#c@@eIR7>65fPlGCEY}6W@FFP&MKhdD0UA zH>CDql+h>uQ)-ir(BOC$^*d&0entZ4mbhM7Xf7CEo<%>q$&(x-H*XHBofRB7#mv|X zvAK(?0q@!BxV`cx^fd#m@O@_NemVD<@rtxTBk!1UBZPyM-Rc1KCm#J}8}fQK7)8H7 zKj7UMYaRnqZ(_ro3kn6tdnbiOk-TPS%ZWp&FMNtH@yBXk1Fhtkm1^XaP$iON24vMy zq>I3qG?JW3-Jg6^tSl)_J`~ApRm>uXD1QG5q0x%T1PzGT7&GhpLTmjN$_gZjwo&2; zGb>#8{v>rL^v)@%f!OTvq&S5C-leI%2mD2TWMF5ucC%SbdP6g z2aSzsj?Y9zh%OMxLVGAaPpSsD^-7OP9#}GFp0k+BBnw`mBypaW+2O<>Ij3;*D14?O zJnS;kuw@sP@ z2E0RiMulTl$Q!pk>TX9D>a5lrEv;(^k^gBqr1B4%Pp)&3c{Izu<>&&Xbm4+WuHQsX zK$U|hKDs33x`NZ$wqQgyXo&9Xr>}xR*Z=hy;rB7>5&rL6yD9}Ts8jcZ0>I<@J6eyCAHurdxABZu z!wt|=AGA-C9frespm1clt{g*rC-&=5I$u7nK?Z=dD_v!GP-F z!h+kZadz)WA;)+k(DVS^Xne75&3l{E&xC%(&8~OjG`n_+HM7rxBPAQyEn>LgWY#X8 zDU2nzDzcL}P$kMJOd!X{iTw$A;5z28+-H4z5l}-i7%sZ!VtBJ+;65!jW`c|O=VZ`1 zutwp|Dk6nD_4h}wAqX4Ji#||{WQhlrPHd@~kNYekNYP!tHK; zsDnqXsl!d(6J;bj8Qu6y;oMMQzorwjwj0}%yr`UBnN5BCknhp%mry<>9aLParF-UJ zK#u%va*N}dT@`Wsze*uL8{9mD-M0Fi%`nFQ%-ue(R9yJqz0*2HP@?LEmojX;q_*W z?tJHj_X_qr%`=uar<5pzh;%zn&)WvfNw@R0$k^o}gdvCub%cR=>~5k9&!May)*+z; zrrnBpOzk*=t~))F-A&zx;hx5K9UbX71LgDLvwnmo`zTz+T&dagF{x5GM-jCXnEZe- z_U?L-Z}Q88IAML|C2=g&W7K-?nw4kl2in@9z_dN%&ZsSPW2M1Vl?*y!B=u4RmQIKZ zGQVbMjLHElR4FC+Flb4Yo@sN@(w2uR^>z% zM1rtn8WRp%alk#>61KLhhNLMeI}CTu58uK)9%2S@IoTK`loMd9h)^V*_Qx&E4nQKX ze}|@U88YHP0WNQ=_L>p5yn_4_S~<_j>evyNY|rNb7V_SWO>FBacF^Qi_ogzEcL3ch zf3nG{Ai|d|8Y|QA%8v8=D!l%`mBGfS9v9`;j>;KDIwzl`=@=JAdKXVcZTh>8^q~D% zEz3^GYod~tg0R+b4&;o>!f2yUiEluNRSEjpwRy~~S;UJZ-~MJ|^9#g5=?UTrpygoz zZB71I@)6qVpuh;s1s|V$fyiO1nIh+PGSr=0k@!yMyfR|@ z^-gQI$4hx$KAj1hka%t=HQY8Yc4eCG@aXVu`j&qqD`>?hF5C%Q=~G$ZRzkOTeo*Nd z^*~IWR~H{x5mrkcpnsB%;(h>^%X*Xes-&bN8o?UY5`!u@6~WK%!L*S)3jziGsl~um z25OP+5+8!wAVqGQ7~A#zWUL~P`(c0}_$2ga$2$CL0ndVHaasTl&|{DEPq4U4+!g15 ziD)xnBYoJRjDCZaJU-)F>)eU^{Xuavd#wKAYCHZ$YFIhKuooDM81$i98^?uSw3q%> z%NuSw$Pk*5*@)-fj}KFplbvXR{Q5oXDK|HJh9@ut0G@ttap<9 zU9#@Vta@KIM~f6YLXr`Dk4Q(Or^UN?!?NNd0>lfuQ_}qewcds^JnA0OS$KLLlW7Zr zo6hjmpkGQ5`2fs7>|YAzdjZAd9=C_pUuw3FAdxD1wjd2IY%ex9epuUjs7!PGx*JM7 zQbQkPIhO-hiy;;M#d{+(y$eGfL0&Txh5A~a|2)%w{aUuD!oy(W#*Lu@`mD5%+Nju1 zc*~Tkou#}8$F!2%frNU$$b~Eia)%`qVn5PF2K-fe;4aDNA2KTms+=$G$p>3v54qI} zA?Hpbvv8twVkcM?ni^kYzCAf--3Gh|NpTFK3+f6wU1p~J^C*4jUFRcR)ZY|S)HCE1 z&A+~o@iLtjSlL>rQ?+OKobLBsr)H5_1JuI{LSp8|0PUo4QWet5(DQkA09;aNSi52K zrSC>+g72y+uQO(z`A4#01X-&~<`Qv{M|rWH&1uR1lYC_jpof>?(FQ;pQQ$Fir3YaK zAG7wBdP|TzG#e&9T;jOO6;S)BP~Hkc7XX23k>x57;f?rYMWn8cKrs!a*`Rn(V~XxH z+EC%#X^aveo(awC11&?Hb-LcJD3mfS4=ovo-_f#nrHv@EBAKR66;yAX%W7nL(Cqb zh!f#NNbc9?FbCA~k=#%U2!|#Jjk8~1)H*!sW=Pg!%rLveGXmiDCYWrv4AoQms0d>5 zX$oJ4Qrr``1Nz#7kR|3RQtr8H+VG-Umb_}Jht&hqoYy>r*h@MmEM`5k;&cxmPd}Xg zI5wg$a3G*n&&?yw_RycHW^hSSm>b{(RtBng)vrY$-{k1)U9fTunk|!^_Q2LSqo+_K zIegQwWpY#g>Oshe4@#wJ=q(SCFO%ohk5Omh899jNhv=gt7`*!opUVU$Ejzn)zn9$E zdM(qBmXZ8LN`g2&)E4)(HU8b!{QSAY6DHCf$%E2kqg{GLzElYi#LS?Qh-4d(6?4sP zMdwP8fBMlXTT-4%<3zO1W414SP0#jjk%7Cq?B5l)D983x;gt4zQ7o6;Algq)SeL7( z+2eF{a>CP+7Mse<3ip}5{cI;UjSJ&Nq^s+Jt|RQ1-c;j=zHz#m_!M_hd|+Bw1K$@N z#Uq}mr+3(W6raj|!BLhX!xO^9KmNNzrxgJ^C99FtI|bMBdl5R|p65VcSqBM1e@Ib}v(ZeaD-LY)1=Z-{Km z7yLJu2MgZO^gWAPxM+PTYt9J122GzAOfvcyAnO$6#l`%|+(C{o&7$r`?E~xnT9+Q_ z5PVGJ6pq=w1$aCbg7jL!POwuzczcRg{+a^h!YT(+VHPzDI0>LGRDt$uf4xcY2!mwG zW$M5MtO1QrZnPs+{z^soO~XlQQ|$6&1z>yasrD)J0~LQ=VU1^MKoHZiLep?QOqL{_ z%~{a0jGO>f{Fk3(UFjm>D9VPs%os8$@_$vM%w??ygd%Emorbb}sxCtzd_XOmSn zkHzJ(52k zmR<*Z(ZHn4v=Y7}WM2|EW(+Lb;?mc1(=}dQ!egt)X?nXn%We+fyn90Q5`agh;TZ*M zH$zc`jhk=oL`dbG=krh@(u3hs6BE09KGmz23q`m3@$`-^2M1X@(HxLwJ_R2OTRp~8 z;7pMm2aXJYmFNK^oTL=33S)##aD6Rc+6-m#sk1I@oN_CWPW;d)sgxd<4wbC;U~CrrDIGiS3^2GiMj^tWCMS6xzBha_J%bD zi$FU2sGvc7d~)sKTp1Bt%6HwUW)(^v`WG1{VhtV4OD}dzs34tUY5qCm_y=Kn{#Frv z2Wp;$+DzW6(leOMe;l1tW#D9VdLpJuGcuti@^lsQDwLvMn~akXR{ZbXc)3Zo!D<(|1Y=0Egz6~MUX z=iN@9$+kP3cNpyW=legDk4z-THarKMdP1f0e>13JRH9U#bbAf19O*d1%{}EmKDYhF z?uy{y)Q_WM9EV>otg40k_)HRBHN84pqCWFibmJ^2E9}sq5x_-WRcV)TaI)|5W~2n_m== zNFU5Bvdxo|Nk3n7YP#rI$#qwHe~}UT`Vr5xt8v<*pNg&@7!oc|n(P-h*LQreZ#Fr8 zVqm{@H|nIk+pdoH@SBOvpBkHqr6$@+ z2JM~d zI1N-9u171(f`Zy&e24#&aOI9l z<5Yo}gYCN^Iza9fBjxCtFVY9tj`B#Di$>+z&Cyedbj? z1KtF?1hYimqG4!yKL_Z;lDgCZ*V%L>%aAbsmI6aj6U7D zBwSnOI%+K-SGPVZO)7x9XFfV^RQcgojH~J8 zqDAGIfkll3rq{{xMHojUC`5H~Bc2qBvXCHT@=S>^r;n90uhi3z6M03~QD>RblxcBc z81vpI*1JV8;*%P$H_|3a4SN$n z@72+->%E6hIDYjZu05|z43>Ci538+wRtizHW)<|Ao5D-4ukyirTRuG(aA_)aLm5(N>0!K^?7q~II-`w&@#Ij%)`A~)MqK48eELDY_~*<7#A6x>LgNvKg_*W`lmdmQomu> z&(_}c%EzxNq%)Xgw1HBW{yB%p@w2?=V+*3g;V1aY6?#gv(e|!c;dRn4y4>UzqYdZ= zRL3sp(UwraSUqX~W4f-(K_j_EstO*_^>`02CPqa(VN1c4yP!O6QuOmK+WN(B>2bsQ zRD37yEWNm$QBp~So`QS!A zrEu7gl^e_(uY0DBy0RJHCdgDC!+9xlMNc+lw;i2q2?YVAF!SGW^-9DNGCl;s?>*hy zg%f7p%H|dav3qAYf4Fk|PbM!0uJJX_T0is01Q_GJc*W}D_E++~a_!Tl30(twW+DFDJ_2Oyd8N2DEDtGogO7wag))jCo~tLC>(SIWerQv+VE?<;PV5+8m0TIw-) zw;)n4@)pKY+%&`!ViIXw7hX&3Gr5~`9+VD2-zl*qdKy}d+0dan8W7$_{zb+7{4azh zLHvbkwi;)PvA;BNcJRhe!*=!J6Ciq`=(S`T?og!USQVB%v&eJ)=6DM%B0{H;GMN-~ zG&>In+*tJ0+-c^4B18=|cLTeO=TarEmL_)@=Zs-OjMS-t=C#rm{1#@@CWZqwoH>DO zLN1<(@y*Z5GfF#RqSjrv%HVe(S`rp$0BK(S7}$FIhPAtVgr=_DNDHeTV(u35#vX8_ zprCnm^GT%Y0&emC zk)p0|?QmVnblXDl30*O|kL?G_spbhtc%;{`LRo&6K?2}$!V~KPr_;M_81Li`Am!(2 zOfk`$+_9amSu%^xUM6MYcBR4~A>B>kbq^ep)9X0*Q0dI^8wDc$xt->W+4YD!_#>2a z{Y-j=i!>i;;AE*j_YBMBOp$3oQJBr{>}EUf%=sSNp_XgJNF;mzCZ;$LCEW$l2XpSy!MmDHfR~C#BPJ> z|46PrbuaQl@{4X*`6z!aUsxZDdEiPm<9(5>df28KJN%@3@UCUoh?UO>#wHN%q)-6y zg}8_s14JBc(cu;q3{RW7<%q(;x;Au-&n_B2B0w-mw!Ef`T`WkHqY2tvFbRKibc7 zumUqRg-^Eq^(dQDRLXwwQDUK--S@E=0r&!`m#i*t#9208t23k?5Tb1BQUtdug~`Kgwzl1Ul~72{#11G@EW>jb!h*x&3375d^Ex^oj< z+JJAiIZapu0!|)SPWFIycFMy0$U_TQy3KEnDTnUVf~wvn0Dlv0;d{G7^rSb42i|As z>1(%;8@YsyL8=Gd?m#2HQg;Lmk06epg-z{+nS!)jmF;We%;4Z^{&axMvS#9aqhY;yw&2E&k z)d-j{fXheeu76><}o0ypU zTBk~ELw6$qmy11w<5z6EQXoF5ZFglr6z4gy=ZGn0Acm3uZZ{JuB21 zq2)x2!3T(&DV4hT1=I_de@vivL8A>sr)<3m`#t1uW!y-vP^*)Rm}ZmG^HPg+YZ(Bj zxC~F~4{z7arp2$@MBdt6&GezIily-T@mAv0mI11H@O5!rcsANSpF^p&vhxVJgt2LziUQ z&P1wDM4D5x#}=KMu!(hu7qBw`0xNd-gIzBvi$=P!Ds@l5aklh=C74j8I;*bb+JO#z ztTPS6)SbVWu5-$Ijf#tfz-+uR&(L~=Rys#PowqE!4DjQDRdD%%@K@g zy)(pFYrfKJ9OVufGS4UVh_#Qk8*@J`iVx9=l{Gt69%O3mV|E%`N0o$Ve5u@oocUMR z*ivqb;&V3_z6!KM=9>A?BjG0^$QOjR9Rlgv6mEar93_byCHDzbZ1 z7>wBw8c`P)RzfXZLmgqNuuzc03%hF>BN+es2_3MI}Yb`i_X zOK*}U(0bh#?;9PgiTdaI)J-YY^7p*0(lZJShsv#a4aoIb!aI~tPFF8wd50{$cvD_F zRH^ax-H&msCFkGT+3(j!IxR4Q0>Y1CmFEU*y9xEMIEW=WQjp@n?txVg$G4qg3Q=)A zs22eAISQ&SyPb$*k^h47C+L1qq!=XBp@7;?7qqSlM-7MmbcQbUb`IX`U?84EOT*6? zHB1ECqnv;QAj{Tf3>#o)*kMhAJXQ)Jj};lu^lK`6nHGwny1mXzy`@VzRD&KIVBxHi|w3KVRlMSpgHLM7tqj;3&|6*5H1rI?`%Pr`|gD0v}WIEL3@SWW^ zrzWhNWg_4a4ik;;-nO0Wz0)t!;o@c5(Nkr9r!m(pNZFn)Eo*W+5AI2lA{;EL%B>hJ ztSn)Xkyig*#}Ir1gO>r!jot+mADw@c>44=QH4381x|w@sOjBw}Ntwdoo+tH1r) z0iA3_msgbdo>1Y|I_4h3Gp-hvLg3WZaUj6&IqI19%nv(d^oSpclr5QcBGordJg58L zrSjy5-*-UI=T&%VTcf!$iY!bGi2&JFn8-)ps244DzPi-s;ww3}W*%C%xQ(_6UUJE| za_Abh@{Fi27TyUs-ZLnr6n@I2&oHpj>+!p`_>Zin7F&>Ib=dn-Ll^$cv6$ur2q6f* z_khTLFe0IkTKuO>)lNkb!RWsY<$kX%@3ZhpyzLy6IJ|xJDT7aGP$u&!? zg>gmx$0m&H$^+eX|1RvbxcNkzs<((mhr!&gdO2|p>IGKlN94z1$0_aC-T{^RwE~>l zYHNRg>JJW!$`Tsud_Us+vB#LOJO1FF0C2FQeDuLYhZH1a2fEb#UWNrJZ+}3WG z=M~3StS^emC6)?jF6p-vXlRYa30H}UR0o$v{|YFODGRV79$CdKPm6DOE#i(ve~s2< zy#_uDc9_n4lRf}8z&cDX?uEn2M(W#x9-OF}_#x)?yhX&5U|uo0aW_m5h&FPY0^2{| z{qaE%+!08coNVKQ^Ddeq-~CX9heZZlieDN|azJ$HxW^__FL#aSlZ?_-i%iYm7TvIx zJ5K(rc*Eb5GW2l6s<`3oS#gxpT6jxo&N`VGnr@6q-{wXT-amMOP~D&8v@ zPW1%;=qNJ}SX(Kuwyb4k8ATL<2gS<0Ro8{%r{0ke!qB{@5o=RvMI zE5*O!?(Y1|o;s>#H9soF+i7;bCuIWWCGZn3m!`H)H{*@Q6Zl#{O^Yl3r?&W0G&n2y z13C5xp_(_g4PQduioy}G8=wWA2gIYtcN-UZrMtdGmG^2JFxBy0DEcjRoofg3|9)V1 zQJ}l{xR5{1D-moyTZoSI23B^yUY<9pAV0V?j)9f5ZQ9_Z8AYzon{L+@!?)fqmKjXh z-`ul%sDD<&VzN+z4B0}qR^TVg0_z@>-=Jop2WLry23V5%@UwX+-LZ@b?rX>rDiM0P z?G$@(UYqsqairJtCDTTVjoMdmgYMW%I5w?GS3(;s|IJI-J(ugLb{ z{zCX|3Pk=z_W|(0=O)2+*wc9xuxtCLx?E+wLx7&qWVVJ`ib|vrU66|DY2s{m7G-)8 zd6e5h^#Q0vMga4rq>`XDdmWnsbVMgkSeGC42o?Jc_M(l#o*W;wkFl!Y0Cv7n*P*_!fCh7bfnrYuDt*=;DKIVySNzhKgvlQ zRd^Fd52cECgl|4!pCrL}tlqm+l$F&1?ZcEmL#cWS99|}05E^nyt={vpNCMMIGAFK? z@>!OZakZA}=a-u2VUAm39NuP>8s)EP^`CYkCAE}Iq=V?GLR6nE!jUZ-$jO7qsjrUsDSdy6tfOIHdP&_!| z zD+~SASir^wKNr9GXy@{%uc5;^MEREH0e%f(6R#SY)UqKEGO!$O*5iNX2jMXLV&q@7 zAHJc$7*Do*q%gg`iWGWw`Z+y!tP6J-pzVbA=rbQj{V$FaM;E@)M3r}AsOa7ol~^?& z){S3)azyEB^25BFnev`&norGiwzKiww#3+^+d5ULqxA!Q9rjFtbvC@Ajtp}FYU^_39yKRYUXvMox(|X0vde!e~TURAvuyM7UC#MEV zOvMX6@A<{A$91r#5bnU0k<;&uGg zG~v@AU!UJdHguM3d{`OLK*H4{H%LYLD z5ixPU{Gl}vSE|O(>3Lj{A$54^YID@0avH7{aDpQ_h?KVQH zFgLIM<=Uv?JyM{&NngK%-0@#0`rMJ?!(7AU&jF2aMAN+>RFs$G6Wn|rNI=(9Ik7s&u z{2wWav`nCK93#Tn&pupT zM*4Rj+oiFN9_mvfBtosr8D*CKV~kn0iM+8=U>W>ZR8)LJ>E;eO8671!xc7(6^#9{B zhN*(sAU2|p5%QIUF=g+x88g^z5mupGDrV5N40c$>%<5L+9QY8CAu5K#(^!v#rCy#i zTlgPEd3@Z|`LVG-xiKJ317qU}*rR3&;k?`55h#Z>zfEuxvEyQmm-e`_*nb z-)YiJK*U_hMEi$CvJvHAaa)$0ChtW8if84G@C;g2*tI!aoHkBlg*@i$znZdi9;bws zeMe>^hOQkF4}E9rzRd>G*b?V)QY^c-8#9e$b`)UuISc^9SYv^$EneGIto1Ab z|LTTq-)9H{wZ@K^JcDvc@`ut9U&sv|*Z_lxE602E9>)HG&(`M8AIST)`8O5`HkSGg0PoC-Zu{@L zBnk-_nq)*_-LMu7A;pSTn7mStMGP?5E$hwc;oIc(pU`2lJt77j z(ZUMmZ1t! zxM4sEBSXGD%&NV0=W~>ohOfQ15^VL>V4>kUAk>9-_YE*{*sl|k^%p#b4`a69sho{` zqy=z6od-&n+pxb#UlN8d0d&^qgN;RAG-`4|{WWjUx_!T4SX;MD!}@G2Y8=pCe{*OL z_O(uxCBjzsE_em70}%{D%Iz=0`hGhc{U+#U4rx)dwY#f?4nWI`B9?CpJ4K*6nO=d0 zn{*sKa9;|yd7Cy z&FqpE$pAN^@Xuf!w?A5ySxP=7xFzT8`%qE`s>{-|ffpY!d zpaI-M+N25Z?eP}#O0TA4uTCNFPGB$A)TW>YZc1W~u4WXx?0UMAL%DG)^}BBiAe1g# zwyT&CjRj47mR(Eo`YAFE17cpS?iLPPV=-YE3!BIf$pr;z%XbFEWBRI@9$u+=ae{|$ zz!SHO0h`8l1tY3eX6p0-bH2)}|4LIMc^cI&uUtJpP51Au*Oj2^#n!RD<_g0R)?*Lr z#D6C9xDYzqE;c_Nt2;0UhIAk-|s!K7?_U~aOBY!%B;C_63E0e zc0UO>)>~g!aIrV$s~D&9d0ooasT|qPyLv1F1zYvm_Si4Lz6B&)2ARy5GP2iBhXd*_ z=(D=`*1{zL;KZ;Nub^u}qkR*vS)4oK`0Xk$!v;h%gE_b)mc-ch2(Avf7p4Op-eLvy zz0a%!KBc_iZrUskOAkzt%m>Tl(#(ouD}lyfYiJn#`ckRzdXpW2qL7EHd`kAk;tDk{q={h3OB}49o3n6(NRz=*#)mHA(k|O8^qqj;_;xYf17q2V*dB995V^Mc}cICe0Wl{Hqy4qBGcUYx_G4&*{dzW?WF& z=>y~%&@=PA{eNx)TX_@V^LEC0z6oIIfRhgMV&W|F8dm=d0V-=;Q*QmkRqdgs%*_u_ zWt&6Rp0a_rt_&Q4dDb(0q6x}F2R{ZwRnZxesLzNrXr-I9`|2)me+6f;!)$Rzqjlb4 zoni9b9UBr2p^L(k{Qfl!Q|FnA_Ghs3_79y%)%kO)fnu|FM-XXuofXP%8FV}Ey~j)p z)RF`w1Z7t?bn@dLxE?r=CpfH*g<%jheG^DgGH6fgwE%?{oFA6VKDkm4z#C?<5kD!tS#r)=|*uGwEGjdwh4S4h6)=L}ZN>^5QNM8&|hD;wp zY3U%}7xp#asY6iP-T(aYCRAPA8jbB*;Wn`ega;;?RyN zAa;0+dGO7w?Lc{W6TbtLV%g802EuGM32YIK2JF_G$fV)TGXXSc4#-NlR-D^dh|9B4 zW~)F|w)$t=!vFu{60Nu0MKPnjQ1M%f$U3;S}!}t9|qczYpc>F){ zry>;-SJ`iNAv`$o{lN~Vud!mrCdg{#YJ^_;Sd zSBH8E{Pd)br+Y%E1SaSHG8>oBR~}f|)CN?L5#Lxu`Q|@s?y;e!IarQiZ0kW;*w{9^ z>Ae2c5pTkxr|~62x6h)7KmY~0Gb``TWq96_7%QIxOBp|Z_pyQ*2JAi1WjtgBU#`tp z{gJz#)1@^!D`qBf1ttRaPVVg}7z~x6k^B%l@tXr+IjY(Qv;Wh5AWy11-m@I>JHXM! zCwnMqws?Q?Fk`kregE96uw?B@Zn+j{~Khd3VsnJte$Aul+Mu-48 z%0ln~BkNt{UlD(T78)bEZa~&~Um5sJJjv&8)*t1AcvZ>3pu)<07IgUVbWQj=0bxeJ z7PaQ&7NLZ~uK|lK*}#88K)1WywW3CVHibkm_O0xfUMjS|QCi-a77@J9Z1QPqBKc+2 z{xkN0te1y zg0b=Di}S}`-~-7Uc0`gZnV!6LH*{iuUJte_#)R?VIL;_86yI72f%lCGHDg(edqFebOZ;13WSm0N?OA{ z3(E4$)$en>b?g6Pqn*6^icfzuk}pV?N>eMG@(Tw4#5QBhEyOVYlc#x*lc=HRc0JR; z@qr*|+x-@L#2-8*XGG@M3Df>Q3MjFqg zfm^Jt7mYw-Y2;Mq3{SncfWM{wWvYUf-Q|{1|Io zIs=1|nNQ?ImKiL<#Ak8^^EB3Fx;;e1=GFO6%o%x~@|lQ;f$!8$I<8qUoag|Zga&;6PJX{9U|LbLdvVD%nT@;>7kmONaO!_8||WX zIJqR@fKj{1>N>gPeL*$ zlzN+G#*`EjT!=z|s-PoY@+V-D3e`Fh_J*EogxEH<{gQQ06Zg z!vkpKe|~};5X5&xr^s)P6(1%$Yz-9;d4N*h)xa`kR-mB=zc~ndp;yxqBae!1Z-A%S z;StD&Z2`&fuYGNYBAq4QP>@`={pl#UXErCh)9DG~udub}_E;YJ#6|{;P13Smqit7- zNk3A|#5HJ4AUZq(YCw4`dXqs4Q%+Fh3F=^EPV3@IX4gY03oU|NpMtfx70&37UJp6^ z^0AYnv4Zk5fj*wJmxC&^owD6#oH?%EMENn>U=rHPfE{gFDkv9H+G+vjuRr%f%a=s8 zS?9V>^_)ESvqsQZD6TZPY}AjMUK32R%mvdd@8t%fZBCV*$H+_-7f2sgUv5Rg>w{+~}n|GQ0mWKF3GqC7TqaS?9VEDDaGLEvK={VlDS6H8UB-%g^z38pP7fn`!{1z z^MBI0>USwKROlLwS)S{UW)hf!h{Cp#Z_d%1cm_@OHvK408N0$HXIrd>u2+Nad5$Yr zPi$tw{>ThTKFs&b0If36N_RzvG~i%hYs(?+A^X5dkZd1s0FSR7_lt>KVf(Yi8;j0} zocPU=KN*zO3R}Qc#%0a5ZM{oN-tJpw>)?b}GkmwIni&Y#B<6-~HEdKiV>~zE+K+nJ zm_L){-0!!c=Z#zhmeWqVe`2an2RFRNBo_uYkGa3y-Ui=e8=edHA-{eLEw>Hef5;ZW zQttQ8K8yl8$lklTI`cr+B zL8v@ADW#<7{|P|Z^*(w&zAHX{fY9!+Xu#4~VOi(4!$aJ!-U|dx-YDh zG`+0xd^)y2D!Nh6ItWLg=H|M-a!x*d--yULeJ}i*_gmyXjjzPg7~CPpWO?aJd;bA} z9cmw554fnSP*EG5@}M1CpL+-Y`+OVP;-;Lh+ZM@y*u9 zH5V`HOS+RvObR{5wt2q$=c(_rqf*g19(1PfQ7QdapRquj%;LXqsgoUiUz`87tm9;Wt!<`Oan&kM7-z}< zd^H&TaOeQwdna|3gVGY4l!;Vvt6uhVCi!60=}OP5F_wS327%?-a}BYKiyy`_!7^~9 zpJBhK=mbjH3ZT0|(lU>T>t^4Lv>ZJdj-uN!N_61;K$r{)aqnPy*DwAQoo{e5Nom@~ zDQ)5h_sJ&}7t9hUF8?(ndZeDS&NCwjrFqa!RMJN#j#67&@Kv?9%lYoY{p2-&%jcVf zRMj*_c@J*K2Gl&MQUI~tlD5`WSnwjVOWdYSrzs^oJ~*4^-y9A(o0PF7g`|u2kvD3_ zt70tr1X9w{XtI9495koRI)GvWO`?=2(qqWHx- zPGswqB&3wuzT2Q=+br>D3;Nu=@mq-V=hK<)2}CD-zNGvM5L5YB%A?K^%XGk#m)RbE zr6s>*nGc>W`ax5@`03!y)Bh59+qBn_e=T-c`gktJJ*@DYJ zm+H;d>&`wQ|FH`W$fHdv&RD_? zHjy+Ce!m$UFT~-Q?5vE(wh;qcOax{*M9TMXIhWi>0+z|!KKr+%A7<<+4Ma9ys=Nlm zq6ipR-hQ5*eON2lsC)vG>X+DIAhl*pn$5xHvq51WOLl|Bf_h;%3{NX^o`FOo)|lHn z6`u0)A>lZa;Qh_@Im4{KX;F{aEg+rg&wx_Wdcn~PX|G0(`iA4a07)-G14y)mr9c#` z@>ftFG{sFe2rBiltxI7_m#~)m@}K_|2f)7r5 z*;}ArlcnTA5Cp^LnE$CLTY3!Hr!`*s=*OtJ-*oY;FTse*Z(2ETbGZela_QkCZ4h+< zMYwh#g}1T)>aMpyX36|aDCyAM^RJLQ-~@+hU@O7RA%+)K~{Pmjy=O?zQX3PY-S6xp~|ZIz}=Jyk-3_{ld6E-d8jE@wn;r^V=gd*N~%C zd7{5kvrn&6y#q*m-qVda=MS7EY@?Dmj?Ra1^&f1@Y#(uLL!IZc_ppB*bua70iGVPt z%K_~`jQ-)a$iOrhJ7FH<33_}D`>iqF>6Uw=>CcdZ3v*7H7qS)@8Wy}>+hVu< z$KMEQoB#6vsck-CVUho`@-riGryvguAwc&a=hJVF{=Y%|jNO3E4l7dHFA?}W+YJ9h zKV~6noLdO1h`(>DQQe!{&JvfK5FO7z@L|!A z!s+!kDapQ~Ig$-(YIop$5s%;7m0A`gp@4Gnb``NuzvJerk%h+0;q*sJ%4bZojk_C5 z1T`~O2gvulq8xI&FSVvyOT~0*Xk5y4<)V~o{OZg#(OXFyX8zmmDrY;a36723oUct90O`S8r&SzfZ;N^#xSQu5l4OSLUmjC>`m> z7nNZi>-Z2c&G$a>IMKs(RS5Fwo(i-u*Q7lnr&VNRq-O}lOMf1p3d;-BbkDbqPfR&s zb=9cV)Xvh|q$)(SCpQBLc@*Mn5glzQJQXFEuScAeTD8BmvZ3mCx@+(tQenEKt^63t z3T>|EB6l+AeX+QwLWq>`U81EGb@OxU&4crfpp7Q_==kzWZm%mLMWp&|o>4=LnL?mq z3c1I4*j>$+zd5I*;Zt&Fux|LdHVF!;<x{6!C2-7O->TPI7npiou zX31l&ZS5~>RB&tQ1?;AR_z8MOTv(j5OPDR6s|4pp(Lw$Ir%m2UazHRq24X5|I)Z+I zQP+N)80%nREu*P!c8DoMjd?|G6QDmYre7VUIv8Vl>4`qKF&~R&tM#-Az6?(cD% zK6w#@3K+Y=CZNDuQ_jpWg~hm>v8;^*Q!7174@`5R*)516Jy+esUwpAIAUc~c?@yQ5 z2ufK4GejNJYrnLI;-K<6>tw6!ZMWHPALQg|beM6S?PV${A9V$8^xb-E1UPbN!}eg% zz*7~0264)PW5dQ}M2YvNf7?cJnMhEf!*33f;3?o2$0}gIIj)6giW8M%0}+c9xN>34 z?0WHUjv!oB6suhJ(N%+U1Xk1+W$DopfVMDd&GhJuf`FxDs`CCn+Xt<%UsMG1C%d3u zCGrvl3#EoKg`TD(MuV9zb#M);o5>!HYo8m>Cb1Ai_AlBaZvu1!mWRvGzRyswxggymU+#l-j{Bk;av8>?v2$ABcrAbS z?T0KHqNzI?F-4Hy+*VztTN7an`NC7urCrkj!)#lGcz-qOultaG`LyceA&*O+`$Xlj zCkV_j?V_Hkd2s1UAlZLLMIe|-(T;nveLz(D^ZiJBNE<||fXFMxeMA`dUy z`m-XxIM>(RIgfMy%^_jRz{%$sqy&{-)8!m?TU}rL&9Ogig@_Y7Xa1W*%C;k>P&3bL z7|*_mTAnm}rlR$LzI`Eu<~!cbZhT8%4hjl+f>J@cG$cBc3OaVex`o<=;QyV}m4jP; zXKncH62KrppKnM#a;%S#GG5?vl*Gr`^z_{}l2 z**X4}zynb`1f|qQ%5NNydD+fZ!%wS4-PyQhF~f1tw6cxg+|NZ+3ALa>lt+4i9OgM080xE{7C27B-{r*6 z27V8Y0f~A?m|mMO-5(1+$}P6MKPk=xkrd5Jm-9>ZY}hN@K?EmovNpj=%7X;v$P|9) z9Eu&9B}oq;j=}+PI|xWFSHPKdL4BJnZ_jq2vjb^?^0Yk)B9xf|9iVDUbT^hcP@;pP zD=mS7$@U>`rWR$-jBZ~jxW}R;{N|YbR3UzN*~jnN7ZeK@1F+wmw~Jp#HaoOHxc>>B z#&(s*b)rtDLWv%5H^N@M76b9cjmZwijVHhQ%`q7ADw5<1-Cy-NDK{vFBc%qc!0!nT zv%0f}J8`_JC_ab^VRw1}q|-jamJ7+R9(>yV2gi!)@B&o?X)IH#fiadtBi;e^-J^@* z?DdP%bOdone9OE=wXk!z8VXJ?0efeX_nFGAD*9$T6ItIOk7H`$^43Wc8v*(r1ZH|p zcN5MXbb_wx^qWxj{?+oJrx8&WcXnaSx~kB2A>B$Uffss^5{o$acGbx|=iw(yO_1{2 zrLpgW>tY=Nm!L=K6aA=zT16~+u@I&2oz7(7_N!Emk{!7b%?CGW$}F$1S$IZy9-%y# zDjw2?+1q5_ZFr(~`ZGO&2vpIby$<5p8$)2%KM{Jc^vP+hKbL==Q8xKx&pn)|!5LS};3JPS_ zK_O(LCK%(zpO*Nuz?{HqJam(V0mn(X^GR6-$;695{TaYl9)LCdpAWlw6}oe06-QUX zf%s9N4jRAZ=(VSvno;GIOS13W&3pauotcjBlY3+Tr252!Sla|4Z}g|FY(6^O7$kPA z@cdzzX!e@DUyz8R)Qc|j4pZGp%?QJ$Z+FXGR1=e>72Quh=!hO1^18n9d=Wm`ZQijI z_|wBtK@a8z1VvPK{pv=ome))(i!Ut~zs&+8;R8#STfTkN@mU!DU~bH0BpBA#xp55+ zw$?H~%W(us+$IalhCa5^6RA->CGhVr!+&@!yo-fQ&124Fu1THi_LnuBl3Jaq=6_c# zWMm@Kt!3DnZnS}utn) zEO4K*>r*2POzhFOQ$N0V!4&@G=9S9lXldcsO>mpMU68|=Blu!+Gi=kho148Vs;U%z z3EtnW8Sq!}yyhM|t--PLk4paKg;axMZStpYR0*Dj@i+kC5}LPp`T6-VjP!APnyu;J z13=)5a^@PRssU|P9O*?%7u0bJ`hTv}2y)PMN{ zfh779nLnK^9Oi$zS~!Z+opJn}8$wj+pFifJ#pz=Jmoow7+-degwhuA00Kf(H zD0o8!8Uc;PRkzsv=6G8ikE(LQ?awEkfg^VxKklG&_P82|knaSB4IsV*QA7&0mL#nJ zX_F3|`mNP4V3VW3lO(jLqzR<&eL}_0L{;xpH2WZ-IX7T@(Zfd;;M_ehI@o$#_Si5> zdt-GC@+0}bKKL=be7KJFB>O@~P#-5&oE3yd9as{7r6k;f@w1PUMph-7t~GCP9X~#8{>d)=nB#c2p?U(gTgH1(8LrR83DzEzyxgETXvSPtU1sFP_Mu+!&liIHW~ z%KO5jGXtz5G9z>O8=ITMj=tAr{{y;s9SUO}hQfv#SF-32XM7S>J*N;JllXeN)OO9U zkr9!BE=4|Ke)%w4Ko+rmJx?i?VtY;uLKTuO3>L!eb28Rw6)v{KvBv;9tMS`ppYaA8 zuDI>KYMBu7g(A6A%NohLvkpZb41+n3Cfp14Dv;2{iw6EbWuN@!7*#U!5AV14k5{ax zqpq$PvtuXxKw+$}5=JN*6B+J0_LJ@-4-`1El-XXb63Lh-B^Dl>8{!7ijEj*Z9}{ee3+%(H|# z#nXydGys>)0UfGg4^XC@+oXq}lsQ}4=i;|QY2t_xjqGc?UrVDup~&SjZSj;xA|PN1 z!iph&91j4c5pndrt>Kdv{w(*wO=ZTDGSGN%YQslTBw14LG$H{%$Nm=hc5xx-ed0>@1xh1Q`Zps*&4(Ff7{Ia7zEv3bqe*5h!33E z_fV^(FB(-pARJdQV_uqG)#jzAJ9(0Uc>gT~t^D{(o5)rpqGG>6LyuM9KuF~**=(k1 zq`LMRv%O{;oC&)i1|kcpz8h)d%ifRlsyd}9G~&rLV}|YN=rm2jrlLh@IR3nT;sXje-G%6hYs z%%B4BT`|*}Fs4L(A<}eDE!DNB57DQCYzC((A?%1)*h+4KcEtJbi_mJ)7|%dY$`{md z{%SS5pR&zPOa{O6)PcY^q2Nj{wc3Hgb}9r9{tDRW2T-e)83uHRLx+2`N2FguKY9$; z8`g0g?5yA28s<&+XL)`_`qNFSeu3`ZSCKT0IB4<=Zuc0B%qlWl17)4f{a-fP2Azih zoEBG8I5h$;aOqg%JP^dh(NHS>?CuZ{KFoe>>ZNAUzEmHCAf^GfxAKnXUF2mE)H(7I z6gb&oEVFqWjN^F3%i^Cxvd;q>+`i&-zK556-;S55Y02^y$TOh34MAWPsHzkV>E9fO zScoAnNW&9}^KF;D&TRFQ{kJ<=i~?@aZU#)^v+@Bd7Pmvb7^O_iJ%Kt)Vs{>hJw!2| zAUemBCwUbYc<$4Y>eUl7@}+q8f>gPzn`R?!pYK0V6PW?)e1OZ-i2lG(x;wWQvb?SW z?vrAWvC@L<)!!VGcgFekgG zcov0NB0%x$xoJP=kKmY@IR(uvRcHcYKLoSH5TN~1NWt%3I;xA?p!kMEz4l&SMN(#g zu^;!SFk?Mk1tpJva;35<%2SJwK^&wWw71Mkq$(%Qf$VSr65db^NkCdktJx-z$VW^V)BYB9sk?POVVP zdo|gx&tMPDO;a^)*mX8z+j!CDWyl%-ZT{wP{RZN_K4`VBi0=_P?yil*HAll1NknUX zH*(7jeAJ54v`#nnipA7Z?yvf%~r^ zJhm}k$*cSEyN>;reMst;gWuovmY=rS&3sT=yCl?RAj!(ba9LTAtfH@;kKcZ1qm&Dz zcUL|^u@$|1^GfH+vb;+OQc6a3B9hXQU)%*s6aOf)+I*tw(Ra{)PzF(y4S2E_o?rx}1MPg_?%rS0h!sZABu6E*O zzB_6R-FVP;%8*OX@g9toNr`}9PV5}-UaC}Vto`vOo9sEHMK3XTT^skFSxwsEoEecc zs_bxFjOqB=VTuJ(dBs}X!QOY4-seQx*39fq{h1n;i&v^o|G%{CfBE~9%V_$4^TSVN z31BCShJqP)|7R{l;g&QUb@;{SO=L-A0qKN7UAa_f@Cl&mLq!pb25Hz9KpXt;DDD55 zl*6(MVBRI{<7?-T`xx)%mtF!*aLFgb!W<7QX)`#?u|%FOa1;tC zr%7BAQutJ-$eR4oNc!oxs~g2?I+Ww zu%o&tQ5d)$b6`?S-1zFC6ATZJdPK)nJjYkym`gBcd>E?EDf}Bz@)^z2L{Ht6_Gxl? zo4rKWbl`J$?rhRPtr_kS{+q)k>` zKYu^u3N;m|GwYW*$9kUiY@rZAMHK)h(Sl_i&1$u?&(TF*dT)em5%$4YIDd$Cl2aWZDah0k65C3A(X``u; zOacE8h4m`n@q+gyOgLGM#YnGQ6`GY8WW|g*R|jWQXxDXU5ziVk*;qE>+J~=(EaV zTeGF%rFi1m+d;bGR3F(@8;{kuwKIDXb*?jBvqjRKxL7y7sPm5rz({i(fq6{#D1L;Y z09;at#Uv=I3(uS*Ltm5UkZYEB+~72dg=kT?Obdnr`}K|+--LgkP!>3rKKPUIt_efb@A5lM_(kDFp>I{&1wX;5s7~q>>Ut>@~4uW~t^{b;Y+SECiW3Q$+k47D1{d zFGNl?hv>bU%Q`+vp0QmW0O=|<`rxLzP{?T_=%P!|?gQjS=L(XPMl4(Jj*u295M(3u zlGBdK@;e9pKbhoOYWDCK*q0&~u7de;iz<6~n)dPd2Vg>)&m5fCPkVrS6qxud3iYiS z)q^3VEvxf-{bcH9&UB9?ex9TGWY8CE?Zy~pOP(Jn1c99qmmgRh?H;5Lti;8Lb0>P* z^F%quAQ+4YC$aow5@}fNR+XvyuG+8=NZ#=L<$hC;J*FZ1b?gej0aqyCx43^Y&5;sO z7n?7f5Zo{MTz1Gpb2@;NPB9|=tOgQ89{B?{kwsD$c;Y&ZDC~g*9q7>d*0CcT?QltWwK^@dTC+n{8;0Rs zMp!`aFh7~l3)c(t)%SHV^wb?$STWtu_IQ&YFS~q!I~e@7EYeK`MgjP%WbD1_?M|=^ zeQt?kh@;;DrL?eS;v*0u`W$FxHtApOgT5(|x9%j4t4l4$)}2@-KwD=)aQuPrvL0*_ zlC_f{qgN6D!uk)8(!T94xL!G?J!XX*PZD(_mbrD!O3h)%LH!+B#$&HyDwvEOt@nwu zq)#eh>DLhSX-gmekeeu~NLUaHLrV}a%7Hmc!#sck;P?%@TX;lhwfDzgApSN&jFQBv zos6rl-11XobJ{OVE?q&jeTK;qH!~+$Q9lpHz~=*P&oD4C$%iP7}#)mb9%tP9smd> z=PCi>11GQ`F)$+b`7F@eZ_V4uvwqcsrR%YQ%INI&Vs78+h|*{K^7a<)D!)d1^|naL zBO=KPDQs>d2$IDTU^upZlRoJB2cFa{c%ixlv9v{vP7sRvA#5Be)deXJ;~s0|vbZ@V$T9D8Alx((Zh; zQPW_NMCi;GD;SwX@Gt0~Ze!O)5ViXYvx2!KN?Z=RYk4MBH&{kvcL1ySTK1j4hU|~GwnXMGwNXXkofzPPG4be zwKeI(97bgStY*`M`#5G>b$2vvDZ=1=PSBUG7Ow5A$r_)^uOY=9$~ULAD%EOpyb3)U zIW2-sN~vdD$%%6#VU4r#Q@ zn=*qZd2Uu^TAmh#?!z%UI@&DJtA=+`$pJvN8UW-~NR#8K@_#QIqa*^5x!8^=$F z)e0GoA6*VN8@kJ`=T=%3!^4WiQ-h1obAB)CUs{%m;_I@B%+Et>Jz;-vbMKHQX?WKC zmCUs8ii2Zqu&b*RAM*hJv!L9J*#)sqFlUKH&}hpSt#>}L&C&1h6WK0LU#9C`-`AWp ze{c50R*{u7W1u69+aT$iM_61y*yIBJghzfnx*6!ge;v$V13Qo2r|PcBX;dsDp#pckRl@!lJN0eh#NGCqAp0hf}(K(5;{Lbr^ zIhomOI-mCf6dXRKXis3CZmcVud9uNNpUJkSt5kfM9 zJzUW-K3-SYV{dM!=X#jNnDb86m!wY^xtYUUOk`?mm8)j$D!=ioOFd{ytm(dQx7}U%^-gQ$v&k3pbSKLg8<-ZDTA`J6z}PplRJ+mE%b$f64CpOS zisAda&BR&wPIWSO?y4nUntARz;sp1eS$S+$iCU?Dcf6S+bTERK)jA$B9b z?>=#jw}{-&r8`6`>){?X@~b4D2$gV=F8jnkagTPGHRLB}isw}HBc_!y{n`SHJLtyI z(0K1{u`UzT9yI4_jA5?dZSxx@+y+Y@yG-pPV?d|)c@e$6m{e=JHYKvOVPVnUlUqUg z{8)Y7`&&36_LJ6eGJk3@2CHUmb(d-PjSBwNlO4DvRd>p)pi5Qu4OohHd1c+es{Y*m zf*0o2rl~L7T$D}hjywX2lmZ?hVqcYKtc7eWQbu`_IhGPnnpc;#9zI@s%4shQL=s}G z8Wdpz#_ASnG0I<4Xg33LtSHGcJwP;9O$X|G4ss9`P)!!TzVU~{RTm>@(GhSxUPDm@ z?fyXyH`bN6GnI>fGKo`n-TF!+w5T}{XI9L?Fnr0}`&2k`pm(p2?s;ZS)ovzjpCDE> zb7Xcka{zKs1O6qTibslL1lg%MoH^sPMJNA&=MDDBA>zIS>>IUHy^Cp5Gw@?D1rsBO zyNSGv4Tk<$v#dBO((uNTh(2}mfgQiMCt)bx-j3-Ze{7W_H&fFai#zo%MzMzc#XP&2 z*-85zS*$+C2uPLSU8YnHKnA;}yL+@UI7m4(H$^!(6r1_xN&+UV^Zu|sfS8e`z!B>A zk&WVPPy0keJoo9$j4ZFFXj$BJiz3`+B@>nI_d_OzC; z^;F|bJAe|M_lCofSaaY}MV@BIc5!BPY|O!v^EpK>LAsEWKjI9?l&kM~$TQ^O`ud7_mq#L@4YyG0MmU#gMjwqFst z6oPqxWh0p#A?rKf2Bklm4jO7yVdshhdm5TC6U*X|Vb+pyDy_#EMfvP-WjXP@)NH^) zIiAXu&_#59v_RJw%=qEov6xSD-`QKkqrropn}PIZ=V_lSYv5F4lvKfTYA8i#v2A8` z%!?65^bZ4cP3)v%r8HIH?G68lg|o3puMj%2z#T{$t=1oSxgVP zocRRVUbMf+jMs~4Pz$Bq65OO>z&D|vq{8C(an)<@FS$>OrIR=$k zY@jNAVFA*Mz=IU1xLO!t8#EcF=hM31ae!c=xT671KAoaO290#NfQcorBrN6G?jH%` zOTU;-&NR~9ADR2 zB%6#&u$TV^VET8(z$H15Bx_d`1p%XkUoUl_d?7{gYzR`sQoxdoNBX>4ssVKzu&S+n zPGCaZ9Xf6RSdNv&x2hxPA79KO~9=axZ{_s!7_}i7L5G$2jD2%uy{1m zq8CM5_MSt*3azReg zL?@x2Og~2Dn~9GSQ7@;tp|dyOGz7Vj*sNdo>y9kkIzab!dTy4uy>&#rt#lV7D74EiO6s;>F_3PY{Gn@H zz2aBkCZPoM4=qgGMVsone@&&?JN^v-dL-DH)a$Jp41*<~V=i!7+P)#6$Lg^Rw_faq zlMyIacm$_D9Q$r`Ik^$U`$xy)V2eE0*$-wfCxLcDm9v1U?zhu>-D2Trv~Ji)-@L(Q zG8!m(-8|N$I)7N(g!syG_4U2B+r32v|A<|a@AfKu_D!TYBFHvnK)l3?E@>yH0}~a0 zedLSE;Mc8n_f1T_jc3q_BZ{fSM^1%=Y?oa8?rYggcT_^=}MMc%2;lAT7@*y zjH=_iZPj4rb+tj^!V_hh%Tu!QKWI0jALN}t=A5%*{&FJ9hI~uaAgOK99cXU)&jO_| z?-291i|REuZ=^K=&8;GZlPl>N)Uv~*)XKJm-u-Xc(L*uj=H{p4;@*j0zs4H>j)?^=L{+2*Nyv1W zcRPtU))4NjN(iOuj=Z@3Iq?t90-lQaUC`seFLL=MYmBP=71lg)cT#EXj5jI0aCcQq zr%p^EXu*q>tNZEi%sMSKtmpq{v~kkes}d&T1B~eYwLQQySUJARd-(bv-Y?Uh#ov@Wc;6!w}ae*f`cCoZ|lj*@@KjVWj@joO4Wf0 zO`4<^iy8W-uZn<$Z_qXI-Ze?{s+}*doe8U&kTOd(25++w%6ui1k*en`LMAiLKloK) z@cfs~`?FtF250L9KpTtT@H4+GH)^J&Bh7rGrlhgJZdQXSXw_`!dN*&wMp0E{=@T{X zxXyk zja5B+%%Sn)ZR%f@tkEf-%rb0VGZy3+*&!*VjTX--$eo+R2<-9BgC&>e`EKW~x0^yZ zE2{vVuNm%gh-s20?T5Qrje_OdxljWJy0W#UN=isRI9UF}y)OYivYmDpeED1#$(Z5F zbO@c$p)rkn#?Jro3kG1vyD5j4WYgcQG(Hqj9@*~su4tk_}NY`qXS$8A{EjT~^;?63Xn;dTuMfM0P792jPIE{JP ztru4>U($aNSEo!7RqSAXQ+i-{A*V*%v;0USFmcxH!V+` zqHkzzbX7M)PdmSetqg5eaHUaQr{Za<9ocEX#CTwTZ+VMezO-Ws!*?H}fhgX3u3+yt z?nT5yJxG_|vLz5;kIPPV4p?c5qv;an9HO~O^$LKELn_gh0E~o{LZY~w#f6^t_kl;V zN1p=~pfwXIYS>lu&fc(RzMb%r#|ZJ17yvG*3Bc*emhf9HW@2b;EHjYKHaBn~p!PD9 zxbBBbr~!^KprS$>O4`E{%diu0_R;itWPI%aB*WjfSr>(&(}x=)Oc&o}tx<;`m0rgE{P~ihWIF4B=;#6G`MFF@MbiLI^98n<+56PVCK322EV{>LVVmT>Z z*dHS7I0#K=Tiy+On-;>noJ_(j4Qa*`afx6M@vL(%61Q%5uqenrqeHwPZ2g21D-+h@ zQ*>mpHH7D+_%!z20;D7PS{ssd8GAUm86`;fQ%RurA&IM?b_jSx*P#DRNk2>c_}kD@ z(%mB=N|Tuq41d%>uu|tF3Ru=g#x+e-Atx&JMqp>Fzy`MR6FSs61PP(XC@Ry2h%4=miKT2^5w{Uvdp3p`8GK3&M>h|9O+S#9%UMLQ`?%#V=%0JChse9@%cKMI4ZS1ZjdBHwV z`EvLd0_0L}{*&ox1fXRkB=i;q6L{P3dpO1*eqqv>;mO@0Af!+ckmMy_gCpjU>-La~ zLzhF_>>6F_ZCh-i-j1Cy2t>1O67UyM5(4l#Og#a`zJMf-(Fsam_UiDM>!T^4<1iXueA#lI-op zPl%o`m2Ah*3LWv#GEW4Ic-KF0W`QochotPdQrtRUcg~`S~1tw81G#N>jNVQ91p-ppE<4 z*dijHxuPKwWUQ{PgUXt+^^W;#Jw`i;_6rOnKO~Z7I*71@z_(n|W423-UaHU37itmiZC2{XN9s^@>apwRNu>E1WLy7;~_hsTp&ab3b;sZtwC7{=+>tkBFA3KErb{2gjC40iS6~hx|CZU&|_w@-QrsU8fH-VAR6??Bb`ZMwG8M z&%-yVi82B}oCy#b=S^xmfV9#OQ`ma!q)N-#2g&pEBxn*((~+}R(QCOJjGZ=}yGH1!G{IS?ft_m1xe+G zxto8LI=PwtZs_OB7)vx;H_Y)GD^e=%1_L(&-g}U!v6V+3p|mvOUel=I)U74a7vU4epE?*xxxaP#fFI zUw@?P9*U~Ds-oj%r@eaKv0SIA8+TLJ5}E34e)l)~ocl@n)^^Vi2jXul9Cv(K9UV;P zyzB}>;T>g-v}w7a?YSshVbj!p^}QR(u`fuhm!C$}C0TK+2R}2%`bBwr8+s$$w{!4m z*+)kWd+45~&&i`IvQ5=0P3(h%oz=1&eXc^OMnbvSRJ5*Xda;s{uD^eJ;Y@lFEL7KE zu6D+&uGD+`$cc;RW0x>b5l_*39SNc4)RFUO9^-m%spT!CEOe)=NxI&`K5I5Aj-!4J zTNr^`KcPktF7*$W1pSL%Yj!}*!}CDApK|gTKz68(V0W~ZmP2N+W69JU;b8AocAz5% z5(_p%*cNOTnPD(G6%n5*e_|bjSo3h*E?+Qu{WY^MjI*x~R~}%n-HOC7DfxI$BM~Z9 z+)8lWwVb9yx#%j;nMj9Ros&|BwnE5HYT=BwO-<&+)bw&>H}EiNKqrC&f6;LTXVp{c|&sbI^g6M9nIO zd?0IgkYYrzZgIvomC`}dj}Se|0M@6PALy0f%H6`}00sf-qz%r7;9{O)EhgXyA@HUT zfX*Bib0E9=1ck;Oat))p;HgmS9z~=@Fds-Fu#O5m2U%`7K-@)5tqktKsk)>0-|LXl z;{~!V&j4`-U(W8``rn6iaZ`1gObar|ZUcH!c=FcW9%eA(DAJn|<%-1vJ*8n5JRNng z_n`hiO$7~81s4JXP8b|7S8%PV zH~Lz`5PK8erSwoD?U@1dqzT#iYUsOf5~p@&ep67xDr@}%)D;NF^aq;?&QtF!B-j$zl%J~%0v{P;I^9i=-=qhA8y%VzHvs8#8`*@I z)X|_R&vgg^=o&Rnc6^Y`>w9<4yD}3@#e}y^60)dC?7fBQLHD)JXo)}g{{0_+t-Fe9 zUH3~(q=>oirt2DKpN>p@-klf?BTJ4ww@5du!8WJY;O#9^EVMx6=x-#HuLEoNC{`}H z`q#TJ|9d?DzZd4i``CgHhvXo5PB+{LKybpO+?~SaRZKqcI!XWTA1@q;8O_j-C*f|B zh=0ozs9cC$R0nm^XDJ0oQb_4+MW%@vjO`1eKjst0$Zmf|2{WF$c&wp1A;fE8cV(SJ zAA%h&cne_f_kwQFoGhYv8IohC!I!jk=fW_9B@86nmIn+wKz3bKf+r9dp2@}2VDnE7 zjBvVbU`?&Aj1fo-UACi?MS7_M(TFZh(l(3YI-pNkRExekh6KkaWhtlulH5zsRG+v` z>)D6QpHsLo)NHk^;;sI@|31f>(?f^To+df%V^C}T?2$i?C*5?X!mc-w>2Nd})edyH zKFfo!@E**k=h#9x1NHG3X?m|rKB_=MY>&jScKJ<5D8F!pVc=-F>{+D~2DWyN+r6`7 zLES-26@At1$S&G&A%)6#EPfSHyrpfbP+VI1&f=OSVTk3fMWAv7hy*)NRepid$>`)N z%M)gQvEdHh843o W11DM5O4u2^wDCT)ddTu~>i+;6c+36( literal 106594 zcma&Nbx<79w>3JzU!QFxfcL*?p>)>v|39f?$5AKlQ3^0Qe+!I_wa2O<52rj|( z@w@MS-}mah`^Vc|UDb1Tbi-F}{~iB*J1PpwbN2cC---Xf z7f(F^LM#*xR4g=y*j*XU?ysA%Z_6==B6DZ>Bc0CYsWGFY1Q7H-6$$$TW(g|ZB! zuTJV-lfC%9uJw-b*Qq6wa2PX-5Uaa3zfVfhdyf*qIgp;6@0;goq5S88Kn!#&4B!il zm(NcG5CZ9l(0MUrh%q%S=t)l8LitFsk_+o(8NO?MWAtngc=c=j)bibHcZiozSjyCU zD}EhoChQ{ZV!0Wc`qbX(v=Vt{LESe`O8^|S=hYCR5dx$DlkDt}b1f1rOCpPwIAYvO zG}DnN`I?EJjG!#<)oO*oHXa6-Zl?eRg4ubcAFWffBZT2H%kP^<55nO-k09(f&lhZopqGjM02`TsX&Y}$9yl@+vy~HaG=#b z@_16>LbYlx2Lp)(I*eM}lbIGm_>?jO?k%t`k6q9-&`rwa%B3_i4j)QbIcKx(H6PXOV-PJYv(0T|wwhwcRj2*pg~ zDbV@KA3t(Q&Ub3J9PWhK^Y}CG);uAdoDO$T{muga8~8I+$MlxELAuw~^a-%i0QL0U zSIC@;09CKisOLjBah2w&Z_(QzbXa6)3qALDRP<7+Vyg%VsaniwJ9~S75K@Q1chDtj ze#U=y;aVHBcObo$7wP<+I#RN@fZTKsUO>tf;_rn)bLm_@;{fz`u_Eg&``PSFQ(r3a z!1ggDoZjCR;3L_UgWm+(4A@l?^C39geL%{JDj;y}HNq058TRL0ic9#bv^xj8ip>2l zqg)r8ia-%yvma^Fdx{Hpz6U~ynnY^F+L%8I3Iqu?619ovJ4D<=kWGm%Qc~xY47&&c zo(xSJ1VFM~>I`^Ica_^rz8mkAEIVdPI6dI6Ie**!I^Pip(;Dw%+HCP_9%DYSEy(${hjMUZu%^KT~vV2S>b+Xj1r68UoJl`jC zU{R{%@MMuuVzMq|@GWIbp7u>grDecdX{c66*Kh_jcctub6_h3X0H(G`)_LdL&T`NbHEE@XhCiv@LVeT00oG31X3$g{!!-a z#P(tdq)U=ibigu9tozpmRXx#|$x$n3Q>T{@ zY0%1^=p!O5Q6HVS+iKEDieM8JFB#5yximYka<);B1R@FXj(Uf`ECB>a1;GVwUvz$Q z{_aYAE7^9t^8`?DkQ#2%phcl!IhG6~lv-DN6$i4S`beYQJ-G2W)IFta26+N7NnJs5 zp8&2uAEq%nXXZs}%zhQc@@p!@vi_1MXDyZ|u`7xv(fof8wT+BRj5N~2m2Hb9z}_(R zugNYID%z~&(|ST&o7j2D#-s0W=v{PbzS!6q%Jwi)S+=eUntq5F-Jl&A`tjv0xqc=+ zYLPEaw1zUamnRVjI%GhG0Z4KV3Tu%3The00|8X9*kuxH`kgS6|EH& zEt2@MqEp_zgbTon zXM7d{+xLR@N}-Wo6FLXWt{zwD=2vu(Fg`INY0Lwb^_GhS*zsw(k(rkw1>u~8*cBB+ zfrGtZ@roK?5ZY&Pd37g1?}?DxJuby7t`xet++FL+SaX)1rlaomLu%KS&&m*7e|kL1 zCxpQm{GAwDSq|17a?%mGhjKVpm%(=S4s0`R_nnfMrJ+@z%U{<%G~rJGJDNz?Ttc@A z;Jr(+)%3xF_kQ`(8U*uV)@M2S`RCqy7$>`AL3~qGkAGg9?ep{yuFd6pg2&}FHd2cq zlNbP1^ZunPQpK@~8<7?uBNv8saThesDX&8VL4+hXZ(w$pNJw}_OW29-PtGdR4&Jrr ztu2w8nV|L=W}@a^X@=9P*Es(yO=y(B%SC6?I1d#&oXLHV>Q+L$d`L_2Pa>hk7L&zn z8sKHdR9@4jzSH_`DH~3T#IZGb04p!ee(LMgcyG`h}Q3+sErtl}E z&Q)9KqIk$LypV7x%KxRQnYbPWj(!3J4^eR=RbE2(3{x=M*cqcQ4}?|;Fvulld0bEa zqO%z}&!;H@^H&*rClgiW?gH5h=2sjx$w0}wxN&r7Qv~5YGtfr?ebc#9SeuL@A*vXv zxtt}wYIEXBIdwXJi{nKJcZwEWYgF@6dBQIyxr=jA2*f+JIxMBA#$HeRx&SK@N%IwS z8JYN^9CZ(KQIWAP%M~{|WGkz;!wMkh?^@zefOha`xLL)cyPQrc53Nj9;$l3l$Hzts_i_kFl<^u z((p=r4SNSNbhNM6@mD;onvl|1Shu(KrYjESXjlGsZzK3fd!yN}DiAW1KpYiC@vetP z%+4x39@3Q-q|B}3e&&N@4?b>v2r&8a!?Eh{^Ly;Mwj>_T11`kwgSZbqKQK04d^pom zJg*Ra2&T!uE5!|fS9_Rt{}0uqDrn+nqU@7l6JqW6gzkuLmGaG0b=xXiG1z$elCtyN zjS`Gy?-H5*hP+cDS90dOH`ZO13t`gw`bCOT66uG`jD=96kreRwkp^1R+#a{P==rWg zM_<2j)##r=%CI>+=eDcA{$^!n&ZfSpe48AH9~9SGijGT71^bYnGj+B?q1lMQe_+`) z*qpug*vKqvqk*SrN~?XTfghU|WH2^GRmG{CZ-dyX*6!!t72ynx8^VBWdIM}Rq*ad( z`~DZyp{q9AukqgtgS48qP!(kRKwOr+{Lo?jKXq97+|y;0oErs`;IZ$ucUv9UeZFeN zddBV7D#j}O>UTFTK5aMN*!~$O!*zlf-VSx`BnXx`h5`vjmMB6E^4>&IXWy7|7iQnJGT-~U#DE7y@1dx+jh{o3EMNwN%P`rzF=B0?ij^; zzdSZmGOBFv3`;ZZp=C&fM>~n6_-9sEfHU(o@0q?Ja603J{V{ zH~8UH#5r_W?qnMlqxVYC{EdD9WF}JKwVu`+MJ?=uMju_B|GW|O{&Xu4Sag*wrLLGn zc~CVTEJz*(dHHeLNEuYb^YUO?V#iQ`rY{}3O*{P&a3CGov-;guFYfvEJUSi+=~E7-x5#B6W?P6ldCcv$a#$VrUq zN@x_uZ~iEnn5oL+bw#dMjt~nJl%kP=`v&aBCJeeH)38EsV@URRqL+PQj=M4w+j0-= zbbIdAePwB|@FTOEk+K&sxxqP}(5RmHc3kd7h!cCs07djgqH|mCW_dh2gF2$Xf=FS< z?kaTI|8QPU_V2TMvj4qWw!m64y= zc|YXa79Yby*ZsB9)OvbcRJ4Flpx~a^uJIBA#ien8F-2yZa3(AZdPjX5v)?>W0RXf# zvPl!j#9=RBJy*KFPyr%tZKF>xHfSOlApwp#-G1Hn^md6u(H%F8PHCA@4hxjI!BRTB zs2N!#k*MnE$hH^)~}n&^>bV{}Jnyj&=8CZ>|R zb0~_3K9UX^S_Tsh0n_nJEb0KDle=R4$RdRd#K;^K`k@jhQMGkXi43iaEXW1q{AdWO zdI&>czFHC`SB_=uA@c;3yHcAbg$GGA68{!=C<0(~BU;vtqzMm0c;jeAv-&=f-SZ>h zQ#)$sy{r&=My(D)RAMu> zwr=5=fMMPkZRYs&y1SnHJY{~id-xe|ZR>j7K1xVksgPf38~^Eq{*OTG?f4pf-MIQd zTx25uN1IpHqn#2eFhAwbtb7yI@0l0g{X0|=NwU{b6r2l9ak7UO3R2r+8y~d#w$$Q` z*q-=J3If++EpfSkFS^bw>|ja~VcntX}mc9MtS!jla^O<6&4We;sjTFb2!ZcBiVv zXO=7D+P`^x<2!S&Wcf1vPF;wbYs8Xxcs^H)!dX0;kkQ4VS4Km>M#ZpQf@AjpPG99K~}H;58iuUAE(~(K0&CZ3C~FnOz5EEz6^f)J*68 zFOl;$tVT!t`4tjM_D)sYqJ7aHG`D}JhK)V}cu)6&Ah|)_Ou(DuzxjNKf_y1T{Z`F3 zCmXv3@aZOSr9~zBF6!ld#c=ISqr$zUEiKvh?4xFGG!1u(5BpoHS{u=kQvOWV;Z%WU z-PHfu@gTxQA?VTUX_4Cczq}Ha@W*SOi zCNgVXC)Zw62q$reNH&QAAA$?=Op`$S#OQ!MGwMxf!xv3|h<5k;?y|)-X;CeNPn={> z@)MvP)RwD*g|8)u47#J@%F$wm?-N1QR&MvCAKQXPLKkp<3$8unb21b(f*tG@?k%oz z-v-#tKqLmFSUsc6GD}0to8u+eU+iLK9nY67)6L6wJA=KtBN#)pchSf|-KM7PyGDlP z*ccI1?Fr#Y(nq47V4Kq9vn1Y(Sr5p|Yg{U}(5eX5;}Oi0Q0fPtC5m0styvjZ&8DKU zVC0V7EncS&vO0MmreicUPc@4hIeFl{KPBcMdI7I$^PR$G4^{Em%Ob#mDlYt>YNRf@ z&_a6hcWtHCCR*mlB(e_5oRDh&SmlqPJ^{wJzBOl5%!vDOhpv8d6!7kK~eysI{zaDLQ0T28gqrOT0HG)!d{LZF_8)5@SrTR znsEU#oDuGq&&(VOo|Lc>qz;Iu$t*F6^^hf>-3)?fA+)*v_|r;~Cux1rGC?JY*-wD} zwM%0pVi~CMX;L%^9utNIcWl3>=>4h5+mo-IiZsmh;mp&PbZTFAS~J)MVjQf z$>&w~qRBL2a3m6)g)DozwjY-eb@46)N{5C-*+|Phj)M%#QCDX&iG<;rZx0I8H?N7X zumSg?_7sK)x*5E@pI#r=uo#js=)~#%VpGqeEb<&9oTsWSIq;?(O)oCSAM?$L*Sj=O z#HG)I&d_}Oa5N*NrSMfha%(>Uo&dC;@92XSIidRZX+$x}Om2Qgu&S-f(Z!BUyvQ4ihGaK!C-*^Sl^d=y%b}4Xf$-cd z5gMEtKx)&iW6v;B=t8RXSHU_D;g>gN>wz5^y86X2>U}yy`Yo@3Xo$)P?x!fe3nO)gkZQFfV zjZL#~NF>aynlBGa*)cVZlPu{b?}PlI@|qH^>qe@ZI}v%RH?qG?Df(aI_PaWMmxJU5&9sYByq>n8F>m@c;I{Su`O!!epTjKP+gHSXv1`ivgjJO3~C7DPc6 z{&|$o@};T$&w`pE%`ffMWn{89uan>LT)aYN5_4b$7;2AK$tA)8*uUH*OxHD=Hm$6O zB&zDTp8!)i-S9s{93)z{4#__M$)M+IP>>DZ>Nl!JkRD$(dY#psP zwu-(uv{PKXGMf#qSaQ$5?}o~Uq27Z8dacK}yodN`JKF$1*diu6y+fS6>lpo#^Y7`; zq6YX{zkZLYI9K-KX3D5B)J$)!%uM?i(P~}&w|T96nr)g`F8GD1m;1ER6W}Pad4i^` zh1%13)1B~7^RkeE#1r79ev-J-a=`v*UXef5UM8$(d{taQj?$~=4ewz-+47>Rxcsk| zzKju+PXNJ2h)H`wdwybXbrXQ=ZOV%jZ-R3v-(7f3SCJQgFCxk?-WEqB!~>M{8l~yu zgkaklDWquWLwC}&Zkr7qJ}HLn+lw2RvWD}nURp|8r^Gw1sWjmTkh7(qq}Atj%YQeD zn~_qugSwZ8n%-7N8mcZ5Uv_r_Zexb1x$Aw$xZM6Sjb4ejrm;MYrY;HjMj;$_<$!KsetKDKlm?GwPI- zeoIl0a#RvLs4zM4FH^8x)#rClfXsX-2gicM_rBp|XJGP{LdkX5NPQCb2EkfYl+tA2 z-L17>%x0scd$*Sr(@Ild7`4Cq*?>pUdGRpMcl6!1xVU1XD|vF65(1ct+B9tYhx%r; z21W48HR!i-FzsOe=&)6hk|1w)2;+g(kuJ-MX}|^kS@S-q^!j+{Y}sIJy%^Y}_A+ox z2~*cocJK`Pk06P%9;IhIwm?>65Lkw{wih$A4#MahZQi-g9EwmabUp8We2| zvQQaPNUtaXh=efAV@W*Y+;LEH zi){F!`yx;hK)`Tw!zHwn6fy#yH!RTG#7jq>G9x{yDflE--lwDJ>wC|KhI`L)s>Gmt zn!AKzE%MmP;5DQ#zA9JIXB`~cZ+OY!lAHLEIXOw4J>^7Tbe=ti>4#fW<`MvKwQ$Du zUkLB#%Bu>wdMf)=$& zB1#6t$f%0c9>lP)o&Z6~7zp^QM(Iad{6c_d6xjfE!65irCrXvgAvz`o08m7h{sO+A z249f!y5#Z4Utc7gF3X}dEtz#V)WowU$hk+JZW5w9(`Z>rlSi4T>R{SUrPiT~k)dK! zcUsa5C03_*k;BX9QnApQ)xs4RWvTz-PAuuxA~IV^ip)_$&v(0j)}fNeh(e?VO4y75 zBr=hYt5U4}j4U$y5E93L7g`&Z3~0YVA1w%{eW9HKXa|VTE?p$4gE5-CTlj9I?=3;T z`7*Urr-)*&!-HzR^bQa$3d>2}mpsYCb-de9QHTw>{!+_#u%S}ox{9JAW?|$>91c`y zA6AICv~k2MEr&5t+&%+M039)@Tr~0M4lKYhx6An=lT0FTml; zY7Onn0wH|=+x_R(sQWSgEb0kxaIv#Q@LyCB(in5*3Gko3h~+fj3FZ{?(Q@7ah2_9={%pS56hKBMAVyCvTd@P%G0t*T6(=c zS6k-YQnMMwq?hsUtE(^_Igd&p{kILio1$hA9;!YQ()w zMmJaBeyYkpS9}x{s?-{pW8Oq%`l?JVkbzo+yiUYm&&|GhwpyU z`IWx)XdX*$3X@%+(5+d9E-srOGu{P6aT#Z4+`ZSQN1I`EK&2IYy;OAhy0v1*1fy}O z;mFa_p#!d%1D$nWntcQ8V6wII(5gstQ-e4DD3eDF&)8ikwDMz~zPW|Vrct=nR~t?T z)HIyk#T1Tg`X%T^Z=Lq~xyCTs3UcTy1sX?}4dl8M1iIbC!ouAZjJ#}H@=U4u#SQd* zv$N_6TWxf4INk0=tgJKMRXx610;8vgG#XvbBK6a&nFqR!k~3PDGlSgMs-VMy?bc8w0?~=%S}*O z8GmT>D2VW6Iizu2t4N-!b;7Eaj(T@`e2L4eqk1`wS!sn8eb}^=H93Q;f_o_@omYoc z@hne;L9re)@?j*ArX?C3NoOu`x2Ju#hVV@e3m$mPYwjk3W43^{BP@>bMjJ_^Z92wu zI!HLdUDO#1J*H4;@0{eRoIHzzkxmBG$qC zvuYIuqDIZ{?Qgh0jBm=aT|zlaak-fXsl0gIPdk~rSmn_DD7R^1a+bSHDi zZQR`2>38n&?JNQRqtEL%uiU@z+q2};Q!GtnZRox~`dBtFS1l>}Z@*WiO2;Gewu|^Ofj<)sDjRQ`<;+YVZ1s7|`v*t)Nen`E&xyiR}p~gT3rs%mH zdDV>6Y4?~vRuHRUH9vt44k+dfj8djh`gk134-vkW+yY))k|RZS->{dY&5FWU-fEWp z9PMP2pp)PlTTa_5>2O@{@$~7|=5GwZb-LOgWylHSqxa23yUR2G?#h3K&3ouY>)>xg90G_Tj^8k} z37XkvGbreG5s|zZ;`6GA`o!{$@)%}A8vwlwM@yQr)KUQVOslTk#$EE2Tp#f3g_(KU zb>h`+LXDd@jc_n;cdGasH+QkMU(3NPd;<0?8&lhiXu5$+dnqXHZlMdC>w{R|EmQy4 zI1BeySp3Nt9p`iwA1&9SvY(s%?%cA}WB4k98AyO7;kA+;Q7uZH{ts2o(=q|eOOW=j z43!KuFyQSX{&ePfWvh7cqfzERHpF5UHhxQ+N(tOJem2cC&5N@thapigIL(mFlM{cI zwv5Ke!4@JO`-kbKCg3&#)AeZ6x3m7=tC?J_w@(1Eo1X`2pDKLWEna@Xha2rrWG_d$vZO424X+VY_Oca{(l`P5 zqL_=LLwyeOW)COE);A6xXMYU#tgqAHXcRy?LpWBs4lUY197kTZEQW)NA4Y;HYmG+I zM*YgD7B1xKvlF*S15#x4M7QTiG2aa6T^0i?z=tss>=+=N<0|FXObEjigxr8@h}pY3K|1L8$M)_@F5Mx*fqe z1(#H|g8P-}gwy#@_Ule0v3q0^I5b1KqLdYOSGlp|K;;Q)L4!xj*8dp4e=UEs!wZ&u zC5331;QlA@mzz6rc}H&x{O^R_fpE&Pf9~*eb_>zjfA&r zyOWZ8P7~J?*VhyXkByaV)vsh`XZit2s$OX6;KIN53EjcHwrC$M}T6jgTNgIP7#B0BTu-! zgH27wicJ|aO>$0}vwOoH`mx4GqJCAj_wT;FSQn>1-eJ0pvhk0}>>c^)$}`SQ1lH(F zIk`5bQdSVxL&R&BPHzN{MQziBUZA~X>3~XvQR3ap*;A9vYT-$TDC%lJ=tn#d6CCv- z4Nri8iNrte-`(JDDHu2l27MgT%bnpAOKBA6ylYf+ULu{mO90R7w7z@Gb)PQXv4n&+ zqV)Ham#~W--G6xb!)>E_ZbZPu#YJ4^Qg1Zq^5XSO!ja~Wt2L%CnP=Hr zQt8QaY{PFk=N-}m!YC>9S2wOIQ5ND0o^2S=fLvQ~$Gq#iy<)dZXj{+{s7m^w$MX?+ zyQ>o+odv)#5B6u6?hv*2)d`u~tkTGLC|vduI}q)imwq%fcVG|id8WLRiD;#ce?e8n zjB`wXll_qBl9Fho@b#TJXHiQ__oVVavO4>#BDPE2sNu!`Z917yYGuAQ&a=%6e!bvX zj!t$NO1SKKLw!0WdaYB*c?`=UOA(PyKtiLs()(461K_rVJZet>R4HGtL`^Jv>U7=! z2YaI<8Dc*C+jaM+}no;0M`jOONVg)0u3_!;lrxhH;*lU;QM30wzH7mzR z>`G(9q{PN+*wzO~%e{39CX5R*jG3;|LERXUGU5ElN_)gGiArzP)HlF8bqcTQH5+Kz zkEV5J#ULP*dDiB|-h=lVAv&!IZ6bRuZOh)A*suw%7R(4BFk6AFSZJDv4j z2ADX>+?p2YSOR5bSWZK9)P7UNT9DjcT}*W=rAO4v?^Toh(s{L{2~6u_r^g`J5{@oc zknnfFpPKamyv9>&-Ze9k+P!!J{Hj*Rj02_4XVFQZJON&OY(gGSKV#|tk{JFAN(T?? zJH@b``y~rjsorT@Ijv~a-PAO8WhYF0-OzuMEP>K6uEIz67dOg%e z_r+NAb%WE zC`3BqYwtI0E?^1gRa!Y#uP&w3YfRih(4bX@c}RwL(+#7$sev^uz&b<0o>B0%x0Qtf zwMXXAj-R5RN>ce00qLtd`WO;MjR9;X%Sa}0u~BY$ESIy%WxZF-{}N>j{g<3q&NFO` zav{uh%5X(J=eB(n?99LEOO6rl-{6_t%8w3^%Z#lCB#ma>JLbE}bVgzDRQtbgmdB># z;=((^#;epi2EGOkUy!f4(0sSCTg8V~l)oqAofB`W@}tjy^*;#X*FH=7SHZOiY)^zY8`p4$uxzHHpz&?di=G&DD_(gVA=V9#?l< z+Pvgrq#&-CyK9^D;#;l-Qi0Ui)o39*1OI5`F^gb{+(uNPlQfKr%0OH-4` zl$nl_uO;m=tg^BiOGE$wuAfBqmiw48cwj46k>xVg%!mFn4KbBPgcgT>5<4QKJi4d{ zz(K0>62JkV9=XAMQ0#_?{gYVH6Qu5G=6@&*`8%!6Z_sv#>U;|g;OyjBxAZQP(8+Kk z*7AOTOsANVWCOn6>OL(Uc|JgW>MoZ5tvAwHGO}>K%D?RQ;9h?Ay=KJ}C&f~s{wUcS*LQ4UwsY)w zYI>E6q^xvaI3D`>UXDq8ijOR3ne+Gc*64+(ka?9Ue(L=L>US+xPG2=z?z=ViII5v3 zD02@&iP82~AxKmBImZmvAk1qZMLu0SgKoQD&3jh0d8m0@h5ubmc zg*l$#CQ0kss<qaU%uK7w9()4W*iBzEb29v}{0%K& z4I5ew<@f?Szqe1bQ_MfmC9(^CW4qN46L^-*fc9oKVrFf^T<3@PrhgAB!$;QN(G$tj zul5;-VF|MT?I|t>4DF5d@X&Wuor#^t47`~hrQjA-QXN5h<2rb|wB{Ye@Qe3mrhDf! z*}_xPnCgJqnSLEb<6er|a&#$oyxCc9-zSCDHUC}bt!BoUoAF8;`-mQB7vdf+&PjGU z1(Bk56z=J5-8J6*n;oORkC>)t{^OmQ-mf7uoX#=y%ScXyc|XmijV+m*BW`wooG)9x ziM5_2RxUy?)K!D6{`}TiFrS-Kr9=}>GHlKJmW74=$D6dIvLPkDG&(FLBj<(?0GjcJ zB2%6=V?^EuOGU<%zFb8KMV3S6&Zy6yKlPgrMnzHT-+PP85E8J1DD9dckXJf#8qZeR zf`GSwKoNr9SBCTOGIa!7p8!4k|qV+`StX{iiy_g4+_M)zIPTWgO5Y6?$>=dt zWC;yHv_zx^U!+xiL|ziuR4QF?8L@BNe~$a}HeOXq-ip>hh%@8DAcnMddB0(xTGU2h z__Aa<+fKAJtk z(SUl7+p6wh?v$~Xd#VUInxJ=kK(KXxWNZ**r>XF~1feWH6!u>UvKdF{|LeJC2DoDO z2&wKKYWYJ~$_)2{VuQ@ZHh;O;inL^x3?J&I+)xH41jdl$#}{FK3=43mA3$M5p-twB zI;_Fn9%T`?dijpasx28|_`078(a3g1K>j5|-)&JTp1tIU?(d8WO$Ya%N~#Sc1CM{T=c-! z`)hygL?m=2YR?RxKuzk)$}0^`8{>sELb?-7W-2h6UYG${hKDKs058Lqf1mff@uSiS zXWNTPbE!qxTza)VRFRp72YIl2Hr>@WbBhm^OJL~wO?Gj7x(oY=4yMR40G7xCWi&B; zp8)j?nlt;yaAUL@Lz22aENA>BNphdMX{5Avz>HoCEu-t4n9o`n^t{7W%e1+ZN!kTF z(QVm_C-P(_Fleb9Kf0tmE%mPq@ZLMhG=>}`>reoAw)*BquKuni3G$gQhil zg(iZt$XuI}FLg3ud%zwnW{P8CER>lP!376VL)groy)qLoFBtjkCz2ar30B1{5YUts zbyQ?02nDd7hZXo6W9l4Z%#vPn^Gk`Yqp$n9%G zP6hh3UQ8deGZJL68HezOL+fIrMI)+99~^!QPM<8zV)~W7{y!z!+yAY{{vRW89hcVlt4K=KSMFCS?F-F&!w7cw!y2Zs&ZdSH_?;~MFBjIFX zN0E+qT2|-o_gMBc?tYn!XZBk3C_z8hI(|^Of{k_|46hkT;3Pu||7Xm?i~Ra^nOo|! zEeIvzJJ8+|i~jc~lRxzWpDPSIy#!px_@>X_mX?ghGhKbKZq`p73{8@6_%3RuY!q>s?_fzRD@x2p$J#zUQ4pXkDWT;tIuHtcjMj8d`lgiqpdNS z<)r+ot+abgducn{e|FPHIHsd-tA^(fZ!0^}-9yDUdE7YElxz3C&{>Fs854BUOmGip zJQ?;eH7{e;DF&Q2=65&nXB46is-3kum5Qq(Ka}b)mwt*(D;rT7pFyPBeMp@5)=gKn zbSdT=YtiSb!ezq^dl8MPpJ3K<9fZ3Xm6UeB{5|gDAZ6+n_!V+V+?PmkMQbyF(ME&7 zI7HrL{j{}pHYY#X|NVG+;aZ|f=vYM8W11Ngyt=E}zW3wtjACItQN|HE@V-+4xnIFd?D zD|l}}^qsQ!4c_r}HnonURp;DnX78ct`(#cUDlU@6w^O{yL_-gr@g}L`&XNZKS-a*A z=gIlW2*pty`_%e$^1yx8tkk^ZEL#^f7n5JZ&D*80xlR1~4Hd1D@{)uoqcxhL)B7$Q z<9t$a5o4#n)NGbsDQtuhvr}>$2_+716oH-sA;SYhy}76Eo4)l{mX!%G1N~SUfk?`e z1Y)*CJU3z3%#y~*hd73;h7i;(itzRnPIp9QAECKoqixG7;f-)yvh}(=^F|?aE}g9) zP=B})^~=J$wsP7d+LzOR^*D*PZ7ufrZ<*7XcyE;AiYv#4X4$m!Rq?Ue`{|+ChE?>Q zwHuT;WA)Q87|cRkC&l5kqnm1U;LKc!asX)pwoVbO6=RC|F-*J=iKMS^rmM2VE*?4Q zD0|5c8kW;g`m*^EvXRcEaBUmcpw0YSFVJO=jBm|7NlGYI=8@P|43K*n<&u=pYr6ai zw*N0?C~<>uv&wjbhayGAQie+MD5q8O_6LRWG()p<6ZrVwx!9i1Dd~%?g4^7m2ghA` zjq=?PD9sa~EMc*9e5FgTV$yk8)oEDVP|>T+3#r|WXw;oL_Y;gNt%UQHgrcW0 z=Ko+A=(Nb4r$HkN?)6DeoD1WT($2YMdjgDZ#t&v@93@zU+_dnXSZF)Ts@D*vtyXsNndORJi*vl{;Lz>=e&u!I$t%Vm=1gLzX@kPD8_~T;816hyE~MV;p4HUi_}1^X1MBwMe!{ z>0lzMJ_YF;4^SclssK=)F#-qoLdv(?-oXQI(;;G&YASKauxxh;I{hxLiWW1t$PvBx5S!q{xD=Cx0aqpi2P zx4T4fKBN1e)7BKLq0^(Y#Ue{s>POur@YHV#FVs%R162pI+&pery~n3YbDkH^u|{#4 z4W8}hkok=-LSUxHke+2Y8RLVNgAX^U{Mt>nJiz`eFLLNG z-kH)>uw9i_cV8=8>Ko0PrJ5P@1z>hEoZo#(%bWOfMoPddI4}W)lYE{V7I_KmmGK}6 zkBD!EesUadycF!fd2kY+FSim?$loXxfp;=^ZH*9ByiuxRZWMfYOEJ;;j*iV?v}^H& zgTqyJTA*4;LCMqtCmXwqH{1SW#$|)wB1_A=G)i9@C+X`E4rSy*NPPD(#f9O`&CU8n zJ4=(V?*sE8TqUrX4Kq8J{tMa3LOll{AwFef)wUp-q!9hX^tOIq7ELd5=kZsKjOVTy zi+o!`-L;3K+Av+mmdlRAZf%4{x1jyIZz{FD+q=Y4oNU4dY*_@i@pPJ) z&i-#>wu=4;5Baf@X0WAx#B~fku46bSzad^*`I5VP<$FezKCDc#c<(I}vnsJ_M1azE z1aWlSD473M_7^jCFTK(#ua5D4*FWE4r73>fb+l_wXCK#^9O|8Kn3*D*VWRShIO9Dx zCpOp~e}XMIej60y-y2G4a2=R}pJ2B_b{AL=Q@mP|m1r_EuPJ!~+;_GYa|<=zefoAr zeZ3rHE2%>JC5dlJCtb(%4$ZQHK$2i8b#~mjW?#x)aXARM#XdIe%y}Q|Yo*-wwHfEt z*umkZYVF)6+OEj(w0dX04CR+gHc)aDx?@-7Rs+=xXiQ?opF~f8Tn0 zhab&uC>N#q+!k95u!Aw2()tqj$ z2Ws+6URljx1HK7+PHOv#5;H)q*W8j8vnQa_s>Gp88^&bpe|t^^(s#mJfeKKu7pzP# zD(d!qYE$b=o;*Zln8an6H%-@Y;1ZphMLUdMVnnG6i87gZri(MemrA(=U|te9Di)(F zD0@QDGtRIMhq410YnC(_9wOZT9`AH_8k|oEL2t|1EKfhmZOQ!+IVn*{bwQ-A36Xv| zKTrU}qmxbo;z$F?q7Q@?cF9vdwXO1}Xanjx%rW2N#+gf+Sd?(i|3CoNJg1~K2*Xum zp@oVxCq1dnP*5SFtJk$D4MqqMEh1C_ya3{bAJBT%tV2l5v?r&Ufhm?SP^?l)ftb4r zK-}VN2KonK?}*83B?cH%QLdhGe(s|b;WL{| ze5>fVSGHCC1URb^CJylUzug*MUK5V6pZbj|Ki~v#AiODB-V(WYNn7Q3faUSxaw>aCcEyM7r;yk#iJHZ#T}zH} zN+m)Pj5XDzy>ajrKV+M5;ook7XHT}60gg#Tx@e8SCo)vBjsdKWQhqCNNla{9Uz~Fe z!yi)IH~ts+b{;JA)o71;R{=4d;NAi6-+phf-;Hm-1>Z~Rl>V{%BX1RcmOH5;Ua-xC zZPYVeB{}WNw?S>QMK_mgZ|_!S=tk$vXc=hh35u1D&HnkC&dL8U zXgxi9KXLG_>~pL=e1K(FrRzdmyrD>|GLmMCfJ!+l&sB^jYLic7EPhpul1SxFeqoR( z(+f>G7vcRmF?Q*q;!*U?JNM#Et@%88`EKb0S@@NM{PPBNn!OsQS_&*G+q2+WP7iMs zG5x}>T%yWGnXH`l(P@P~fs#Y1A>S2XtS6M~HOOlM|2{sjo(IZ6xhoUq$WAd9D52k^ z7JOKCGFQ?6+vbd+wIiZz$Q!57RJO@tuis4WM0ayT2Ls{Y)f!dyh1h__Nkp0 zUp_o*idRB|Dr7%M(%Z6B#cJ}XGR;8|02 ze12|vzKfH%)gA)WH85!7NNvSZ(vcgVm<|+UY``3UxL!D_{E%^4;~K0P z$f@^pm25}F%Xzq4qs^qrhO5F-R+GsioqRjWsMOTGA%?k#=wREcjUolNqi?)_7Ug)b5#ecuI=0GlQFXstsmBA?^dvqy#;;y2 z|Me?hyB76Au`GL8j(`#}GLf~{&;Fp;{wO%YJrZ1`RvvEH{-fcp-c90>F*mm#hYwQh z%I$XFsE*PLgH?dhS}o;0vrhScYaMze;t92t98^vugMPq3%Il?@?&~b7_l+6t+d+YRt&KEdCV?_0Ep`@LE(H;YRIZ(XZ%-o%5mKiZjZ=^E&1t#y0Z%cwJJI+%tZh4yb3*O;{Hg){lRX`@ zxB%Sxto`gN@zU^6^;Nf4P(D$DPh#vr+cKp_TcVi>dn$ER4jY;97N2Nj?@E)+&^VB- zP_N3#$=>6tHmQ4OQ@7XKjoZTYW{Sw^>&<5>+D^=RfHys-vLR<}nedDT`jYKOyp$U} zb*R9@{*hw&X|uUs*4|f z+ltk|-|)5X(J)j>*y_peeg6K<#OL+z&8!1SkeNfxQd;sp8{2}V)4{||SYH-QRK=$S ze}xudLL!2s`MS8x`7W;G`PxN;Ph5Za7EI9Jyu1Z@LW>lZixN9s;jY~HXzQ*j32Xr$ zW`#-bbMSfQ(jOKmFhqNfYStglTM~}J%frc@iH36gaO=OlzDXZ1m&iHSm84e{`V+VO z@o^yuykj%kQC2=Nc3Zh@+44W%mXO9k2~Z-#9oaiEG`bFU)-N$ei=l}|21>c#M)QZG zuf=v-m0SRD8{62nXx7mpT{j2@eCX3>-ndGtVdE)`c?5m=&(II~^iMLgcsi1e0k1;m zEkv&V@Rz;!w+k+(YJ7Rb46!wJw8Udn-;8d;e77>=dMabn+KU;l*wlB#;9*^Z4MqJm ze5UnoBkJq_SXD+vp%>%J`o@$sGF12g$w^w&->>$OY-k>KC^W2`6KT3GnIl|@=d1; zi~FM4n=&nV1~VGV7SBFk{gQMG#&nAg5dMhn4Gz50_1D3B#>GGV-_aNUUgAFzcf}J! zD^%jHYyAfx`EQ{e0xh(6|Nj))p&w;LczCpsq+}UoR9J>CO%65I#4ll-Y`?i zcdX4Bg7Za*T@*A6bGbv!G(rOYqY;wi2NfU=5>|YiHyLl->jeIRma^%`CG!IrMG*@K zBfrCtQISCnNGQ)|1@NH{rotakcdP_Va;o6c<5QEMqL%^rgH=&fQvl%N1Xv_~Ovxnr zjubRINWIe&d$sca*d!zZJX$CRMgE3$E6MJkWSE`WlW!SE6N9zCE~s{xVGg+ zia%(+E>EOA+j_bc5i29#MZ{rX)=j!hs`6Qu>PiPnSTXrA0?uD3i|$p?jz@w20ITaq z#ByHrSpWvBSEg&RqTR4W-gS=gh=@o*>`KeDW_mS)A&6$m;S~Fyc-z7z&euK8hsljh zbuswcMik*NO_GBhmAsv@J;HuTodV%bij%UFp0Kw$>(Xbg6K=&4-y(=>NYD+>St3X_W z3gw_SF;%V16b}Y~e*pm|5~aTEXrPVOHW4{UkqFOBP!t+1EnnXH#gM$${)>Y&W0zVk zmW3WSl}>5XG*;qcY}v}V=>3bt>0$33%^T^(YF5MHbT0Lb#7~8`(nIUCo=>GzrPiF4 zlk*|ZIrb#1%>|Xs%%#suFAKp}8L2)XY)g+aEEcA<7n%hdmk|ZZHUpDrwQu4`m0Y?B(hG27lkPsPbYPEhShwxq6+31TO zpV~Nr>vH9<89OT`?adk$ebsKs7`-wDu+_rDJi3j-eA#MRc8Z7KToaY{*{m$OxUnX& zKJ@~7yy$ayTWyXOv`UDd`uHUq$K*)T=4w3VOQcV9EF9Fpr7Z%}KcIoYQKBUa3@({b zKOgpz zBve>Bi^=#^lNhbdxOz2FbD`g8URA$)|MVt0%B#mD2-K9S*5`63XBc}Le4gZO{}SUx zq30c(W2Xl%x03uh4D09LE4!LgBTL%~JKHIAl}+E$9mC-jy`Bjn%TG6w^<~}OK%*V& zd_h@Fh*!}}@0h)#8?&z9$Vn6UDyJ!$z=$-A0|@8S5KzM?{%0K$-@l{IP~Ci|XTN@n zDi$EqVw)+?zS#mB8) zu66FplIsLo8qaN%7E1Q~*%rlo!_usr_s0}^6D+J`#dAP*5$P*O^eoLoj#a(--23$?>;9i97D?_j1 z_8LIkMkZm!EIFm#(rd!>)O0gT6?@hb%o_~owjbP<0(AT`DUd5nFUL5@wm&?irKluz zPC^!gv|wowB`p<`va?jl&Q!8DbWy+MPpG8Vp-Z6siuB5TFhd05@pAU~wC2 z!MS9eF)_^jz3F2b_;m<$JFFs`RH& z?(;9XJB9JPFINsUIMeptc(YWbIFiDd165>yDb9*S2sBwjd)W<=1~0u=UoPi%(1o4G z+{XHWQs_D-a&_$u-{OxhtIk8!`B(RR&S@sOrG_#nF?F4|W0mV`qCV`WF#CW6=OuEB zrugRV=xV2A-fdIY%BA_5^2%8IvyB$|3asFX-J+SLP!s)4udYWp5r+t{?ueX)_KXE2 zyxT7n7B2Ls_t(QNvOo3+C&~T;pu4YelM&hJ^&x)y{YOiu9HYp$GeeuoG2P zSjNeOJ#=a$5wPTfW?pvz2 z)x4Ie^1aFyPeEdZ3$Rv=iRYu-6M1TRQxIVZ-J6bX@!d8}1JXF>u>(&8m3GV*Q=`(n zNtL!7^jV1K-puqkkB?`{=+6l^b0H~=75^{~a|0Gia=x>nkm0K?oyTjkaJsErOCpRF zy(Ba3mED<^Gk%IFD!VoH7!t`Kdu6)w)C0?f4kx6vRHHAE*)G43_V;S&6uNf6OC?Mo zazys<1vd&Ejvfh zrgOO!C7*WR9Lh@lp4U?|kT%;+;@UtSw;kYk|X z08MoC?w+HgD6`nzKPIxD*d-oHOHHy;N@@lIQ52=LA`@$nuR4Sq{j!D4J1jc7v}A>^ z2>g04XUkSqNPemUW7qTaqJ6!NNtZXo=G+p^=jI;Lghlz+=O|E z;z_yd+jax%<#EYe*|Qalo{T>~O?d?7lO&;7u~cJ;sM3aW>}wcl?iK0%pZS6crsuf` z1agri^tuxx;<$dknA?X%5hQM|kzMRUIe0lKm0`hd9IWz}lbX{g)Z^P1D_~L+h14a1 z!|`(cB^u)D4jAq&Wmron^;>gC&lN4%`K23TDpF$Y`GuDMKTIfxrH_ znc8X+@P9mggj17<^AnaGYi*#7Ies@`xbm$^ox!;;;I{MnXk18l{_8Xp8AV?S<70^e zAUFd>P`)$gz|a))%snis0i(R==&4zy;bI2|!=<{&VBg;;&!F-)?6N&+*{Td!iiAG! zH)6ZEcwFqd6;1!0vdpH-{7ErwdWxf%DmI1E?|{hI4Qc-1=jZeCMKjo?dWv_7wj^>Y z`tVJn6yijmp38nih4n$Jj{;V^*rK2Qcnh2PxI*33DNCmT-TdMtj2lspyU^`d+Wwx* z-@au;H&=e92FFyjm41msbjSLRxxI0UZ}TYKOHeMH{{K@t|KC8dT7~m$jdqb^oc2T1 zeTkT;LR)BF!5lM@XH6OI$R|5`n|VjIpFxwPbe30NR)!+gF>dPcJHruac}n!q6>>`lJC9xs!nqfAwGcjFZSu4FNc16f8e5y4HX9;)!X=E%_p)%z zQq3>^(qJ|782>~>GqfI_P)j?$6i0{g$a3}DPMj3U`1O>ptIc@_>NhO;HEK?OEWc;u zmdgX3%w}r${~nC80tIwj;(M_U}rHTT4w$B5|3|dK%3oV~S%PQn3}Z`Y!iT`Ss97m{LC34 z5GIJyhY9A7?XEq*EfvTu{b!xip5E`r8tF;KLoVZClaeh3kqvL^^JiRftoTW8c+=jC zUEbFy(}_d5lIyAk+7j$py!+&d2D*J&!Wn_=EnI((ImwwpVj#|T|CD|~xydeOW?4il= zpXsK?{8FrQzaL5RAE8;jHcBxD%|mw~%C;Xw(T7*NV?T|NrSM@wD^EO&Di(>QUHGct zAV2Dt1QUjvEF%%v4|;0&jE@$16yJNg81SbQV(6Z?Nkxz~b!y>vo|Jsam<5m%Wp(agRPFh+^i_?CkO^pXR+2kQQ^?9a5u@UOS{Y zNjVti8b$7{Tq0O}7zN3o$5Ho70-WHkkHYytvBM&?q`_NUIjBjVXx!&;C zj@kHLHl`apgQXO4z>xVyITznN%N1xNp?o41rzx_yO$h^fTSN8v2S^)X8B@k^-`Z&$gP>9{^qfQPX;vKeqxf=Wfh)32=+%Ma?0hFg59<_c-q#cq)T3h=F3|U*( zzSjQ$gZ}{1YtBUWH>B^|Pn^MNOaB0CvC+|IXA|BVa}#!1o0;2>gLAw5CM}(QZodV5 z(a|rvum4J*z4tPG`UkL8p)lR>2tW5YU8d1b-3+r*342p>{Zl0~2mDq-ui{w!mHX5x zJ1_nBH}(4{lzE;gkPr9 z@k>xJ_ZxE@4eqbC7VjHNu>Nxi7fKYm4^0C7tVdYqvbm=NDem#OQ0nj+$F+o z_#L}AH;~AivZg_B@pnI>ihkT9-gE2$3rf}Y7>Skn>(9IPBkCGmd#%8%3 z{939@ZxosXS-WAT$nspf;KfA6C$42Zy7>%Wa`46}SHe6d$3*{((E;yrgl^sXWCuq% zy1#4M{-|jc2X!Mt!`5vH+ye}Zx_R#`>bli;!{}B$u1xWi%mTvY(ve$|L=^58R(R2H z;=NlPAm*o`%Pn>%j(;A^I>p+LnR6Au7laZ*%?b{>sX@+a9)M7SfGljJW5vRng&YAkWu6kLs_F)*5J6#&INmTeB~G;tuJ;Z%}-=N8+Mg4 z``Zr35xUFUC09SYGZaYk>G%q0wM8>r+c9MoXE#@N+KQl^B~}P7$VbYzt7Y`3c%wUpmLMAP% zF?bpnTR}*B^6N~jG7eiBw#VroKsj!SI{bie=jRrM1{&D0iiJnKt1&ttGc~DnOekbR zah}b&UxSH*OVyL!R&7f-&8)5R+X=*0zJAS%VO~qTV#1BkR*gIn&RFK;W04L9DNBX0 zZy%m8uQ1y`Kz8=0{?Ri7siPaS6m5ZAc^>-0gytmpP1&ytUuxS5k4U}PC-XQC#LE*) zunR%s$)MyyWA#GFuA=Xe-b5MbDc)`y$I42eV7^$2C7dM3^ZT(xEqI?h+U@xG#SL@* zQ;ErrGB74zjxSIDIpTgE;8Ko=!vi4`OLBVq6FY2 zgfXXE+|XoY;QL)f#EhqE-|{vTMCuPi$0}%oA)Q;dKwlD4<4G7n*=4lt*IN@b_l`$~ z5vHwtfk@!fd-iz3T@mMVtouNAhbbMl%P)yox~8UL-&jJYCxfEuDJCESh!Z7Qle#0! zd_nqDOgxASD&%23-Xa2cp3tmVmCqcP(25EopSF(ltouuC7h9Ek@F|lYg=^p@b@OeNw4kh!pePR2~rx{%&g`9y(hO966 zftrq0VK$hu@L{g3$)6ygX)f}~A2$ThlkmqqeW2P6t_@C^`k53ZU9a>+Vu(tiC>jh3 zmKHEZexxzN>Ef&rpwE}wG%1@Oj{l8%fGeJ789NJ$8BIyj5dckx6fjs5Gx$F$9Ej>J zo|97}MSsMkfQ*G66}!Nl3tC-Jcrvxb`1}Lt`9NdxWuxDq13IjG+>NLw3BTrsBx;p*`=rCM{GM~9l*Zv3H{DZy`XD)d>dXYJoev!@+ zc`VX}PQ_MbUM1IDZ-k2O&}W}_GCn&Ur1LxhO5A1^$0V;9#>-LrwE)yzyCuNIAxnqz??$c^;4aiI7BX`Eq!P3IS0_cF-(L7Dntfb}i zw*5GCrin>L+lpH5adzBc5$G0?W`bQg7*%eLGGw!($*N8-!VgV3_Gm;YfO)GAzqHZF z7$-CD5kY=Fx-lQPukI$e(YY79d@K&dN9&c8K+jyraG(0cx7XOL9Xb2+q(s}+K)XQ6 zMIi0=z4Js^zRsO>^qai@8fHSx{q~2P-XUr%CvNLT*#x#vme)Z3(u|O))^>hO;si-- zg8m^w+SRWD_t2i)j;jbjbH<7?##x+X9UZc`(%8J3o_nfwGO}3jxdB;Cby;-dr?1%8 z`E+jHV~V+(;hJA5&u8p`{jn|7Ts`e5wa@a4j)U$JSqK4ec{q4~eqZe}$m2Qf1U@T<7`Q!{ zIlkw*`MOy+8G2vf9>7JNWpbE@x{saWUg4&4>_)RdPAN_;Awt!1Sw5gWS?s+Y3)4j< z2^jfnioje!3S=yPN%#?iZxpi7>fpng&hzN@KI5P;9f0akI?98Jpl7Ru z`IFM{oR@*+^0z=f!FN13qp}cF0qfKTSK`{zqaiKWs}Yyqm?4WcFQFCoQW4h_13`8i5BAkgG0b@z1wG!A8Q1(d zLKHp@IG`)6^B&HKU(f8= z{N%t}vvpxV6;l#ZAJ@@5RHO|~ZWH7O)hy_qbry?zN^AjEZtL1+oYvmx0plz0l;S25gz;6GpOG<9()E=YTAJlkqqn$ zOJ#@pX(eL_q}JGfY?I$lBwN3b@Wky=T(gqJ61;^M*k5uJ=6ST&^1Jc4J!p6w`BH6W zr&>^EGsEr6U7y2mTYUghyx_r>|HX?HW%GaZ>HY^WukBuhSgK&1!u0CT5;EE=b05pc z{(Tg8xjntNTr03;(Oc|x)OC$9&vRIFIa#d#F_(wK*>TXVnp3!BIZqq+IRXXp_1JQi zXAU`VU0YSq1@xVKH2i0L_}{vEb(rKhcb@;yCZytbIZXE5t0U??kg^4%$KE>27f_yC8vK4)m;@agq@tdD21)<4dH=ed0^TK+i27FFsnQ2#FQQj}=2 zsoOL;t1WnpZb&^5<%{@QwnLKG)dwG0&?r$maJbH76l?~Z{2f7|xXG9yj z3JK#v${(_}n}wHJr|DK{O3dsTP-0&y$U9>AiPmEkCh{Iv>}nRZb4xB~m%b2jCbjQyV6-BP*RA31nqzB9fU{J&TEmZ}Fm{qT}Rw(l!B z;#n%|rQP(w0UfOrf~*q;|>3(G#})){Ct~P-N(ZHv8h50x6^;$dbB*_+n1V>y~fljLWgX zyy<~?it=$4gxXt`ng%sZy$)yaJVf8BjsCK}aCW?@G12M9b$*X8r-fb#!C7#N8&O^! zfT*@AnpIfS?_=}8hmU-Rd%&5OHE1X;uytCB&;KZn`(XzZPUg(UrV3S(8!90q4Y&Cq zp9&e;EfjhgL73w?c;eQ%rTfZMM6fSQW#Uc%sO@^6Ok{&NnnL&^g_p~MVoN324CLHO zRA3E0FnHu|u_x_-eQe4FeL0c-fQphpcZo0tx2Is&2|RIVMhi?_VWjV)D_$U z3<0*B3#rGc8l%p|EpA8(EN%&WSr?xj%z7Kv92E5rh@$NTr+!hoANWzIq{??4?E$=w zy~3m*jCfF!pv4%vSZd>txgW%HL=Fape?Sg9SRm-GIIldANZ8rYL+0rwAJ0KMgb(j7ATHMehQ9*pgl zeK10OE~$3B>h}HqgGi@oR*KPd@lE3$gV=J-{IOB9o%nopE}-&@DBm0#??%@FQ#57> z_mcF?_6BisULE1>G|#3ti`{5~BZRLhQWJN0EJNhOV58{A$kcxT@0!fprOpXNDwEeT zF??p;F5C5`U}}Q|&mucDGkRN@1uC_Ey9{OV&PdNJ)ubN|p6W(Sa_qHMGf6EKW;b}*RJ;M6%9m%>w=F&VH4>E*GHOhNKiNo=j1>BVhu|j<+h~zSdy6(p2_KLDp!c8=haI6~^wJd$eXWj;$pC7&}eG<73qXXQafU20xHxyG3 z9GgP)F8?r=#nRs*mjbJ}w^Zw`Qhj974l%E@9FvrtZc>L<73k9(gtLnlXbxbLV1HAw z4O?8D`=1D`P{#}ni0_Q7aheJpsR^B|cUrd>T}YeRC+`1X&o`|7WX|8$m$g#ltt{7p z)q@O_jq5J2Zm#yRY5Ly)wtC2b*DNHW)Qldi;XP41@ot9NT7UQA1}bSNOBgy{2d_b7 zWG5v;{18U4&rlQzm=H8DcgOfHz7YV{cM~~<3sx1^=jt;ydRR!wqR81ZBDWtkS8-6S z7YiaK5EVP51vwY=hg?Ai<6Iz%n>F~&qF7oNPrd#{=7zJfJGCdRLiy4%5jBM@;O(C4 zP@YWX#P|Yuptcku{FQlKg%P^Pzu-KG=Kqwu&X!3ZSKob6Vr|sSvU6l^g)$rf=pV+dFL;e@nN-}PF#|-Q%ejNZ@9gFdsfkxgnFN@GR;VzqKi(f6e^QL z@Td*RwRgOGEgv)tA3iC1cv`?7UI_$;>Pv-L!i#Ce)Moi#zVbGtW9$tc7>@z4#S7#; zNrNvHe%(P0P&hwmQY|Fh8cTbd?oXbBp&8QESLV&>y$qfj zbrzZ!;Kx>q{ZuW?m@;Qa$wZnZcK#4Hrf>)_gC1$zE1TD-5!y1IGZhhj4=;`qEPN^MPn z$Vep47ahn-0RKOtAAzs$6(}%G&W8Zfj+{b6&!idaGjUo;y0$c(!$)$PzG3nCOu+Y0_JKO^IjL`eH?0n|1OFwb?d9 zVt$!LA)$%+)Rs%@xN@;qJKbQxc3Hf-jPqv`omAArwx^S~1-JV8^>7 zY>_5lQ~+VBV|jLa{PJLA-tPK#mA`QzSNQPe>C00ZhO2@cX$WDGLXkAcbI1j3yfp6|;`J>eV=kp@M6v7b@D7X{r8v(ljag)CeG&Xn3U+7Et-1c?&v3rGo{NO6P-`F;Qi5J2DgxFd+7 z9eo5two7gtioj}gXB4_=m zp^04^!M*LATry47)G7@UxNEVugrZ+s4`^0?Pbpu=xrXdjE>S(QV#D7kS}bvJPYWd+ zr^9q|zPIhlPSBz9YaniI#Idb)#p;Hrr1po`xhR{s7{@dR=xl25uFHo8mHg;c@ngy< zSRv_f4MPa09y?>+o7P+>(bDnsa1zVFLM~z(H!;nnh-s5RvypCEH|jZTZ>aA(6-YI58txVv*H z;?`dbemp0QUM1dWIu)-daUg!+g?Uudt1jdMA{aXJ{KZ@6U!IE_8~N^5icv;9Fl=h* zMoRNh5k4wujMGq_GhUofax9V4JeArY2Bo%N)8CGhlIA^=`xD^p+pFaOSBaVwhgQke=9?{ z`A*PY?HFKAdrN%6R(sQ9QR}_z;SM@AIR0+)VenJPL)$&+SMsk~<{oL@hAaaV=O>*C z&57RcSH1oJ05}4RR~_pa!;K3V#HZ@I&9kYHO56E_i_gyk)Q6pPih>Nn%3ahK5gMwK z(G5b`(Pwq{j<8e`>UsrJ4?I6yhi&>@e9g=lQ1N2KUTIxmU`fg@=^$Y@FvqopTS=bF z>)y`UCVS5w+}P83D2f>z(gMdb@t5)Q6%F}Kjx@4&)bD|szBImZ?f4@MRpZtcaJqKJ z*4zpwxsYE9247FWZ4q8vwGeIg1+@bzLDtgQe*i`VjB-zFrHKntFl&4HBl7k96*33` zR=}~BbhFdLptKvI?^*Fl;6hP>y<2sU_e;{6rX|1hRLXdDzDd-?_jk|3Ey7cM`yFqU z-=s+f<@dZJ1S&-8dRMv4(KR%usUeQP$C^BjI}bzb^zkf?B(xoG(z^(Z8d=DSODcmL zPneSb2573Ej$IRP|GZaS-N-(D-2K(5r%nNHGs@INCi`|#<>Pr<%k66L{oSBj@paUp zyLDC9^!zw`!*p2J!+a_xi<;IE$aKgpGYZhAfE~wNiRoy*!OtQsb!F(!)qD(68c7x3 z?~VD|$+_z-@4I@~?Y59!xNOzhO#-Tt*IQJsmEXipOnWQiVy!Ta*kNN~qvR~jvH1j! zcC&t%CLecw0A@4<{W9L2;Iwc4aDXJu4;xI)0}Hh#!_C_GwjJ*}ugBK9Q%NNVi(C7 zPa0_taq0$n3DW4c)p(rfF-y9hIqsFuTiAn|C-^c;)GAGjqbt>$#~ayi?C1*pj~HT! zy4#WXNqm1F5SUuje3ML5Q<6b$!VHiInIOf6!9Ui_Q~C#B$|)OrH|O03@l$--?KHNd z7wAfCnBu2kP%wA4Ny}&*#pW%7Pu4IqZrSu1G8wj?J@^M8C;qF}W#K}@+05AFr&@D5 z7SnVvx|v^a9KeK1t@cW-g{+-#$HVg z+z1G^bI~T2DK{i45cGBU}0tL9q|%P2H-D$dh}33l94C<2HNMR z|Elec1d@rP)a2Nki~@R{0k+cE+F`!&anOg5sh6gNi+K4+2YcRPo>o6m4wN_=mOk(; zAOjG5{E?8-T~1+IB2yx`D-njVFyU%mMrNM>2-jp7SZJ346Dh>KkVG&p`M-BptOS&S z0;9z}1D5T!!i-Ap=HYbQ7jQ*a`U2L7&|0JU2hQfM+AI7esARXuM8aW3sK~tei76CU z)nJcJ=JKJJQuyCV!m1^YOp5yN$mp}91r?pp-r=zYoof{q8b>SH&S80FNbzN>3FLDJNgBC*cE4lXH#dzM96?WDR+Xx)Thcm%gn0*E5HS)RSKS%sJ5gNMf=~Cy*C-4A5>*40 zi>NMm5GXU;rm?$#j?WQ&`BAxhyX^b$fRAU=JzuX5iGlIr#Pz23v2=m{TPovm&2t=c zDPQUMl7s$aCX|CFre)-sWbBN=w#mZGFQ)z=60^R7)^F9Q43xMI<{t*VhMd#Q<0zI|-mHcny>i=_!^Z(7+@wLJ&_Mb4K1Bt)_0o+*r(cEDZ`lI2N zCBE()Q~TD5R#0VSw|l3}|9GTe3(i&-)u%a}p38u6Kb%06?AsjR@}5|+Ca|1Xz{+sM z3|ok@cfh^xeN!lfNJm+33MC2LX3ZJp8$*9CR;ynzs$9X&7^|qDdg5d&BR$TZdod|5 zkPNd?ZpFg*V|Z@V78_b=1Tf1yXjkqUnO=WQFf=Og9xKtDl~Q*ztSs7+0cdPc{R3D% z-tD#U|82!IRiuV|ME7>7UTHn2&QqzHsbD^!tHRzW*H*h#D6QwB;f$mjJs$(>uB}Pl zaEKa_%liwAL?leye9lgz3=5&w_{A_R{n0!BjM&yt@?fo{*S|H!r|hV$rtkJ2p!J2P zsWYhEftO=_-o#8Y4yc?xABFmp`I0TAt37)DGZLxKrFA*p!NVzWh_d}t+OD~GaZ3pJ zI>&0V$-0&eq4`bLaPwBG*a|}+Q7lEXg&EnL5Y9N#IJOmvq88?9c|xaFe2i!4*nVoD z)b)uj&U;on6rO@=w9JUrdKKI0MbE8t+(H7yRsv_b;p6qf&(dZR2F}3cy3ZG_J8voz zEW~W;&clv8Lf<=R@2bL3w|LM9vxIGC&22pcs;I-y&K7OU4yuywkYR75KxJ~QH&Z2~ zYRnZ)3^`Y954b+P8F@nrCVP~IjTH4Ce}=SbGFQSh688l_AWr(Nmy^@d4c+SJThL4b zyFl*Ec&Lo~S%isXD0jf?G-IPQQc8}SWGS_}A^s2JO7F@W_c7Fe8tXl^ZnBzzZ zjGAXez|MU(xy}GIAf>7I+(Vn0U;{Mo&};rXxU1dsVRbxkLEInB8~k~@>`)8Krg4P2 z^WHQ^sv*7C$+O#2j2ho8u?jZ!Py*YdP38h7a%%w>%T>Lxfxq1a8F4x6(Ic7Q`ju4M znc=E@VpLdW8-(WSqr-2ry-MAZuYInUEbF}3V|}6{JHRKe1d8CHyfnsEb3<96LJgi; zMk}q7OtY!5@C`y7!*jxW<~`@4l|&{a#C^jhD?Otn$~pO9JSNXp8@!0^-b~5H_0@{^ z2>;V30-shCa7ck{(>V!0T_;1A~ij}C-T+N`xW71c$W|B7`2<5{Sr@cx9h=) zrk^!GC&};(3WwcX#(ji&#cdRkkLX!aC!+(ebWUI5cn6LfROCgs^9>9yuE%|us6s{A zJSXeVX@%JT$xc(N-l%LZOTd!G#&uI>k1J0!SE_?vbuN&PdY`!85Yl0np}tv%R!3Xd zzZhHwnQlBNS8qe!P#RNS=lcm7zs#31p9OG*(Q&R?8zGL=N!yVnwCk4HR zDs{Z2^N0lNn3>Zl&V`d>j(e&_7CIs9f$5?@a>5iRU=K;AoaP?4BbuNIS4l|?VtU!Q z!{N@flEFyn=R3TE-ti-IH$~%jGxuEl&cEh+PbvXvw2?}19=1`u>N7dW>Tm{d`WwHy zUaT!xXaq|CUNZaYSE&zZ{R+zXF`Mo2J=Zhs`=~>&BT3OFys&J%rcV-Lv>P_}rYhxp?w# zzL-tyw6C<2@H>!4noOfBsabEkGJ}i!(bhg|^Lu>nuYYqqDOk^g96%JS6XRNG!HY5lD^0Ac3Rsoy{^xoNNV=Z%GTJ_rp4^>yt& zTN0`~^}HSlB-#r1*pj;Jy`6b!Xn-#RL8gS-j2ZUIMiv87y|6hpz*G7y8i!?9A3Sp4 z`!<-LWPf;0R6F1Q(o|zV^8EGW3uz9`rN&+vaW;q9#M3$k*$6dGVY&4wO?E#eachtp z!@;Y|;r6M#_Y4aQ-^DQ@?n3oW-B}dLNOdnYcn?$yl9^gQ!M^AUEEeRE$H#H36-k`0 zrYjM(Ek~Q5XSA&M0&^#09gbfEJkNo&!pdvX_d$MyH*QBNSw9bbTqeR`65hWd5)n%3 zNo7{fbtKI+c>E-KsxCo`j2FnE5B*EZ6pSoPiLKCq_tw0>ZUBmi_F2C^L4uchiQT6C zemoD69Z*Dxh}Z&<21E<}Aj%;Ysx;m<`xd{2LiWlBD>Tqj=(1GS^uk$mB7!L{gBgKI zYUAUKO=ok32b*t*Qh@ebh?H&R`|(^c3}Jv0J=ArGrrZ+zjc6YlC=~`uH<*`IihYd; z{q0PO)#b{zAj8FKy8~i~j3Y?LsI#woynNw|SStNApdF#S5-4(e;#W6&R-p;@$dz&; z*%XN&!sN5UU#hhIRFWvVMeNUiyCO1pUIJ0*i=hLtX$piD^WUujF^z5(JRcYO8rBvX zylB&5+P5R)2IdI$xmX26N>Ty<@#U~SoA4qRK4d=5`gg2l70qwSVKV&J$f1Xn@vMK0 z2*SO&aGXliqG3vEpDXBf#YMwJa78hUATb8&uS`nrS4puMmZ4Jhv3R%HDSXCVZTZ)RFA2-AS(H$L#)~!%z zf4``N8}$ey{Q*AqOHb|?gFhLG{~(E=FWaM)(2kn)Rd?;#v$e7YkeZwfW`V|Cl5KAe z@$&3L!i>S~{3jFZ)7xivFy6?T*ha*L-@V@sE8s211;_g3q2WtDFY>B+GUy!NNpP8F2{i@O3Yk#Ga$q^4EJuBytD|Kxx7&0Twb zgwDeWGy`(^a>94Q9{pIy@3=dfcAhyu4E}S=z4!kfb5{|jN+n4-(s}RwZ$Y)T#znuY zhVv{r2c%$^qnw6M4XA*f8l2>rA~Iy!EoNHGsY*X<$M0Fofaavhf+`r; zS)GBrJpW;vAPKFNF)AzKi1JnSpQxqy(~nDpll_5SnsBE4CZ3#}!>s_$QAkexKYYDa zR2$H{?HfE$+zZ8nLkkos?h>F#(Be`k7ThWB4#A;7fCPsYmtrk$rMOFxwgjhGv7Y?* z-TR(<#yI1?=OGU(S>O8RoWF@C>uLzHv$28tA&s68&pMWPM=;o)G{$ZZxvZeG>Y%2M z=09VU zT2lrz_IcXjbYz#!H0q~J2PM?B9F+%*bWiDFhG z!zA7Ov1~eQL=D(C&Y`@hu_DjS9Pq{3=|Hhn-8ky!I(vExPp?QaCS1rm3NlGc^WK8+zYD@vXQcZKZDbDEspAg|oPV`7#8vwAdD=eaIu znNO2ZY?-Ia#UKpb8{&#HXy?ZKzTlgT-nxFlrj+IL%^VTp4V!tyR6b4}^d-AOPL+Q3 z(U_P<({JAg7FW7x3v1_GJ%T)UZZ5rOqSj0kk7qAvzU;KVprXlo(z7l0(!3Vu_;46b zXR#sLAvSe=UBz&K3gxE}*%Tj^ghf7+rw`Ckpk_W{HqSj9WVluvXn_3OqGr)2Oik}P zJ8$A&ZomCHlBZ*~(8}}CWKkrVO~hu$5sda-PgL}R+ng{vG@B4L^Qxd-4(eDk@s|Q? z%Tnd!s6L&huSXVmsUrn1h>0@3B{h|VK!_#g1Toj{71kG#ru zg7`76%@}rPI8UzXK}6yM@5|257qVIuD!xffni#an=i5Dg(EgN}pUcql<;*|B%36+s zgqe&r+n30rIq|a~9l0>u#e9X&?Ih}5;58n@y7}ms@WYoup;pj1u`vG=z6b+mc|!F8 zwUQnY*X(_yxj6kL#`z+@MQ$7G+h=9m9u`X$Jv9Y%X7A{20yQ6+j7-m8YWUzL+RVPG z8LhU-?~j25zl20XBTSOO711l^-NV~cv9TDME}5&We_?6;mcPUs8YJq_gn_&E?%>OJ zpT&z_wg*LKg8$ajg-Q}zW_(eN(WvS@Jxh~-CHSf{N{0DvG}akix<4q^Kqx5pH`$yt z$0tf&zuMzvwBf;#=Qg2?utPQTVixLW8WRYZYO{a^m?JQ#$!>#I$X@w;g{ZcIb3Ry zDHc(0nKG}@HgF|~*32Z6mNY61*#8^f{2+Yhp~0i3F2{^P)JpjNy}uDX1G$tBH31w4 zYY8wj-`DZnG;8lK8hB{L@xI8yQEIs8&C@-Xr1Qur=penRWBh=44)Vb&Luxr&Rs=6551 zWBW1ULD2zJ;D3P9dH~}dAgHG6>>r?j$+CSzz(c_6T#6^$U~hOJ-wrLpzvd%hg9*Q2 zle~$+GnuRQOY0~t%m>}asmRyqdnrW+iwU>fxpOG|s^(~1>qOG#1{&^URL_(fXJ)X( zuovc-H4!3-T32+U*d?Ew-aDVg#-8hYAVqT*P@T*tXvfO6?BhZxy5Gb+>d_7DxBmxd2)&Pe`VX*G1Jsu~JMTdjR`zIW?a4j5wAJOf z+)5ukkfhMx7q;Jbo9M3iU95@*`6f+q2ky>Fpz2~=EY&2&Q+#`&ZH62~J&mvcd_J=t7 zKIG{k8eFF)4Y(33%102UFj!ObO4329h)_&a-y6KV?cC>*k=y7`iD-ATufljlEoLB$;nGs1~1!@;(zDw3+^Md`erLh8pTn3h#LR5cB(A3QCeHE~>Gr!vcYB*D(>)t=Wm{{SUDoA1`IjUb_u6+dy>i@(yhgU(xz{@}_m7enjZq~w2M z?fk@K@9D7%Sl6x6HmIg(QLnu?(yGz>kY73HjU$!w!8MUsOh*3!v^$%Zh8-~ik(jPFkz0H;*HlH$)je`UPn-!RKF`;5-%_}co~ z+i1KL1T}zQuSYxTpIJA={IdRYa=l-`n5DirXTVCRGC*{7$-$`%t)y6s{<%j;ctzE09bdgPaetR+$~vKUo!+WjeW}_* z)DZo{x-GI!fG1i>0sFmm&yY;os`}MTF5}7@$v7TN(j}LWpu(7hT*m1--ttlG$gV&# zpbst?cxLu%#iHSX4hKV~XngqI>^7Lr#N<-o#UY{+ryN5CFE~!C-$98(U{&|DIxNdM z{o_936(Ee4%?mgX*`?%rgOWj2=c91sl@&dPNWr>G=-!Tj zjUhzM5gmjY5_h=)3a6mB8!ict5+=tn&@o91zNaCjSRlm}WSEc^Vd}2aziYFkd&Bj{ za3B|mltaiBrRmTs1v-gg-=VC8!qvQzSST?uuwjINgVyQ4S997;>eU4wgP~v#hi8V` zT;yqpXctXMPeE))a*6!Pgdvph8KN=^+MfVeqM+iP0WfmMx@oPLyM<)w07SZ1_pfFG z*s*-VF?|KFf$0MnxWYM3icV`g?nkn>fsz+J?lb$|3k3!<>kp^F&h(+P|Vgp0D9g<@9)laqkZp) z+c~RxchC`4ZFEGnsu(uyrv9h*=&dw5Io-I|NCFRsR zz2CZAxv*DA#H=Q1_|f!oe@v+t@X4Y)Pkc8kT1;RCMqH&quLd91%XxMH$mMTe?}J3D-R(w&WkqjmWuG`y>5D(s!S#`OpGR4R;XzMa0D?|g8r zdMRnr?mWBKoEN{pA0OW~raI@LL+7FVjJHwHI_Pfn3g!d4$?#GKzeS5fu2463#y;Gz zpqMNlC#2s0oh9@C8hca{c>ic1(l6vC3%}r!VFL(!F!@ z{eA)@UXgCWeDPYLEnJXo5ncLzbx?&B((*bsu6(#NWEz9Zv z%&n$RNh}zP#|*+2^BQi+&-#ufhDa|DmK@s49A#EMvTPphU|gl9AS4`}MDuH{L^(+5 z2a3%v%)&K>s+jH-m}yCxLRN67uXXfAZz374Q~S$Pg9XZKMhbU;a>MO6*D1*xD~6Z| zZOS7G4BC5{btZGACxuReDG$DDxq)&SpRkmNwdt~|L1(1#;VIu!T~B8ykGxLcT#61v zshhpppL-HhGD+R)u8 z5|;<9D@NVYWrs*A+PET++o`lKXdoQ}89Hqsx?f(JL$os}nCjYZ+qNR}K(lc9!e^2% z_neGjn7lF?bRCZ8L8NkB9cD6Dia1O|keAF>YonjiMyFNL5)F8Y8fe~47{1!lof`NC zhg8CmE+1AGQr>JYz+< zS(8c{-)zxJ?h#&VGE#Z4CYY6(%O?y^tw8^);|ZdY{FCkCnFokmK92M^?nY;PHwwLoI>4gsvBN!XfxTx6Gc$1|eU)lYq-L(pVX zJNjT{6;e!m9W@XXNW9Yuhwd0;iX9V}eBF`*0I$M83Z!cucg^&x>w1v3jsq|kH;;D) z6-J{RPpllP5}}NuZc8!>ZY3xG9kTHAjyx)P6hEQ8-&0P@Hw{dHc zax+JcICMi_A~*zga1_I_l2dH`yjs1Chk6^~H1{h3`EzeB1kpUMCEU)9?bK=yS`vp? z?>>1=PbD)cC4YujDZ?CTC`BU@zGfyPCOO#fp0LtT3G^n+I=EJ31?5^_Vc@QhBC-f< zt*v|0L494mBNZIH8#s|{QKdF~1)U0-cg()8p=d!Ri_Vp58&x12U|xEjwgw&5O^w@9suJ zUgzzrlFXXMCG2g2uc{P-;}5DKL*K`~_im~%KUgiz|70{1zwvlyTd*@pH&U@uUy`}+ z@jaF9s70&ZX>gjOlNc$izUc`QbzSF4-Wiu*(Q2Ym|@1{l;J^ zjdEddWxZ*{&+U1a>@!<1fmA9es#aH(E<1qTinbb~L{uV;-^+;Tvn68Pn@)OMzCh`L zuKRUHjUFc{W!in_A7E~dNrACOQpd#_hJ*1Fs2oy`i>$6ceovqSEw<$DSWfhfMYAV& znlmUqNr5jdMOGI?R@V9n4xB$D;Z6T~-jLhD2QK2)SvvBzseS$&*ghT35*-I3b?#VD zX+X1kdxi7gY|nthNb#|+p6Q*_GXya{FGAg)|4N=Al~@df>D~3LZ&k+idxo5gSY8?O zCEgrpp)JU+g&_=A2eaC`3sq!LB2NrWImtteqySad{G4cr7>WnXlPZTd@E(XM+ohGb zS*H~47A(wYF1G~mecV=J=_ytW45!|IjqR!=Epy^mVXpNkqBUGng)>znD z-F`sSQ~l8#k%Lv`!CFV5RDxo`1>oPyJ8AEWt2y5rvv~|79kC?^=J0E8I{b3E-+}ra zv=P?CpEJmSx$nEZKRPAHu4=}S31V`>Wy-W3$CBeKVJcgP*b>AQhe^Wp#r3%jwe7eNDF08;ACCxt1;`_?cwxWt6t-r@xU%PvKK|BDw_VXo-U1b8brHuQCk?X32Q! z@xy?tW{}eFo)%u$vr5LY6M?w>E*VU8Jw>cZPPAT{Wb%|Q?SSfH36pE&(OHF!urwEe z4w=5#J{i%@;;hOE6dMbUJR)zz>y(Db)A#q*Criw=+?9RJMxK#H*#gn4)%rfSp6syw zh4PyA6*$<)@`D&S{7^G+pDq`@wx=DozLQ%cS&uoJ5$nkkbavL@k&OF_$@WpZl^(^Z z=#-09C$GWj&<~v=4P9M?^3Fws{{TahMs-chFVgr?zN}JKqL(pcKTfLXH~o~Qxu1J)r%~%+ z^pU?mgWn@Ryp9nI85Mgp_+}wa%a#li3;qI^1PzG@C5fb|p zGL`_B^^uy|j%`0=)eeEb7h#Mk*V+Q0{YdX(qgmc!XNdv75^!B}Is_^BCHcn3zGgeF zt_eB{$vK-TH8TS8fs~jW)Z>|th%rIQ*ZZZn&YH~YK0U=+E&V<~^;g{7*JLCv;wqRR z;K{M16E=X~h-+f9odOvg5?awIyF10D6rq|a1_$|}E&j$ZNv4=~G4#+o{r_-Ts$|jF zySv(NZQ8>XkNeRUN6v*t_2{l?ZzJ|um*u&fgGHZy#g^Z&-baoG_xZODb3-IlwRrDP zFUHkdOJnBbc;W%K&h+D6QmR-{YdPXssj9U22RZ_C4=W4_GWTNL{Ix%HFGz9E5tDE#% zJoXvXKp;0Lsdpa#1bBe8f_7Cb7yc2|WGEiBITy07Zr)IIBddW?<%T;8Vq@ZCNAOB- z^b}sVRgnDvZ@zccChtm=*da_~b<7udI!~3dJ@Qn8za9g3K_uU&-7pV50+U!UDsB-5 z8NdD<`c6vPcJ0YJrDQ+Hvf;dS=pbK^V^;NACaO1yKvZ>`^q{)Sg~E%&dVtODncwmY z7-5gz${lF=v#qIloh=mVG~h?$?k)|#nZCC7WuoDDYvKB8JYULIDk$M^T6`X1RXf#2 zL5@4?b!`c_7UF!dEHOz?rBw&vA&f`orZ{~6QxRkZOS}JYQSecHqER1)nTF(Gt1kn2 zD*Y7KuZ^r^j*$7}LzOl(HZ&RT`Dr)B%!tNFg|Jq-dQ6RNgUDAR+UUO~{uag>mrV=l z^)`m1#Ja$8-unpWXm6R$42+E)n7Z`Rz@?TY7O3klQ-EY8yhm4PpQ*)6Et^{&j%B;xo79;c`BrZ ztChwfA}g<*HrwlXvo|U`7Z+ZO{%AQKGob!as*hy_o118IUPXu`qOYMo_dFilA3i)| zsQ#7O=&aZ-3e#AuwJurDzCUL|lC-&e@gUEBK27B-4Z_i94K2Ms-|2eaA>N`q^)gqY znqI=F@ZZEg-3LeE(dK6q*tuwq%dC{s{^<*! zH99MYOO&%;OT9^o^mBZ?^TEO zC;@f^aFP7dc`oJ2w1fLUz_&EdcbU5rHIea&vFTYE1t1yAJZg!7Xt7jL*_%eC3il=> z*NX)U-uVupSetVxdkay_p=Hkxl@KZYg3#cWD6x8vV(GS9m? zZ(R#qULCa0K5f7Aa-HF@z~A#TPidpJ=%9|OI6nj_C}B+Zfw#>ImLASfZNb*J-3N?J zKi(UWPpr{ko4<8==WLn2`z8eU8#4(9OF0)70-muDQ12IU^c>gq+hIqK+Y3I7;vx)P zp47*qB;rzlTlcrdyYFGo1M&^V6M211_A0=IooDl3BqdGT4-+iE8w@(EQPk&E*Nxk% zOLh3x2qzqlt>sruKbG1@q1N)!NhI!I^}Ye0IzlFP?RWjvK$AyiRg7=W*xHJdUlz`2 z#~>=RxpSNIXg^04IXX7n52ycdc6fQCb+;pU(-X?dM|{>F&oVrj<2Syy17D>U^$Ht$ z3fF0{wTB7@urQCE@5FOz-CBy-sG2~2HWD?-2e&`ktN)=?KjJO> z2f3O=QnGN;cmC>OGH$txXG$2KCHYH?!#W7V7?G|)2d0mfPt1mkhGO8@m7&rjW7Y71Tn46G8qR_~dZs2%5@(9j%j zkIl`s-en)MwEj6)zHYZLhd%z9RcIx(=9~al3$*f~a!G-~VM27GfC!>5csgiBPF2w| z-++e&k+O^V@kYV=yVAq=#Rk@W%c9!5r}#DD9GLi0FPBgKPh6;jzYC{0f(+~{lG2)ZOL zRga;EbOU>TW1gs$hH}dqi?OgL_z@3+XC6AQKZ1UXUafkxS)MGpRp|2Ws4@e{NTsd6 zGxWaowpe#)keH1M-Nq)v6g!svyaQ))Q!fvxbLtkmI7X_vwYAgF zbB!M@qK5EsECWtvReOgjoFcNxmGBcx{U7TsRQ20nOM;5R(j7r=1Q)03sceL6QYPs_#Bzaq2e z%@DKd%2xT{!{QtvF{3_zV+GdUmI~7W|CYn#iJReAG0>@5?7Kh~Sti=l-NLM-0a*SH za|CH^+Utl)=JZeW!*Pb|0XTBN46@nsQfOfH6O8-jtSas>Zm?Mvqlc7E7c6}zJC`V1ze$b;=Bx&m|N6E9?RtG8?rUykN}oMu=lf%I>I?gy03R$n;s&kZU74v4fBn{b6Q2izLE{9fW64M+4*{9u z^mrV>%Ow;ivbwW4&T)3#(z18|aJBzgk+>%}+PjLKtCXG~Pz#RrswYCdyScDj{x9RI z>TbWKhF5Z3NMPu3uEFuoj`hHwohy?T#ctE67F6?Bc5Z!jmrIKZ(O+9x6|;8c9}06V zA93ySAgO&$v$B#jNqxnzNvmAr)2>Fr-&J%uzA{_z{O%q9`yR{m;qCSdp?7mmo2G+$h2#OSOpSGq%y8Q`&MZjkWfB}|hk!KJT;zc2%`qV@L3^! zFaUOXCDyX>C4NEwyt)$nLvfW&;DZ(0`PRekIY^9a^zP%nz|5Gwn5Y`;AhdviXzKrNKZD5yaGlQWlPkgi|ag*bSB3y8iD({R?&)Yz1mrs8M&Ui z6Vc+#e`Z>^^D`z{I+~ZsGmfb3mK_&njrm`-_0)+&OPvdNz#A_|W|}G-2U(O2Y$`;) zXQd;UacL8FoLC!^N^7TjsnYF_CPC)OsdQf}ANGYc*d!}Gh7n=H&U7%HpPdY7V9qmv zk%q$wBL^W(Bu5U5mTM}@>xxl`iM3}P1kL;1tD4jhA9-%x!C|V@NNfBi0aHO!YxTfw zU}&f>T+rQC&7+K3KUsk%rPV+OKy!s*BI;_W%`TN^mIQnIb}U3JJ+W!c_}CuQn(w5Q zRUY6ph`5~`hi*1d{udNj@&{$|?fKhU-OGd0> z+v5Jn`>zYvGmTN30nwdZn~P#*1-|sXor=qFAsj~-XN>vke1>mY^Q)*=D>FN;?7Ywc zF8(J9eGtQF^ks^sfwS3&)TTp8Ob+B?Ff!L)YbZHq&KL{CH||-wDzg{caR|O*M}y%f>Kkai9IciW&~+#T~z{u3RGzR2`W$3&LOR> zUee%i?@xoLRVo?x3%PT%dapG#<7xJU(#Gz?{%U4)23L%GUAJMlM_t-ELv^&j4yvbp zj&%IHq;oa<_;QddHuVqQq(Y~Xt$ov5R+1QQYyO{Ozwru5)8D=Uk#<=Y7K*qlu(gLX zhzXJbh<4h>Ej}eyboLPatXo>G^V?^>3sS&VVOq0YG@`+5-~--tIYt2Fpx;${Hy5xp zL#pFD#DsU#*f6bd2QK$X;=<;_MbsifIvqF|qJfz`>^c z(8AEXRYrSpsIq$v7~U!8$JV3rWIl7emv(K?TvLT^^?eOtzOD91*&E3k}*tVE-Cs7$n;=Olp3q$8VgeSs}G`1vlh>3w_Ce1Xz zB#N!)G)V$o3gRv7DA#=?XPl%2OuZ{v5RA#1ipF`}QbnbTz=QU2HZ!x$YNb&h=$tI} ztR9hz#gQ^x_|J9muq&|2z`v5QVhYOo=JX&iPT{;cBd%hCHx~!zf^>m&{H-bSI)F1~ zGz|WhNf8;yr)sXOlgse!?4Vf&%{%a>ID$V(mVk`13JRj}Qz4}Bv75*{Co8N=ef3lb zNpO4^2LmBKme<+zos+4mx(=tO-?0^bs(D+IHF5^Mh2mjXkz}&eecVhb4ek*>EPy`EI*Fl=jVx!(F!}x$*v32bRZL;vw*0M$itLzbb@>2C`MW#-5wU$6d!^e=o1~ z%T>vZvPdq~LpIKh)=9!gnx}Lv^5TIKUO7NsSOTD!<1EdoK&Fgfpprb8Xtfue4xX#4#qymr|(Y-M?th{Q!E)*lGWEqqWA6I(kkYW zrqW`HM(Gp844kxPJ+9=M9?Tw~&!dM0B*6fPDSxld8OIDOp;cilaN?h-83I@bs~%m4 zel)p#M0@kzhK{Ca$AvTMSfq#Pko`wSs7h^D8j=n=hz!hol>TpESNdN;v{rd|;C=tU z@BHoBu5>4!yJ5ehl=!Hz=jV;b1-cX(dX<`u^*qlmSzZ#DvS_pL<$Y6vQvsnpb$*A(4RfQI2)d`%yrdrXgj2*7?v%=4gdu*D1_V?ap6386c~wK* zmh9Fb!>r~-Bh%8EV+j$%&3dqiZkZAHY;!`3^kb-sZ=r6sC&braW+iP)@%*?7gaqp( z$>Rl!*sBmn)g;SfN02m=*#J+O*9^F~B6;wJMwK!cm3c<`gc{RrYRk7Ggqah`O6rv* zirK*xBQsTvlVH6nm}m3t`vUx}0Yqj7Fgq*Tj;V8&P&5xG5=_SSXn|RI*=e+7msPW5@TSNwJ z#96Dk?cZoU&7icZD-8=K(K~FVB&B`GGyyV-H&HLDj z$0ED8S97)Kb=j!U*}Vj_p74jFH|GsW>U~uXv>&oJ2(Y6QF=Z%Pecw5GJZw%p(oZQh zUX?1HpVKE|{}qP3GqPg|%LDG5c~;3QbBLh7I1j($Tw2|+ zb=@`3(_pW_KxjF~z4c;J%kCw9B;*^fDHBm7p{|(tC|t@#42V|Kit{%Kt^6xxDP!Tf zuC=_`e!tv*+5ha{-*lK5U4PRj{71!S=hW@qtelw?*4|~D;4;M<%S7nn>|x>}yb8tP zw{B+n)Mi-m%veDo;MI01vQ8%+{l~h{`Fir8ifg6BN0d>bxOwiP>i|b`; zsU#X^+J|HrrF}ro?b=g}#;?4;{|w83r6Nf6CkC3T6{7sW5+7X8Bu1Y$C&=S1slex- zeef4L+Z`CyxtS?rtNK0G7o&H~oaX#T6PZF(j$_WYlu{Ulk>qm-{0Qa!v;VuvdWDTlXTBzQgF!O+L6c;- zweUoT^=k*dOdwiSA&hmsk(>=w1ucj`G@hkmQB#SMzR{i;1#b(K|~F{|%oPd1f@ zS2dvfA36ZqmK)eG+2u`5vw2D5xr8yjyH9s&kH`5$U5+@|Hya3QD`tqy)U`u9Lf_JK zE^Cr``Z4+{!HP=nTzl6z;rU8_mcO?_rjMamC!cz68Ge>;6NXytABtd^_|0t zrarooc(T;P&7I!mRjpwpX1{uz4s+jyEUS#bT7(asotnhl=n|%OCEJ$d5QjvLxfC~X z80-h#C3(M-3bNrV~}6fSylzC^o!@LdPM0k7L)1+1&b47;YGB=+f(c{GsE zLB!k;@Ty1q4#NbxdG(=1Pr0rY)u9TcC0+nF!vHO8W{NEN)}S{5~cr&(g=;&fK0U zV!jsG{LyQX9ybTRno9?FP$}nL0#f!51ASH>R)~8Iz{;Hr&M5}RVQRY)w7H()SH(?g zE7jr16Qp7urp!z`sek`E$siVRAkYc4WI0sP`g7$7NdwkVFJsa@m+ZFzT&(r06%*vX z79-A#l`a)rt%@L2TT&pyi$7s1Dh)KypIiMKQf$Y8ojsznt%o&G>@BuKdDJ%HXj1Kf zf$bbhmoP(JIcSv{$AHhAT+-zoSH(+Z>S(KDDLs?XOL3D%Leai-n9CJ1$rjp(_#9a5 z)(Qin+4YDh(hSCKP5+Aca7p4Y2Xrb`0bjCq96Kut0m#ffV%m5Tx4DKFvJx3m-4R_2bovc9=={N09{N98e#xU|bocXbOpn2`*P1-nL1xF@9%x~~(32+l#oWiy`!CT# zuis(Y<*y+!qu2G3y4-C(;V`?Z%3I-!HR*<#7oWW2I!MJ`l;u3 zvbv-B$qHo_-HqO*FvO`NIaFPgAfo6cJ^>*|jGntEKp=yMqX)Smj?)DoNM{Njy*3?c zloGZm*=Z{JQ5-X#>Hrkn8Xa^-X}kodXZH*ONu7QF03>lOO3ffyO=5iPzZ`v>9N!vn z`4}$S*Jx{w`hzE4#XH7#fUEFN#$VCqX9xT>%ASo=jD|{N+9IP%o6Llv)3J)K-7fMp zG}xHWiE5Rv-fRAD99M1G8BJN;amT7VX)d6K)Y-Po=h4Ps)Ysw?PBChj8XNj9HF3Aj zk7qSW{{#FDPj#P+So<)z?EaGHV}-b7&FiTN^%=ec*32JCNA`#VNG%I57jab!-0oF9 zwJX??eVus+UDOyaoU_#S8~!B6>#h=>QK7L=ykY(i5WeDxuD!eY`z!~Y?AiO|5s`<) zQb}KqQxp7-Mr#*AD&h35Jj&h+`cwHvlu7FfcjY%HN%J}$v5tm&)b{tIRGrv~hlpN& z^N;O&1@e^(yM-VXtv3*7Nt`rs-kjTlNR;rh|My$PQTO)y(CmXOPVUGIsn6F$FqS&7>n)gY}LV7Iv!RCZk!#_B{lzZ8-Jq%Ik3E zmv0j_$k8-`_Pidqn)0%Aw~jaOkla~LnTR@`c&LUZqST{CYbl*K9U(5U3=Bkj@C!Wf zx6Sc+38p{4oQ@p477C0+(Y(l7do1avP{ma`8%|A(Na1HayCJjSv(IvAoR}0T=GoWDA>nJP?KCMh5ML%@6x{6oLVQUk~PtV6=EZf)(I_jwJmtedBYhyPWmjCQtJ z{Nz>K01+sHHtuFzIBm+S$*C@$` zV^E;^%XrOs6Cc7sLgA<;PL65*ae?uW$!9s-Sz~G+(_3tmSJL6D&z$e)bm5G%Rh)*P z>6Px{e%}yKnn%PNp>xn5A)$9(&$TpL+w!C)Yg(FhFiS~dgq3HS7#rrB|9fiS8R_Em zB$7S%$~GWg?O@MS`dU5b)NSt>X;>im?tHXlE`voES+Z4JHA(@7K4F&~l#7?Z3;K1N zF+}?^h$MCUucq<*Hx*GW{q_Lz;7vXoEtZ03B5muQRAece+d~+fR$FIx?|3I7i$}%> zvJ(D!4wFyPU9SHuzer2{Z2Qy(=Mne20bjvYM$q_FsfkI6O8qpN;n%_Mw1c1V(ezJ! z+og%C@0;fmv*#JmLC;wi{^d6a%<>QVlo(4ErKjr;$*|mpw_DdFXr7m3>bN|$#j{#5 zp0TjTE>Hdy!nl0RfbY}^Dor@SUseOUd-VTCpe`v&uiarhC7$wt2c3u$w;(9>+peh&k}KL0dinjjXO4}Pa=vRLy{`t|Ew zwkN4~OX1*8SbqBQ$j-NM)Fj zj|<1e7eE1Ij~1u>|1;q+-W>m0PmctGpAgXnM920Z2w*lqHO|v?;Jr0UwO=*)o|_cdre}}@WOLnRjJgjwNhwx0 zY;P!!CeLV8i}}GB{OC^qo2eQuhc|R-;f{%a(#)!apX8hJRo1mQ*2L7+M?{b5#xKdX zIpmb2KK%Cei#GL2U9GMDU(Is+r!lFk@)*q`tZ*A&?4VL0=T4h-MJUBgDP^)04||{9 z$VC#X7`iDhBx~@awl!{loia#qbzWL}Ag-<>JV9G z$=Gb_TP;la&HT#|ro>nJ`y|`a%P0PHCL_}7@+j~Mzz>jK9%}wt{7MvEM-ONf~M%LL=={i9>b+CPif_aIi`im9iaA zR~%nf88bFhQ32$_qr`NXp;#E-?}g1Cn$fxt6*;0m0SFFT!>rr3B_*kx3*Hd^T5t?i zmRDaEj7Y-RTuEQ_I=gYSyqJqXLE(rhb+S11QVC-9T?2BqMQE>Uc6l`p9&)IK6d1>| z3%nN$CyQMXl|Zi(S>5VtgPW0+IJA_Q%xRRbl3TB?vFb|$gHWZBP&X%&one38TEbv> zVU)bIyZ|YKcdCZ|Vtszdib*!INB|FsiBmTJX)%sGO?{hm48FrLRA@^HPP4bTefW1-m zFosy$t9>6j{VywZCXj1Sn}o+TwEhZyD0JE#&BCGniOzxS z6K8h2{HU>TKKK@*KKF?`-u?}=@lWzM?dILD*;}{D;wf9ZKSsTGUd^aJNjx2raFgwR zN%VY%zECAW?$biED$JqSvBn_!O!d!@v>%a7}_bH1DrCc;5!8@oijC^lNr>bK}IgT0m**8osF$ zmvMqV2A*v-ACk7r2Y0jdV`&ygynX7iL<}PxnMcW-5vOi-R-C6ng^~UdJ?qkW^xg5S z$y0M_bhj%@pvg-Lpn>PNq7cU+#NSubFNLoyr0gmRz&PBd>DxuszHXv_Z)7TNLrL;b zOoU%FdG=|xKX|o^o4uW4pWsWGof?Z zdIf~25X#N@`~xW7O(h;4KSW(imCFq6ZWUl_)_)|mJxM0yc0~k%LBMs)jsy|x+ICa~qT{-GKlZ*1* zY%?znAft7wIF{y-$Oxr_>C5_JE82E}|K?uY?5AXr%NA;p-J4$}8SDeYlvu8an9TJ= z_cedwpD~KA6I#SW632v2|#Czy|gG3f5^)FP-RW7qvK1#C0Gb9 zgRnl3am-)G@E;(CvB2%&RKl-XiR=VUO4X$qR5+uyX-$F2QW5OHy3_yHslmtsEN}v( zRXm#Z+EI?xpi9$bP-DJKQs^;t5jLCQA!(n*EF&WuVvuJ}?Y(k5So{iCZaITzpa9a= zy~`viiQfTtPO)j>&kVlPhN+P;kXXbX&Mtlpw=HRh^I&889ZINH-Q+?yHHMPgXc&0j zcYehx*(cTRJ0fY5lUYgbY0_nv^ATW>k5a>x^nJ(|DaYIhYiMS{BDV@;TBcy2(_4A( z6qP|rvO>Hq^EvSMVhQ|-Pqi0zP+J_Pv|1|kj#Sv11?i24YIf+TYzb>i{a1A{iddMISeP zvmUZu`0y4DU@FA)=X8>#-0^xJ9Uz15lJ!^XrnIMZe>DeEVYF+Vt-<8u?<5^9kZqC3r!JY5fs+XS{X2vB!t;7)76tt;qZM=b;D6a;(i+5q~1!U~;F6ztWEm{|=#S!4$_n6(rSXA-4&@m};Mq zxvj1S-nf0JE;rvrnaA5CWrwOdSGqxaoJ5yK%kX;H^!YJEC0mvXyT0N>Rrez$c ztmDxERIFGx8VnHK9;elHOE93D7`YARm=gD|AmUNcIIve?C44$;E^n zWX6fo-+vQh$MJ3#`LkRdyQ}Gs=1V~VGs_tlq45;wlt3I40&2Tvdpe}JLIy}Wm!GkGTus7@~5>jzQ~=+mkDjX=G}OoT z*)94_%asFhOTuoz1_>IfM>8=nc;gpJ=dgX_2Hm88o-_0C!bCM>GdZB`C1>=felMSo zOpG7(%9}QP$unRJug6_hTi!So+(c67|D?-yeXO>mm;q+g8dM$lW~d{$cVmn5g3B|S zY;1~_@nE~PUF{0Y5nG*2PXcCA@0a9U;}(2_94INwQuBTq&9`aI9m&mH$>(XajXw*!;_c+Ub7$txr+m#?|8>?m&$IXbZLjFr zq(n#nCZ)NI9%hQFxYp#E@`vffp=@F#1b7l8AEMx-F{n{;y9Sdu6#Tt~SK;E@)*tq7 zp)PJ#fzD=~w4u^Sc(@8b!+(VDP}zkcnL1$^-u<|5m>ri*{Xl5he~4lUM~kGW=SKAj zK`bor^#yXtVQKaYhbHe=+EJe^ zSUQc9aOTb^!-RJxC->0`pRSQ2@btd&?^Tgzus|EkRpkqrro!=}Nsb&*qXFr-EB#e* z2T*@4>F~q}o{ATREv|JZX&&{2|D663y}U^ZZsQ1pp(4DOAm?;zP(cq{uHy#XP^TnSxaRsX)VCovtn0)u zSmMMJQ1#Q`6+cvNV7Ez0?Xmp|ydD@P|7>9F%e<+}oE%SYxrQuBPe;?D^tbz?i6**8 zVKR5*83j_|2y6s&*am}j(2?9aDL`FOmy25Tva3|ex0C}t zLRX>pK-1XRF5f75?8X@MFlOuX%Dp#MUpNe1^tT@yvRlg6SfgTo*XtKcOwYPsPA|(i zECFA>&m$%-(vo6-!$Sx`T$UDmJ}a(b_`a?3PT`$(>og zKoR~SFrkZzZDo-5ldHgko)QwA9NJDY61`O7Z;4!Sfp7R&6Dr!nnQ&j}<xj%Q8I#| zeDE2;Z$-1mxH|k`W#diY*|^f`Q01e-v3N9X!P~dei-JA|EPA(l9b(x)@F)_tDk~F! z3H<{j?%M`}jM61eV#yCZ6a0PD#y6^vqF>cOE$X2$W$F!7DV7vcxp#7izkm3gs>>&h zRq|({fAK>@qVW!tK}r=Z_&X=JS4~UN+E;VI{Ptc8mZcMsVUm3Nu$R1niu1b&9n{`w z@SkCc=56smDY|bVYB0%1ls5cfLwXKFAtT6Q29G;?z4=az*RCV366^|C$|ZuusPPhyPSh88nD{SnjS) z+w^#*Z_O<@n5>@FN$6GVzJuIPc*c`1h>J>lJO9P$ejkNh4%Re?%vd@kW!dC$b;1IRd?W~io z#_(U@;DSTpB&85)Ma|frr_G4Yb1-ttR>F1C*^F)9b-QE$N26>k>XqK)1q|yn+O=P* zvN5dGP04K=+joMmK%>9^!JWkGU2$IM2;rp@n`*ot`xZwTx+r04z?PQ5vdtdH?a-7s z`8{5lGKb*eDW@n*#ea|X>ul_~piJH*6pK>sPp=kTH&9i)#H)wMHc@Q2K%ry_@k^RY z`7+{AYcFt#w^@3nwLT6W1T>& z@9nJnj=uD77y{jSKcOwREiPVmnV^pL2m7|mPp(YsjRWl?8*I&M+I6r`WwcH$*64;7 zCgQUxSTH4;Bd1gx*yX0}ls2c_-j!vXY31vyby`klyE&o%^}8dgNwxMzUFF4>l4ULp zv3-EuLlXRmXV)+uZWb2?4ZU8BYIE@nJBXgO71mVyk*L(ygb&}V*TCD43xxz0;v?%! zCgId3J4(R7{njM+u{60Fjdq6x`6v2NMlM^wnEEnjo`z@8!BcgcM9L>40ko4de0^uV zoGK@qgndUfP0_ncQ3Zw47pp)yj&c3vY7+-VT&h4|WFLe34Y+R{Z%8%4!2KdHz^fbbNR1_^Djzdv;=!WkyqU~FsY_gqm!z-SzK^GS&*&BHTe-Emgzrld z&-9NeXtcexn^V?}t@JU4Vd1)6s)fpHcr0!=En9|bpYk$W^Hl`2jY{v0k(FWYm9YNE zstiOe9ch|Kz}*>&W()XcnS{nnKI&Sx1iLYm%DOXg!U06nA%A(l0=hWYJ3&nrsDdBG7u{uT?k~xV+O$)x7qL{0-B5gK0>*ORU6M(BYnazbZ=k(#jx+er)8A z2Iw9J4#JjT1XO<>P?P;cInf?gKnGwc{gZg9c)jK2Z&Y9`a&+|5x(~^iRyx?b@PKO$;h`Ah?aYN+O_p z60M>GDz4>#4$Xk#B@r#cT@#U1v!YTZjglJy-V%*(Vk;5JgRaCkDj8{A6xzzs!RRk^ zy%NST4C7^ukLJT!_i&;56J9&lKM>cLlMEl0I4-L$n6-4Zi$$YRU0`1D^{Rhxu0;_7 zCN!Vdl`KdnQlm7)lC4FhQwfXe@J^$S+U?J{uL3>l>^ z$o87VK!;KhsJi;Q=ohzV$;?ViRDz~3TrrkFYOOOAja-H7zANMb&9YM!51=M(c>7}L z76lVGApLqv6vn&zCZitn?Ei+vC_jcBy^#TsSMhujmb;N9(vzbhL*l6 zbh?#Gj=gjEGaAjr&_w-fFyYO*Qm9pLR$(8$X{{fov@id#J_z|B(f?sDZ}7|KN6iR0 zCR#Tv_W|vHa1+J<8&9VJdmjV_TI5T;8BqoM>Vwt*CoWX2ZMDSI!!Cy0vy?Ex?&oM5sv>L4&uQ7 z1m+gV{P$niFL+@vtTyZ@ux~wP(%(7yL-K- zCus)Kqmy>n$Z@+V?yIJ?Uy%dgjQRBFN`GH?X__d6)AhL1Z}0c>#va`J;?^@|q*b;J za%&W*t2>WM;6fAoJJ`wvB*I?}3D+zL&iKarnxOitEVqx<*OZYaqjHJ0?mZjtl#1@` z2%9uqX#(fsiN{M`J9of^^=}(6L$ZAA`#N@)ZjgT|4rR&$*tZ;^r+mXSE&jow= zNXc%Q6)?Xegi|_!9}_j^X7e;|nk|Y7A!h zL@uuU?az>PgzY&~by20@t_g3bFTY_1N(KH}9;s7xByYRCzpG2XQJpAz63KFW+&y2* zim$s-tXaS6jWI48Ff837>|)wVt(aS}VYIiQ4#*u_*C}60Zm8V=J%1rC)=o`}IqtF; z`mJF+Zky$fK`Lm^tYdAxC@Z89EAM8W@5^(K*v)YH#7BULF&)4-ouWE{&Vn94jh;$v zAB$HBUxxIH&AAR|nSy?&HHo6Sx*q@_%HfSrC5EW*ay&Q*(Il-Rdj;Qz|H^oYOw7Hg zu6K4i?7X>@FE3x}_EK9(&LJTrKF98hDC+gF3Tnkm^*F#+*sg6!Vq~(_af`?>bCqCg z7!}18<)V2CI1wQg#*CUtkR&=XA>id9KJ{NCd!h{TR4V*n3- zYql@LEFK4G^a1D%qkE=2N(Tl8Y^{cQYX{r?L{{5z)cP&0g`fIY!vLVzudfAx7I2g5 zkf;W936oL()HeHt!@J}5x>LI{dp>pi@|C-cRc({Ihx8QooNu<{2)k5*nA)g zgIxMCbIv9OsX<;)!7m{vdXsJ36^<>jSylzY;1nHJH{HXlvB{}eR2_1GOjH1Mh+^vH ziEH!t7v@_s-*Y<>_HRCYW+%^hTzJDLo<_^JWT_vaL75bP$;N{IihqtmRaMVO_VVc0 z7YSN!zfsb&O3zDYGgC~ls8HVo? zL^tZ^FnCWJT}>9p^cX39gV*9~XqkV_w{SC$`PoobTfK`ZnVd|np#xb{tIpOvDBSyK ze%(~47*nb-f4-why)f!p%M%r^XNwtCKA2483TOXImoTw_Mr|EHV67^``<*wX$$Ohu z+4u>(hu7M?%EfK^0D1G=&@ZQI;rLWt!slRJrB>v*X3@)=5fkgk-wo;z3jwza3+eZ7 z=45+o3jel@C@ZJ-P?nzc%r8_x1dk-(s|w1+mjo3W*H7+gt0E=e$)Jol7buahg>UTU zjQc4Fu}$8RZIYV05yfE6e;g%CowT2w7?Cr~V}JY$v*gjIs%H;#N3YZ>_%fXI^$t9hm+c|db(^z2+z&wIoJfR162sR& z3d#|w?{ICSo_u-Vpw*^mQt?mHi>g2!AmTNgcP$OasR79dGaa!eo0w-12KX-*z9r%j zU|BKo1YKc9EBo5or|^uM;+zuI*KB^EEcXp%av z+qu;7m_w24Li1Z~c(7(n&`Ji95%+7IL4)ob+A{4^A}H`9pujSzX7 zrh>jSCN!cw3w$6ml15@Y(^HBW3THrw<~zRUozXcw_0cyyn0Lwid78h!8m z$03!^Fedctt~KrUa;sxNfRJlPcA(a}T^i?>w7x7!&dhw#^k^iRb<)hOnSD17Hwv{D zbRZUi+fy?Wm6l`9iSnev6bE!uA=W8^t%$?LVoOQs=)c>A%>zh9emWA#9CGBfN<}i9 zw`ODD4yhPaT=-5MBW*>#d)Gj= zg8|3MZd}(@KYMY-*W}(af|7M~GIPW<^@Y+8{4oZp(;BZCDJ@Z3-|!ElA@v-wHG)*! z0|CoB8)dBLd`k5bZNBl&?nFxMS-V*3cW@3F8qKU(`uUdcQF8Svf%{5LYZohR+c6M7 zLfNOnBwOwh>g(gy=%yz|{DbsJe0(FppieL|sE7@VeukixGye+JWCxSP zq`^Jj2F$OcSQoyT^`KwvgE4`;GHM@M; z`;f!ga$OLG#7^IJFUTS{OA2aU!r`%#u&2-a5006(0tjuOw5Dc<@eht_($7Yj@beki=_1(VkS^j&%8h>7!XFD8_k78Bb6i~0GYPZfw?yK0 zqOG{{DQPim@Q*EMb_C!ePI@cFn32}SPH3X7{qQwSc~H*yU+$SFitL2-R7M`B({;qZ zJe#2HmD7tk+YN*J1TN9^0KBPND7uKNn68bm>hrM4r4l%&80nV((c@}Dxr$Hb(+&Kx z=f7K`P(yKX35tU>NGy6?Jl^OJy0GV69}b|Ovcw|!AmbG@UlQF|tfUjzrV6KK2uBk3 zd<`c9hGI$5H^T9uud#1p^$pY~uU`YplB*1Y^CDfk&2}C4(p3W-%8t4sj<+$8ciT(q zaxXSoT=NhheUy6IS`*B>E;GCcA@S#Y2$TlCU}#k|F_T(+40<#1n&i*k>3wfE1Kmf> zVF3wNd4uZ)X%UIBq5|HNiL|jB;TUldym1-ZqEVjCrvrvrNiISDmNZ@hAO(qf3m|;% z@Y_digj7M`ArcZQESj}n-ahXNRw+_?oB48>5_DR#0yJ%ujnD(j>b80~W|`AR;#-Rk1?pvs@=#d) zN6|M7o8$ov$G8VJycne+Mp%sss^;>7r(R{g8cTOAh+Ul$e84V|k5>|IVnPHcG!SAX zY8T-f3zsKFR-vc`m(oP~&K9^~C~sBg?6O7qv+XteJ1Y^Nr+0uq?sY#^_(T+H4zp8k z&Xg~G6mfGhn%-sY0>RcDo>v~wKc$AX)yjoAory(QqffI>W%rtC39m06q{Oob{ z6A9JkAgusNI4k)66O|MAM|Sbs-i4|W&2xc(C$Y+Ub{JJZl#%i9T{_=T+=Hz*#pCc z+0U@Xgc`g43fE4FvpZ{scG#q7*38EcMG+B|Y{=(sg2DaNTkH`@8SjFH|a~JK2|@8B_s&q&1ckgy^~;j$m+{CM9{_56Q~59+3HAG_cP;{ydhur zTrM-XRt@n~(AG}BT+lsXKa6jZ0%>TET$v`SQXIQ`q|GRm1gNEhI2Cbp5Gf#K z;?*R}ggO}UUa)5m6Ec{_`PCmXl}SN4mc5GLum zLYxv)1p`0%4T4)Twmr6-grwAzn>kJ3MgrzD?_ zZwK)1YhG;!=j|6!=N%E=C$`vZojLJK@+TvCFojis#sldCmTlvQs7gSX?#W$Vq5%~#JuCbYtI6#3As*bkcH)5zSIt{iV85Iu~I#GE!@5~y6W zVLMFY0_i(EP`$@iRWbF2^<00ruHpUZs(jD!{P}i9;V3m%w-{iy+y2vr-)PdX8;9R37Q?^{675{(=JxL>yVP9 z|7qE^nSI9_^5n6{BW8Y~Hm1^3bPKiTA`hj1ICjv5jtI68lN~=6YxDMu`uMzoquRhJ zsu>OCyEymYROgv_78$D?# z(uy#DHqY*MvW*2zN#!PY93P!=61I0_O9%Ztx>8IO-;4*g-~mA8CMv!ZSc?~r#L~VA zL+-lH)@9y1nhH0~w_;uh5(-`^3pQ5cOs4c3EWFlxhnP*N3UZI}`s}rSNn}g1w(KRr z-lVs~=lTON4ms6-XF8~=9CaH%W@V zN`f!n?Wd};I7KaauaHERoz)tCoRfku(HOa9a40s^)E(|La}-FQ%?+`(g_gVyVH*fw zYL#;b8KIzH>KuvZDAJkZ2mo3cAf?nFHyOTvl)V^O4lD|SkTlv-h=|V{Ob8uwHupx9 zuJD*tcJMq-W!3d1mY*3y!A@_!&MaM)dk<;}f|aAM3FTSle))MVYJgrkNgoM8(jywm zp!}xEA4R+uFL?PVW|>KWKwH)&2MJp248O5Jx};e@0^fYf7VLxJnbGhR*=RZceLivj zv8FOIBuF-M27QK268^<{OsQ&{@tXbD$NH;Ta}nz(uXgz|6A8m@UDhk&ZW8bt%5zT4 zbh}dbP1;hI#&GV!-Er-+j$WRilb!Z=m0DBKijDqqouCMir7mURcqBX{1A0T|o*$#D zw(Y`T#6=OU0k(syVKv+#FuY=0>fbx+Df)VV!<9#k;{l#v!mOK6u*H1RvC5d9aFvz= z_7mHr0-NPhGHel!kd|H<8?V$z1dceOd;)A!Y89{8^JL1^3=7G%uEw_UH~Qx%9axVkTIJFVtZ`+>4XrAugXD9G1%fsOdd(;JckUu7nMU5 z$in72*8Xd&vl5gh+0f|$*0o5Z+P(FDs)-N@o7Wyk{hQi^9EH-YemN7vKTT3ajaO5q zIRP5xlkIE)GAXK6<-Tds{$l*pmL0~b5#5jC7hQbgX~Rw61@^`9VVR>=_Ji=M1YcLY z7LJ@dVgQ8&%}#&z&)=_hcA6AZsu|Na+2X$XHe9id^sUSh%_~9KkAtP-i z5w$8(s5JUl9~v4TT|`xkFQRcptIw?HA5@ky@RfgM9;SyX?>opvxQM!ZDnJVLIoJMR zq-?T+Ds)$hG{pKJc_VPLPzodaVSkC{V z@cl#*3~LxWO4#t1;6Rr8%X^>UH&xi&5%&UvYb$dEi@)angWHYtST+v~Dca<&*`xBB zzOVcLeZ>DQkpDl!*`N=*fzMV4mDBa`ItSC9@2?`yCO`0Ydy$aN!~>Pb+K=rAA|CIl z9OJnJ&lLptf}Hf%m3-(DRfsYyuV3hxwVA(;F@5CKUj!M7eM%+sY;4vl9WJzj;~TfO z&BCF(5Z|P~rOyt9TDNv2-^X*l{uH^Qpy&uz9iE(3BmF9z9|?#d4iSe-?S#hYeV-ps zJef+gV0C~86068NL<&!#-S$JAGGxZ%{TE_$3+#O2QvcSNZep;mRiVLfMRKKYW%dI} zJoiLCy!vNLa|%wbx=5jIq}ZSS!P!8duAYE<97JLQ(xgdK%uP>X>zk+kfFcyEZ(0t2 zP-ic;^NGzu2T1RoljdxP$L>@!tXjza`i58}Dgnl{AVC9D6LFKr6B!KVZrn$hHtH!E z2DfB7{Wl|HVqy~`p1WM@HBXBCM*=N;X}3OihfLREW5?)feWGS8+7M8Uem;c^Bhb^` zwmio{xFUUtSg_E(a0>EA3J(^$TVm0X)BN0){n)gU3UW>{*0c(A8}pT(JTiCeqQ01? zg`6BwEzc{;Q{%~WBSlEy63G&?Vu3gt^%foeQ?Ao6n<-Zlt8Jxdu7!u_pnyObwcB7m zu{0q0B<+XK))9~V`(=>XQ<{gNy~cbicVg?fI=eDa_8}Us6kkyajoDpdmuD@_5bJ%$ zq#AvtY)F)=7<^;sa-Wva(tSP;N=kqxl2$X75P>NX1wv0s>1DN^EZvrmbLPfmx(Y{+ zp_$JaV}tj$&$Lc@x7o}Gxu$Bt_C4Jtz^07uR>(Ims)Er2PtVcKX+SLfD8oC4Da-bY z+H#2epF3D92}kFjg&;o~JImvdZ4s=fyuv1iqY*%ptst}j2W);#G zyrZ#d=BaNb&%VGv13k4}OYO5vviHCmpBop+X@dATr}iWlvpYkQi-)v)&&Usd?ob%W zYR+a{w|4kYgoT2ynI>jg5?sTF!mP6AGGZXcFxOhDz@>&v4ZAdg#>E;0zT+z2s^C81-JTtHtc zHt&OoEqcw(t6bWr>&3vS-F#on9eWo}A++(C=#86l3|qM$C{t0aj&wOt+o{_M2ZmUK zOh@SnYL)6rvvv-#DL=g!NB_j9k;e)S9ng6Vz!^G)G@yF}=qga?*5V z-NfRPA#s_Yk>jFQbx%!z#Zr??X-wq(D)j^|N=OkD6Za@#MOEP)HT>2xdKCNz#hJ6{ zLoKJ!XXU>}No`N{Yzap{!cgIEnH7+6BV4maQ$!N)uYL@q$^Ga zZg2?6&Zcu(8m_`N;YjwboJ+FM;alKm$kL;s{MhTtnc$?oX(j3G^-@KbTZPLpqHO^_t zsik8X-;Y1eC%2$Tz+q;k?sSDNW(y?!lu@;w7YuS*3)usE@(tj9RIrL}=ic^zEgnVc z&O^b}8~>gT|4~XH5B%QX>WZyB$w8i8c^jG!P9coc?oyNx*4k!psRa0wzsn+*ZdWRE zB<-omsMlLQ#@Y>5S}Ciq{ghx=;a1(2Bw29fWLkEj*b@|<+IXhzqfD+KTiFu$UbT2e z@5F{Ir?SUcX?vLn?Kl@!q|%~Z_CtCQ492z0bZ=sd*1ceZcbDc#$Pz?~z~>Ls&42^8 zacZtgXl5%j9J%`+X9=469ob2Xs!!GP)l}OG=a*V;>Oq7(4qKa9XXqRW1$@ zeR*JtlGEXYpFVx7yEUm@Kzx||6!#sR@mkHoQ`=PUlh(0E zklHJ$)XB=~3#@6plSic~cEGHJiG*rvQo?B;^x#|>XRl&5naXXVE(_%puR;7snEmxrI-E0!WTm9QC^ZgOLE?DKw47mM-n|aPn7JJjZzh8&~fP-N*7c12b>Sl@`MoKqZ{|!|MY#;+dpewdT;~ceVyjU0wpFcINWyux~FgS%v|HxFdLr zTkD8-8gL?JYqd^*K+Iw(`~_TuNp($T~PDl8FFcdJuy{$_f>1kQ)NcT#VVbj98m8&xPK?Rqdc@&Go18 zUCu`Oy(0qEB7Nq*wxn-8*ebs30i5NYDZ&>+IHDudAFY~tWMf8o#6v6#iJ}$hHq6A! zeSciMy&WlW*b)E03*3E5nnn5SHIL4}W_+R&EIcCS1T=>Cidxl!4h3oADXI?G%q2yAt_iVhRrX zyuq|-9NUpV*ikpIJ!Rl#;8BXj6Qe>8t47ehEy=-gTfe9E0xlE#X$;Qp`+5)#yPHag>?H9yVRdx*Hi=8Zx$EB5D$o9L zO`4AHS@m*z>(|mVJIX?}o%j}Iue90bTi?#NwsC9qh%^|#KYJ*?SYT{Zh1ck=#gK=7 z$VC};BjrMZZLT@36Ja+J3-~YWMv8;oNQ(b;BPqO^bn&=3SSurvA&$qAUsRsgb&*$D zuW?R2?YOyUYzaD~ep6m%$q>ySGcL6#+g_;#*|oeE65td5{NBp|aO~vTxE*P1G}Sx; zk14oCkU44^eKQQ{OryV0Mi|itz&i)8(n*WvnVtv!2)Ib3Vao{U#Pl0GY*(mE9NRj@ z2P9q?^#g4T(ksP2^pC<5NzFel%wjAMgL`Bqiw%XRzZ;KdNhtPu=zpkJ+Ml<aF`=9mmXaYsZ5mE{bf}s|$uoQ7GNooU zXgzM_cvI$(?-pNU7?=GtErO~wBddw?3;@MTjBHDSh0 z&7S1uu=M0|TVKWeo8z6a*Hz{USflZ9r9|DPINi^HB3s?fjU@)V}+y z%9StTcO-rJVAEOiPl;D!2c5Q8^#YA5wn?MnQwm5t(&%#po+#vVggmw7EcDi($;r{& z{Eh+=kZ26UNA1Qntcn986DLS}1-HQw@0>l2eu~eYuY_!k-q~tR8pj%R;MU?C(?d4@ zBaask%W$c%;GmNghyebwlUgVBiHtd!vzDn>dVIwj?p?Gzs99JjKCMT`z1<^lXj(cl zpHqlnWMYdgiCr;~E&FjPU>SVW(=(IDVgj#+(y|P>k2?Ox`iN9fmiWpzj+p5Y~PHy_>ygDrECQ>W=5c?IkUtDZR<8|9hv7S z$Jei14p|4!GLxeeQYuDRrQl_`#KyI{?!?Q28aX3K;}D!~Y1a16&80=^RVfk{PMTVd z=f)-rfxSD*73mAiDMt(F;^xj|#F*)Ygm#P{O!u4HU~POC19ql!N1_^H!%ovgXtS%+uP42YQ4A%0s^Nkb46ITWc zX)*&mCDhOa703i9ju{wS7%_g;59#G(1d*up9KQKnh43X)&I{rY{IyDH($Fx9_29Ih zTEJG5r859X`(~o%8d*k?mC;@&XEHUFbwO;YS4ehww{P4goIn|AF0r75U@%1YH9x=I zRhgCX&G{&o(QGS0aSE;HEYG-nr#&b|^T?UES!1RQ)W?3!>*EJQMH~ud@v$smMl4Luv`sHBO)2JaaN;#?*hd;G#RxROWJg7QJHHS#P;Oq8Xb*VBSBN~uFs zya7_)s?! zlEp^Hz%?1k$e1UmNqWv06@!e-dno-G$hEGm%$Sq?*uuj;F`DYEc}4vx5Pi znce&kj_6B}bs+F}TPuBczC^#@8RcZT1Jfb2sW-dHRs<*E%K(s*=(m+ioOMc)cy!3) zr`^?PqE#J9E%8C_v8FIy#*8>GJKi>z+&%HMQkyFA#4(k?m1^^i+_%!@>UC=2DgUdV z*{-v-2eAzNsWF*<6GYmk`tBhkEOy$y?Qjbrn#9UYx71Qc6Oe6pW!&Uuh4ULU)Arns zQFd2#aY>VxZ-2%m?Yy${-Pi`Lt(4=d(s{#MIV7lM7*yjj5`a(|{}w#JR-H?hVDFB1k``E!>fb35!0gnF^6?ry%%=J>vMndA7(AlPP#0W!ru1<;m5Bx(kf zlIXEkZ)Fhg&Fc>W5jxapbe`%d2yiGu$H0$IH+(l<&>IrEVrTE%`Ttm@W#l3h-LW)W`evjT;`sznR%PCtliw$rYTlvwTU=d-x1ql zecF4dSmV4LGb)B<)JDNmBwnZ&TihSGCzfL&U^8ij8*$$e6IqnO6HxL9DSv)1igk?Osw7MWn$YkcxHEZ|Aa3i$a(fG9hwxLtsirHK|R;NMd z^<+-bR@Oc0R|*xLZp~vxJ3=Hr>ds*V6dZ2$M?FU$i~0U8IZ~m5RpD)N_=Sk|HS0^e zhWU26pRl%bRZXvRL_$AtnqQefp*lptW-W#dmd3=^oJs|*Mnggo3y#($tP;H1b`wiz9YTTjVmzSi}b_n ztdN1c6!bm5p>fz(*9)2`&tQbbE?$mJTT_z!J*To={RC^~rP?jq*?W--Q1;DRkG2yr z5zndbS?kspqkxPM*c;EOika9%qzl!PInqGRKo1(*7~f>_lp==HKX_^B9{i2Gb>!M7 zs-5mqLNONX^}ES_Dih64WQw0Fy^+04gVR;qRkCJunlV#gr7%@{KKhJI@|1!?dnY&RHn{hUuDW;XPma$zzxQ!wC4s zc4~Yiipie@pqW99qy?*5eA*Wwj19me*cAi8e_J_(qcGps1hF8MzJ1WQqW|{oo03wL z{0;Eyr!xtmwF=LD_>SeXa~0zq?OBGqX=(A)(i?QOj|B&l5AUTfOO;<(i>u%Lg9{>m zA%rnqMZ5eh(sBO5L5NP5xM4pM1Y#_qghFQmBky5*YwG{Aw-$cQ#R#;3oeVcvGaSa9 zL9ggZ#<}tTNVO3<6sPfVkLLH>dT}!5IO>~aX9s+uD<_pH@EJ=X7{e+}`%Nwv${C3M z5#L30x%Kq_MVx)~wsx5|i}g8G?rsUYi3U_E_0RQ0ic4p7s`#rEE6(_q(N%Oyn}E!m z9mG-qMrM$ar)eSy-h}3irzg9(FFO~1v(T;*So`YoN>6)YhxH#^?BNr(TiW?7~jcU`4-R3F%aO|KG|=iyWj>7*&B7NdzFp zwxvf(K($~Nc!Qlq^c#aQ;@DN3>hzDJUxzDtJ z>4efH^=vq%?S@9Jz{FS(?n#=+@aFL0U72{e$zf_N|;rLW9yzdx^(&3;#92cPZ(0HDL%4GrInb%+=O@Db^(S#@38kW`OX4 zw_l;;5Pe?POH?G)h7-f%IWC*LD26BzQg@m+HYNIkUO8tI8>#i3Nv{;mMnz@S<`|=a z5t{j%Yp=76*LFCj8J~uEhOX{}%5wVOj(NJB$+{-eX*fP)UgF$e88nwC&+X^;_O`%SN<@PV-MIPQD0W+;<4TTY`EHk zKQ-<=Io-;(#>gwZvT$5IT6Q2?d1xX7?W{;3PB0{_p3PeY8huqGv-!?yuCL+s{7RZ! z%+IHgNOc5#U`s2NDnTMMw6Z#)(W94RMO z``LFdP#g@2*Bwbqrit=b9%{0~sa^krBfZ&UYi@*G2ij7m2+2(~`}XNqc^GE%%3Mw? zH470H@ObH-3WI4iAm>hD$qo6zy1I4r$KPSrb+tL23MS(64Blna9mC#vb62&;jUS_c zuZ`%pM4o!XUw+Zs$$9uDtj}U1_b4v~tyko8x!zD3@JvHRE~5%l#AcEvYB#2v2W@S} zr(AxOOihjjCqt~Kph<-BDmg^1n^#TG4y!c}is@_A?{3V4U= zY%!WIsvu1D0ct*68q-2hdz*`MKl1we2(@Z+r|(Sm3>@q>i%+vI!3UHdEN!6fwWM7s zJ&{Hj(W`QwRQLFseA8UB(0$MRsCp6&Ab*0cR68GCXOEbUo(gxT$HyH2qox78$2ri^ zns^c}X3rsFU^ejsHuxAr~pIQSE3AlTxE!-X$N0kI+;pTfa(!CZbF zzwl1=O(RE6q%1g=6x0i&H2Gg-oo6`PVcY+8DWzI!)Tq5j?7gYIWA7rdg9Je-Ek$h- zdzRXJ6SK7?Mr&^^3AHO)MOFW~@8>z5H~%+zogCMZLK0<|B{~k~qyKa_t4k!#(Zfv{)+N;Te_r#wetdiqe*M zLPW)n`qo!9jzSq7S6zGwD)P}I$Fqvy)80~^rdxdBH z4s0to_b|W+1?NO_saqrj($@7Fb?*Qh&QL+ zkvfFl`aXTVQf!$3(BJcG#$QFR-EJ2q&}9{sUK;rpYa^OdhtxcDlzX^uPSD`9)dxVY zS53qF7HPs)=}_`9Vi|!kdF>E}S&q&BV8;v72pZleI*naiE^3ZW(*RgM3N zh8XA2k=WMC;R(qQr?4T)rpw^xX$1?`qX?u})#{JtnktU1u4{D_l`O`~;N1}N@H>3X z+nTr#+QErLjV=etShlp$QNc=1W^W)SiPw^twfPO%V{7`xHlAA-`#tw`CV%?`O)MZK z84FWfFslzv+sCUE1MP)o%c?_YdcZ&>6H|w7$f1{z)aWk1IXwfJAxfEZk+>+^R3FQU zlXVN%ll45o4WNy`&4(?>$1k5N)l1cpDKhfSGpWO&So>N%G& zx_F|9lqdm_w%oNwq|%<~TaMZ{@`U!LY|s}}jk+2Y`f&w=Gxb5k%mF^=EeZYny3-{- zYx-@NRTS0Hy*3;Lf`pG`%ZQ37R&{A`(b_oRw?*QY*8$SKFG)i$-4vuwvu zHt4o%&n{mKMMYAn_r8nSCNH1WI!4yw-n;;!fwYDo=bg={Y>kg}^DyHHZ(Xr>>d4iL z?sa5D>4}Z!JQ$AR!1BwaqWWZ!;lD)R>(%-kxI&$rRqNL=S2C%p02UwE6Sp8{Zec(lq-DJ4IVohb)DK-H455uL>^J z+rrbat$m=;tfYCZ#`^vB&R8#`X+TwNNT@{~BHXM^3cJF!Q;=}muFQxGmBr8GQSo*F zsmJMyirxwU?lbC9vXgD<*=u%`t%WBV4ZsxoSw`@DW0RvVwp?AF7QYa0s>l)DMaYlo zN=e{JmIZMGV~Z+p=^by_z8yw@FF9-qr-N{=$EWpwTSX;@eZMps)QEd8z|0SH`WN-f zO?@pDqCBB_J}IzmxjMNTlN;l+S}wr}UG!8e^(=IFw*}t}rGz>^c6~lmL!alD^uR71 zFVtBZkM3@pqqVie52->&Z@cy=H?sJjsVIX?9kosgJy4*>1{i?bQrGRvxiw)Sc+cM;;wcGZ&RVvXb!AGmf0-FZ%{ag zz^=R^uq*A~5+!4W4)6$YueL>0;_cgn6Uema_x`G^>&W)T<1AV7~bsENG;T%E}4M|8H#wU;6%+YIem z!<5Q45epAJ=P(oot2xX~JEa9E)50dl)Esk`A#X)(3_>!l%Qnk)N>3h%G(ok$FxAZUG;L>V1HPqUkK(j-QI+NIO;7M9bU>Q{ z`z~$bSl`TZwZOTJ`Sh*c#}n8WN7kE)grKwNPPqb_UGRcApTi>Eg< z{5DsSlRpOO?3Y7FyA_o{kPvjcR<|et57QjXo^IWNJkS-8 z3YeHEQ_HaG%&XMyB;a8W($lp#I{lqKqA{25x!yeBjIfC5yP z@NPNhGTeTLt9-75-W7|_uMNvoW|5MT5wB?yNIhzE;bl}$?2t;Op(DzR#Jn)12^DC9 zb!(*`Pl;#a)`OQ%izzX~E<@lffI?Q}aZk^Nol_IY+DOxT@kOv+6C!#_mLmkT)fy;#!_3(8fcO<2{A73tL?eI zTFqV$31xOJH8qvL+*8#uSJ-q7J)qqQf1h!sY@lqp-_e9~OSG%?26iXwCua4puQHB@ z+S(qjLgY5Ctnf;Y+ORvphF-a6Z`I!o#=PqG-ML)uTnfG8!zgsd?Bu0*?%lYKf(twg zhRyG7OLV09BbC%Gz&t*JO={t3ZxviMT{{F(-qya$8KX6qHdp>4OF}MJLLt(TeNiI? z3YoY)WC~S7*`QTzU&I)W(5rHXM%#)oRKe%;j6mII(XVjugVTrBT1f}+udnzWOdGTM zK;b@CD`8{jFQAT!)OV<4IK{wNrnQJH9fh;jOCxZ+<)Y8ZD5`&?%aCdKX$OaRnB8K) zjaJ!8n?+H@w)E4%h8EaL4a)PUt+XV1BQQ?B`PZmvd{&uVdPubfgHM6d-b2zDTR?T+ zeZVEE#pUT2D)xa9qpfS9R=RhU{Pln@jjs1J+qvhHj_W^-9M!LGUq)lb#*5@+?8LTg z$u3fJ9Ax}6gRIL(oEvc}KR%ukh{vCd2c~zT(q#&ULJ(%RmOkE@QD&=MlAJ^{2L2K7 z5rLC^S{A0>Ay0U%$g!0fq^q1q1j+ zX1I@qYZ2#A&j-UQRMr{^Bp9HTh7}cmF*F)U|AZ~RMq=Wv*vQPYkE&cTbF7bbI1}D1 zbVazIM|hn5MUDICH}H*p!$L*0B)({jenQkSp^TkJoYO7^oo7OjRe{0V<|@PXD`RpjcaS_#R=RcdOU+n=mT(u5w@~HH3aaIQI z#jOP16MIl#w)U=CbC^7sgG{QHFx7q8T@UKi1H*Q%|PT!6#o z&pRxgp|R3!BA0EkMO!6lm$#(&v_%J=ABI(Bu_a=dwiLo*5Q0#8e@=C_C-!!D$AQB) zTMJSHmOkc{9lHHuZK1*@UQ3m;2{b*fH^T5wu)_60GYvy<)%c&O*iz?&yMV$2a#JS=D-2i zS~@BX^A3GyE8jHPaso0WuAf87UujG$mW1k=jxBEb5yRCL20|}yv}C}znD>SQ|L}jw z8*M!lg;;*4@yg;c#p|Cds>|N?l{MxE&RNEY=Nfo=!13jLF-{+ZgiL;)Rw2iB2GN6~ zoRkvc0#auJ#ANg;zm2$}{}H{DN;>yq%&2i-X$|#HJ@nD)7LyhH9>S~?%;p_15Vsv7 zw#e7ij%B*Y)(khl-jNwDS?ja&Kk!}`_*&2=np)39kvMM`q_~|U?L{A%#`bo9UQjvt zyT!09`Wy)J642e)@2uco+KA$lu!pP~8WsU78#zlW3ZOe?J)uENgEX=n$sdxlw8zs) z?V4KQDXyQH(tNjQ&E<1ch*#~xQ=xc&^P@k)bcaw7VbP_}R zS16S%$dR8fCr9Vxv|?}18+-t)$bgpXPh38tNsz)d7-L0$Cq7)iQCX}%5auGl8^P9- z-(;D-5-OW$^@M>3BgH)MxhL1zavk&@JNaO0Sa+cvUktOE2!Ryhbv`?KE1< z#}o>NGpuz}Oarc#lq`88Ycu@fCiut&8 zsZa+t$q=evC6B_aNa5rinL2ZgQW@Z6q{$0imiEHioIi5QrvzEDrXRJVjo)Bhn)a%1=xV{^e-tE@RrPG0 z6{flTzP~vJB4h(ae?QH>8icSbczW)vYD8bZ$^gDMp`0S}eQezOUgr%d2Sm)bjql@#`b}9KjEyoUb@P^vd(Ao|DF>F@oMd-b^Rvvk3bg z$gkT07cb|BbfvA2n)^nSXD3tU>(3i$ZwcdWbQsSJ=g~vI6JYGFSwF+$6PF@~OrOw{g$ai+fhs^J3pa^G zcQRhLAWq~p7$GGQJ#wL=-J3_un}JE@f$P_1e<~U}{}FvydR6#m{;Yal_rLOv`1Eux zJsZSZHp1sSU10jVDqWM;y1=_SiV|@T$V6|c%*~p+zNjX3_9ogl@Yu2J*;~FL{A}ja zfbAefK$9{bPhLY8f10w#QoBO!MC&j3oa8xempAUuOWn5XW(U=1rJs4?kMt+F-p{xU z$S)QR{gSiz+1*k~pY4yX!nkU!esGa@PJKR-gja~nAlw5yD9Wtm3d|O7MYy6gz zi$t4L7npXpjPEh2uFC5><=vgPRLqHZ86KQ{5ErL))_Vdo0(#m6RQ?CW{Vzz}FFI~N zQ&>WJmuI#4^%6yQO84Kw9>a_uwBZ#MjuE+D-unk<1 z3$M=AvAcC1?MuOv_V_Q}`POIOg_4he4?xAMSLs8%WlSN2p*ZaxCy~?9QF~EL1}#zB z(a+xtF9&kA{3*0SS7e>|kK^Z=wyhqtkUb9&7)1ZlY+WikbmfvFO_IxGB%oI2EzwGr^&a||ng9J|3s z!Tvv1?-NYfA^M=mU|2YaO&iZPu2vU^OyC#8+;!cur3?hK&QAwlIwDTu3nOY4Ku5}T zSa7k^QkHj5l32+^9r40Q5J0B0w(He~d$yd3$?`<|)ay z;VfD!T1M0hV`p`G5B=KjPf;FE$E`*w!Com84v~M_%G}Qa(``bSC)ES1LQq}7LBbV)%JK_2-2L};WG`qH~nh*hv#?6iC+b=lhR8`JTa?~8AG#6(p~UV76J z?1y$T=bSC|do`{Q^shlXFA-y}j(HXtZOl5rWz_2&Tkuz}hl_f+!_-2kq-;T%-`*5h zTyioKNi62GvIXtl>qBudDUdR6O`^X}M z>g@fP9n~4Km9Hy_II!x{+OxDw>LpU?uk3GzExdJ3R>1p00Oy|=x75Luw1KSKuLkHp z@aq_ky~Io4<1-&&xX-o}3@luQ>*DwHC>`^Nfd75k7tRfify z8)19%kLa`Dw2zhYw?4WLE6lR1h~^ftR=!#uOUzHNfqBn1=q#XSvWr-y3*UQ0SB}M|ARh@X_GHS~#VS?^&-@C4;MZu+o zeyqM1t}B>-GjMZj<@44Ls|Tf;ew>rZ?r#yLvzH;_u12ZE>cfxnlLWYmO&CVIjKofT zf2rebjHI_~|7c~7rt6J9TcvwedBmTiQlFs5YU<0l(~I!wz|%1M?B{3K8Z9=|M6c8& zq-Up*PfH9E;s`s4CY5vF$7|j_E;%-&_BOaI7>z2!A)Cf)4YEqFx=)>w>5dc95rc#< z!d{{X8%_j@dt0K#gG97obuvWJnmGxrIaIAfAGF$4lHXXX)*AVRcPrB1WKX-AbQX=#O$C&5H_@4Yi7+OwI8sFLX^Vi`SMtMKs)p*-zu+8!9b zbf3;lwC>_JtFlC{A8Zri3g`8c!oTP z)g3cm&dKey@qFn6KN$;p1zUglt#T5BF^t#$nb_KcR4C(Gi9oDXB@9oGoGQnhUQ*GT zlQE8Qa1p;IqM?@h0+YV2qfE(B)*!xd?H2rd^K!JSvlbi~l55u!COw`c2I5+4p>K9W za0oQlT#9MdFl@-oAKO~U-kG=maA>KWt;ra)YMr-dx3lnFS-b9U#Z3vyFqXhyoll7m zoa3jTyscf&sBF78^Y$}7#zf7eh{#h5%Db- zpxWlq;c9L^8E$cspv<1LTOq_$plsdsEThV+us zVi{VkmdO(>6e}qa3+^8sqjNusE_@BP+n7!M)!#3IN*3r8D^F3*-Eta_cAYqD293q? zK;2=vI=&K6I`|u(GBQsq{(GZlzP#moRzW;Y`(pE!aYmYKH;CNN_yiy8N% zn;~RXS-d&n(JoEER+`l6giQC(^IS3=Zz`JG zRv~+m(QuUB1mhy_az>uX>Z7OD{vEHH;sg&fUM>TJ&Me`29fW&5WL4%I>E8_pkyWph z&+gUQNGzjbcqLZE#pg-6UAZ4`t@uVODBWkF2+Y=#NMJ;N`QW|Gs6R1f=_x=JByw+g z45-pJX&-dITA{-aia4#fpE8su%tAq4`;J(vD2w(#lm#+mS^Rl?2}wZ~fnn0&u=E?Y zSemAGz3SsH-^j$Aze+)Rlg9}>cRd>op*6(9*K4M2pZJ@$4~OJtqy<>h^%D2{%A4fr zx6X?-aDH=!p4(p~$(1rr+{h^`Bs+07VuuVD6YIY|CHfd^scF&J36^`U@s7ChS<^a7 z5k>59XUoa$2kPKy5hEX1RQ~zI=P?FS=x(xhf{bN6NZx+FLx9p+Z2e5^Z<`QV^5^$f zOU+0wlNwjKf&>%ign*KUXk<|xpTK>=8K~XpuL>MzRggiRp>rXnt!q1qHjhGAC>C8{ zp58+tc*cpx$i8U0f48m-Z`Gq|6kClF`azBQ@`k};G-^LZd<448WI@crkfx9`os35M zeq>4)NXgE2n{L#3Z=O6`yCWj~++^GZ=}}bGfcROHR6To^xgP#Tqxo8cBQ^bQW1=*PgqiNX%h0bs{l(MtVp0lA#yBUVqy*s!B>>}BN^BxQH2352dr{px zPdL37+0MJRl+UuVcf~0l;fb-?r4H6!_YxoyHB)%|afe#R$j_ZTHJPh9N`ZeeP&Jvb z+_~RaWvM}gsjs%gj6>k4$*;E1i~G!-^a|dpA3lw*2O3mA@w?U2)Q$=DY3d|5c+Frz z;87+1)En+^$&X5wOdLC~pqCYHyb+)fF^RP6S^6MdG$UrCT-tqJU0h_{;%^z&%Od%H zzaP6_J$u6pOhY%xzVjp(JK{#SRWG0m{F&Z(&`cbg&6_D$i%XpR1H8`TjzQ5k?;B{u zos_>b8{4|R(FN#Z4I3V_8I!a_oXh4m2+MlrVbmt{PqeQ^zGWyOlD*uU+harzkP1s1 z2Ru(IIAiu^4;)Pk>sdM-bV-bZ3uCg^(soN%H9(~y zS6WK;f0N1RE_Mjk05x~pcf=D%6wJ!hR$H;)ec}R*3Z*@Wq1ZNske-wy?t3!l(tRGz zuZCPb|HYpt{tth?ea;%clu~0Ix5g9^pfUJkyQ_0&Kp<23@h0!b7+yAOXN5N-EgIxr zJQ;WOy>**Kx$C+^(P*KvzoGgaVK%2*1z;Q*L!R^I#fABGQEq#^4!`7oI9eevy7eCs z!Zd3gfYL_^UIK{K+5~v9T^6xrYdhxEGky)y>G&Nm(>o9##oG_9D*>`nc^p|Ajz_*y zrE!Vcml9?YXB|8`rcmNZ9kL5cB!IM9?4xP4Kv_7BLQPKZfi0$hgP#QCwbA)R$rQLR z^DWWb>h!~H^TVr$n`tNVw}G$^SmYC{g{pKuDSH4ev#ny!L2cGmKw{w^(R+^uborL# zsLiV~JQN~Rj$x87x1P}N$takSfZe^T%^_&%C&I{*^k%tb|E|Lao=TasA6}~{yGLZg zbQFgA7a#k%>*AL^ZtJpxu<2d@h%DpMLD!$iA1+l1SVYfa4Q##+9)7dYR2;E**dgoC zT%R{n0XD6#^4!qq5NUm@{m$V|7%86UQ z^NS9-m@a3bc3K7(G6y6gbEzY$D4<`j0Yjb(lQBYOt)Y~6Jy;}Dvk4TMD7yC zYLS6bsJYyMvzb$C1?2Rn?RH_BW|4Oj_VsBc@(8^!;2492F`NxndAs_}R12&Z<7}Pt za_EEJjAMx_Mt7sdXR)G;+o4j|6q29fihJPolMc6AW|dxK|H(zSb$`q5<{;7&f?`^Q56VnA@jikT$2$WZQ+61 z50ulQoi#DirT6eIGvd;N-Yad(ioL_a$gkf?yqH0$P5a<3c&w4g3d!NKXfMIAsezNP z$;4d{9;Io%V&sDPIS5U`S#dD8m^LTemAtyMiDcxn#UZIvs}RmTgjsNnG~z3@lfI&3 zDYmPQxfi+_xHs{6{U6a^4R`bg0!|aIeo5g{V%0pGDM0s253f%UNt&fE2XdDQEB>4% z^SEe{=3?Q5@mRhSF=MuvtTpSDeusM0whheZHU(@QqkRWFLAvC7OWVGMwt8NW7!Vt>g z>b||!?d(j_^|-_+2Kyd>E?;1$ub{cyNOG*dmw}VRQ)Ah77ArH$TtEUPH$TNE7cY=96C*ORfG!umqH5H5P zYwx-MZGKDFSoNAuj1FbRG8s{XT7;S2+9uSkk z^A-DX5Y+Dt;NT&qN>-G@MuNYEHcmPuI`SC#jZJHyy2d%^S#kLHXQXwKm&^}>LrB3N zwf!sbBm8P-mon{X4w|<;$}$bURK-1?(R1QJ$!CxZaXFlnFI|hou~xNy98FYB5&k0? zbj(ci=8nP1_Ep5P-j?p?=B+rQephPHFB#;;-$Ti5nKDZxm*z+r#%GGjPap&wGmL)7={wFDJ04jaA`Pp z5nWZabidj%TJUO}tCnytX)^d7)dVoA)?I)-j8p5brh+{LF2P!7XT2YUikm*E)0O9} z7)r$O=H@{^GC{&|VRt3^us;u)CfxMe)#a3L?yq^@ zc|$exd$k0t5Jbs>oaa^CvDiM!glZq}(j+}O{)3~Q zyO064@@Zg-_Q12Q?Bu+oa?%5{L*e5pB80OJaE9&4+@1dS+N<^J5+gAqX`QnrMHP#- zWHCR6DQ;R}uEzZ*%e6rXqT=lksh!#{LQf(#Xl(P?di~nmY|f(#ai83Po*8n9ZDnS; zP;|5>l1EsGz1ac4*S?rIjpZCE6jX5USz8{=xYy2W*1iK@8M=ki8c5XPFf8u?EFLK+ zxg}2>Q+SDdJ>O+|p##!3e0~DZK@!xm`l%e^@4(KWLTdBG^#mHzT+c#@7a@YGdCg_= z(mO%rqCzfo##IZyLPr>$)%sMeZMtt{!2!8B`BfoQp737`FyKfwjWr=6bKATsE+qb? z=Y$Mh4asG=MoZI=JQgO>Oie*CG6<|A<~dtCrLCc5#d;ZwH@p2{S zm6tXxA^qm<72Hdon$LZ>d&C{&vU{G-pxZsM^dHDvSw_~`hyDaeiFV&({k!dx)$95v;&WoM7u_2)8uwzz&_S>xyH#J4 z=s$Wa7uk*OMR5k=3~TtMhrh>zBdLOKSK*FNckh6%dn4?&*1AL?7Jo|@M8^p}SGk;B z?}@DKhi~|dE9{RfS2MCdtc!(fw^^p;c^WJ{LsUrFm{u0*HUEAbq$K6YLqWms8wplp z39RcA*nnW$%onSFqlSXI9NF-6*R2S9j$D%2<7Y$HyE8=Pb@UTZeDB zGQXBuYr(zdkbf|%>0&E>6|Z7hx(Jod6lnKCq|>m$Ze3O9 zBQ06VvkE@pU+pFhPv#_X>cd3a4~-$a4iagH@Pa;@PT}9R{d7sOhKCK9e(8<12%j^V zkW;N8f2E)aw9EQML>5v>klFb%YLXtIVoFHp>@`rfe?D@t?ynez?Wg@%_#lq(_&JL+ zR4rW!;(XiNAZc9rO*~j((ujWUz#D)v^x37S8WdjSq=ziujp3S`Dd3Nvg(?SVknL8+ z)9;XH#o?QYz z_IW=v<98Y~CH~a~oBh;CqC}kDDbO{kke!rB3Av00+wyzQ zhTG1VXr2jbfI@v|y{E8;^)5XeUToH|cJ)~bSEdSLB za%C2lc0=>T<(;_8>n?pTwyAUZ^XRT{hLj-cE`~BKiO0cE8YyA<_G!x2=RA4 z|IN8f$~bv@YYOSy>Kt4aEhd&~?Hc_hGssfs-gzUKK7~9u1cIv#dFMrjp~;Kj4Y`xr zydW|N7qD8$_WKPwtTAgFPAwi)oeY%RVldiRVEEJf3L zQAjxwn#NOS!rS`r^zJY@Pw&9AT~osRwq+}Ph7lQjF* z>)9p5Zr9$lxrkuK=d<~7Vtz5%`huV3xSh}7F9t}{}`k0X?#SF(%3=R!`icgU|1j+z*`LvkOwE=ocudk8JG|Lw*>#F1&WB0SACaHu| za7bx}9O@fw5jZHB2w7{&Jju5Y#|gUR!wxe(s1N+KJ=%Zx;Vl@Z|MQ@ObKXT_I|s!d zz0UXUpsHLfN9%SWIHkH&Z-VqKeZimtyNBP4WN$0i81^GRgGu2`;#CcF9#a)MP$w#f*5bLJi`mVbPr^#zn@eG4Na1tox=G1BRc*rZ-yI<+8spPghd5F zulocqEhFNzHSzEk$FJm$UXYcb{iG`$5P!IQT6c4F1lP=olP~Dy{HI8f;|KqU7|#qy z4_Mg02{7kr`7CG}H|EqB*+~e_3i&GzIF*js;=gCJWM2=_FMv+MEYTv)oI)w6+mnJD zW(K`nu%Hm%7v!3v<@J!Vw!_Z;%tAMoC_|&7qSTaZJPeeTGO&FUAtg?1c$XxSGAsF6 zX^N~^Qg%r2K9ld}kMASCr{8+CKsM5|GDqAwwWDalU~PX0I@3UI}3=4W>w zl{#4F^3HeVZ|Io!K9`j1^)toc`xu+?gFxy$Kud&8`aWh(HsMPbEb|vk zL!89Plw&W06e_5&*YbnHLBeY{1i@+ndw&V*2?9{Q>ziC*YddPN`7nLn!LssqA^(wR z7T@-bDMbi(>@!fBrUNzo8z89|o26*ZkR$E$(8wGmD!2vOFjyR1ffmGUzv|5qK4=P7 zO0YK%+1Dg5QA~$D-1D(-&g=zFe(H?0lrcy|ima8Xc2%0r@9SUf;b*J$fdR;X(Kx7YYL+bDh(_=(&ZyNv{S3*cw69y ze=T%#fH{ctO2gIJ19*6pqzr}>x-31{QD#x{Uz;t4Jc*N3;58X5=k2GrHO9y#SVJ{q z>!5w=w1NQLFu@=X_Lv|IxMp0Ad3=m}c4ksGk-oRj+YD*;y4QUM(R_TGJ482>_Z~|z zCK>*=ok!U!zTqw*QhkRlcwRdL>jJ*bwqII5M@cFltu&b8&JhmE={G zS0F1T^W)!qYPVE|sHl*~{MJjO+C>x@l1a(EuZj*7C93aLyi>>{c}%Lb1Y;8sxu2-- zvh?wL=O4Zdua(iD*}ZLJ>wBbJe%fZ{kDcpz{B8kX=s)`C&S|?2G*+jCKva#5zTIDQ z4idTVPD2vuLZSjGiiQ*lE__pXk1w1Se2{>Wq?CD_F3|er*V_K;u2{eo?Ez^HP0FeYUvPNPbLb_{SxE=Qmp+7j`bz)HCHzcj5PR&bUA-(n6S9oq=@qbu~hSVGi@= z?|}7NT|&g`J~r^np6c5fUb8!lb{Mf*v2wdkz<%ooajFLX)t0K1a98)NvRRRn%bVQ5#ixN&WPzs}0g3zsQ&}K6WfX)^k9(Gy z$$J$_O;q~v;af9SIphdY(#tf#dtu5&@V~x(UfD)>&%R9@-ZlS4@#W3^5=B-?Ma?z{ zWU~F7<-_0Bt&)t}Br0aQ2!f65&fR096-hwuyvVJ)fo+liUX@hRxO*nA8IR_k!&rO@ zd-?BCZ>$SQZ;>C_4>i;Ew%6zmk3apxrDIYi>@wv-Dksumz?CgZMv^)$q{~{i11u zZ^FUwHTvNDj8Bwfr=HV4CB&Ix)SuAC4XO)185IQQfl?@GNN8zeR^NE*Dvx?BgOc6ta5WIi)u_!g4)s!9Pn-#E||U2O5v#z5R`d}Lpb4_2R> z5S7_<@wb;&>bWc>2pe@j2drc`yVHrVUyOyGJ-zKc==*$0z#FG%J-HKHuO2t`?E=n} zI!vXn^a`vVmAur+%_=^mvNdqnbP_kzAIfPs@GD3syh2G|{BIDKyuA0K5LwrpeKEu( zFB)^)^uj6L?ZPGC+s=+(L5FmBRUR#rmIkNHTUqUq6ZzftsH?P`+Qfnvn;3vA%C)efD7*JZPwK}zD| z+56kCr0m_uP3TLjP~{2KJBz%(7hj0Qxbb*>CI5|W0_CxYVlBDFpGDnGBYkk%b**M8 zTwZrd#)$MyI&ce+_EKH$+2MJHKEIJ-%0}l1q|+CN{J>K(*m>7_7$Gi11nBrV3Nzwz!^LU|0C|TJ%64Y5 zRGfFeUUE$somv5A$_ml-Ti5xxJuQbd56v6-!@B*+S^+LDDrId}$<^VuZX8m49AK7);mPI5 z_2Bep9~!Mu(b$QpXJFK^ocEQhXhu>%)f}Iq@IX7R= zqx@Fqyt*kJI{(nG)jOKkdc3P%GJ$<>%br_%8ig|W=GhM8;kMXGf-FxyC#AobHsio<00bqL2JBN;0Rv;o&G@p(qJ(zCa+<%38*kpmHEq-3u zY+G^3^NNtFkzQ|-_BEIn%C-0O*(zJ8x3<;IF{_MQA9)c*z_LXLqF*yXU+7#GurTrK7u?Y@!=l5lmxDhpF7B%Ki+)dfH+f7BxP3NfV0(KYD0`f98F;jY zhpee3@HX?alKH=&_SBK)%-vNSUJp?mrjWWDoSn@Cc#Iq$8K%0_o)z&ZT~CvGe!>}T zEUqKI?2<&y&uWqhjmVc>ePm-HrPNf72$%@+;9xJ=8Qsk90edG-!FlKLVXJ0 zrh8e{W#0kPMrlCX472>!7Os|G2nX{_Utj3jTRa%VCu`K=wH!2z%WFs<)-g)hQuNX3 z%eBC)7S{ca@PcNC@ldk!+)osH+xe;dv0K;@pYZf^B)^gSShC_1XZF?Yd&!o~5oQtG z-d=+u_H+M_tGD2aBka1g8S6Yi>7RlCmFdtZl*x3BfKQyJx6?Pt%^m|a3Pvz(`DwCf)zvnNH3;;I!} zq*Ci4wNDsixpw&haM+JmYFSLAZkF8`{g21^ESiwlU#>e}w8A)c;}a;e&KqfXiTH`l zJsN4wHq;K^^*sh^rtiB(5;U++NR2BYr;MAy+v&2JLPDem_Vma|_tJgijTzJp{OIc| z52iN36ObCZC1}%`gN3O@M$Pu@$|dEyeglu{gjE3+F;AWRf^h-pe*nH(rw`-{tI|`` zs*BbThW)aYFZ`2Ot>2bNsIt_6)4((0#!kx)cq`y6IqzD&R({{WotCul)xK z(r;gNv%Upkgf{tpS{Zc5=bNhID{HbI&)ZKgMzv*hj^vYBi>~HT<+&lgANlk=_5F|T z3(3N%?%EMdARjcL1v7X$i->npu99y42qbV#van;`pRyzEBGT4@Gvf*k7i%bU1=KuQ?iHM_cm zj_q5{L}k+LQ+(5NnW0r zbVP68i^ZocH@Pyk32;jSI}PLvI~fI!i5hxM82QVI*CwO zlO9>^`8#dZI$xbzbux;nJ0$5{6Q5se-;a=j|BaK#=jD6ZsVj+so8j}*7;4gQvV5y}=A|JQ@2&E}qKroN zg4!oqTbdD6f9sr|3VhDo$`*N}OLE4!geWK~-0#~Wx9F;&;(XJfyUL896LdIzu*>KW0@fp45& z=_Xl$_57@Sc8Bc)ifaCCdzSKCc~C<2kH5GFs&g~K2bPI^(4a_S4LtL%bE@BN)9te3 zb^ea)WfqTpkef$Uel~co$R573@YK{dmh&vDfv*b@3{L^8k^Jc2hiSf6UR%Xiy3(fY z)%g#vn*SbrDC}d`W}a_JvE7g3X4kPQKVms9w|sT=vLFu`o*bXiG$#6B5O|s6rC~Kw zzOY7S5bfDqRknZANINt3WE+S6Ivl`;wda}Q7gl6NGI!rwc&Z=d@PJ0U_S&%fYB%Z@ z$*;_xjQ>ALl$2&Gp^VQPV|8H>Y@~=4<_-m)-Pqe=t96a9ni_k*jI}fyjn~g#e;d{E zs?jHL91G`YiH(>wvr@u@_pj+*JqK3@{mQkZEtukY45%QN`qZ7cHJr)ihO59Ks(8L; zaec;LJ6YWA)EQIY6=i^Dj`d*7w3zRY)@IW3Sy-4#Co)~-kV9{ZlPQk_d7H5P$>%zW z{qZb_(>@OCWPPF1z{!5X&q|3>$vmea;S*wm%`c9P1V4*oz0QhMo_XE5iu5WkD~=pJxTIEEe*o@$|Eepq~HR|dD&J$uz5#bNrV+e!$ZroA{ z1XCvIa{0oB9-}c^#KW{GrqPUu}oLd%m-OXVY9o35_0AnIz*^;aPg&$Ux~ zfp@Z1K7FqL0f2jw_X}hlNv7L8dOk&F89=Hx5-7n50YviYLfm&5Vi?!mZm!=CENeCX z)V;C~c%82VMKoq?|CC%__6l9>2-1L0EHBRTFZbJ3$qYC8^}jfNqqU9iwH?>I+4>5; z_)hm>FT8NYjJCk5$8svh!|GeaS1Z<^*2e#J=^F*!b(ELxuO_Ew)f+-T=k%z+^e`ZF z#$Y4OG`pQO0J<{j-z7ByVhT3hzgGje(jnT1hZAfcML(9_bdP$wxo3a<4*)c=k>RAA zHX)ArKDEP}RyLMHCHN0t4UxJK^ix(cG6q#KZd8Dd2rDV)T>n}|XeksO%H+fdVETJ^ zPJlNr?@`4CM)>nJpvMk{84sMAp4FchvvP(&Z)(avTPDxzft;f794+a^5>7OM7qfz6 zmr5gWS-GKQ6hB=l@b^Cevuc!3y3q7;;4rpEJWjjgtJGg6nalYy6o)|8wAH$9Zpws}}zc_VKy@0IXQ-)pZtvF#y3(2_U{Qgf0TVSlat; zj&W^aQG)zq-~6`vh2$R0MRY&(b4UlWYxHhGyRFaZQpIo2my-LS){IIP1H#JmU`4~< z-O3PC#hJ^1(NdEb zJh(g+fk66Sa^;08&DAHRrxwnp$9DmN&o4A^s}uEGoLfjV@JYrGUz|qnm2uF*R3UQ6 zP;8;$YNfA<^EP!Eeyw1A|5j|U!>-^v^v>Tp-8n}sr-J`?jo<%_t4=@-OKVE##hS-A z^__+|BH$_!wTv`H$xok0^$XOqa$RMlBL@x8;@?F_3l83Vpm=4a_=?RBUo{Bj_^uJg zztdf%&4xEyg(D!WThJMj^$sQ_xlNBNv3+U%p2&5!=_JY|qw#jdCUZelXSXw3w>_?^ zykzHI-sm#JlWiNDv+`QoU7JdBr>Rst|JFQS1|HhFPO83vQxHXOswFX9Ur8L^D04YK zPpZ0i<DK|>2D7J^$sXT2TUMr^hvq)2(C=4AtEP4-Z z=(a2ytZsm$g0g@cExxzS$1CKVzs+)d>vzyTt%aR zcKs6LBkLa+?EC9vw;D1CO;6P(Z`VJF(Aw>WP5no>gtd37Z0|}@43ZLGokc5i%Dz2i zwWHQ2j?F{=Os<{3$$ayr)^Y-nMCPUSvV7YQbfJXp+k8J$%0>@KYCgt?c|+98=KfAU zuRGJ0sD5j=^LY+o;-c6O?0&7d%ac7SRYHBt=a?t@1jUCcl_s40rHDCf+-71W=S)n{ zb@;$a>I;dBl8)8WLkm$(q7(Nkvv~P|>9jGC^e!QjBn$d0(?Nk87BPftk)a&DgHtgK zZyKx2@(6NdX^oh5)v;|8Rmv*HIX-TgS<4VZNF4hVRpNe%7>UV{#4~qR7~eFX9AGZ!N23tw<&h)^F2sdF8doiz?qA z{B0VL8d&?@wO4JYPvIg{Wjf6FG_pNXv|{nKyGA97+|f+)eHQag{p%fGkEnyg)K9d6 z10M`xsg#sa32aSsNA7c;y$^dZ57VF1K-}qrTFZ3%73=oi&8Z7?tEkxN-Np`=3Hl^K zTT=xj)D5sqr2Hf;Ch=z~JX(9-82dE*NrS~IG&hR8ol&_4L&q4smyQm|d|9cL)lw!( zjYQkz59~EUefOi3WX~}h?c?XPq$#miBS*@*?ai7KPUnFQk(5kS6UTAY(U=(8v2DFD z6puV7q%k`Bv3YYWRs;d->wjevDmd=7Q5~dM7bk?sCpCzn6YUR+UfsDf!`I{6MAnox zc6Da&ivkaoz;*O$qwUx>tmD)38eIRpUsZmv>3edNcXULGWh^k9!b9P;?2!lSj(6}X zrKuMR79~4g>$d(Jd@`W5d}qV?^jW_JDqybCr!(?NfU--ya<4nU zI4>KQm$Er;bjJHI`zDqV*C$)KDB>nI8Z2DkAH%l z?OIoXE-WCnT0W6S9Oz{2k2Q>7x9roqaG~m+Gj@@rZZ*pDyYZ{280ilS3;7A1nH)VL z6IK?DSlKs24as*|du%UbM`g?bAwYLpnzW%j-z(jXS z`-flTQ9-ygNci$E&7HHY(`8BPDhe35bm)wDr&Jmn`Bfoz^KXh_SfhK|&w)9q^3rMy z=m?Lg;_{COSV?E|{H>Q{aae1*0Z>sFV03Z`HW0ZY<@m8JGVXD~Yr|j|GMIE3N-S|S zERAT&&joyKVRzJ^3B0HY-~?kbh>qZ@fx!xx@mhrVw4|?mNUmkl!8pTw&pr!;KEWk( ze2YcIKQYI<2TX$ns7kH(XC}PjR@Xj`_X?+@UlPL-I+ZtYDlvEgF>Rq90|Ot) zLzX?VkVrk|PVeTaF|OL0stJn$@uq@Rn2hulTEM*9%d=DYU@Z8jx-1I{SY>CH{tsZ1 z>W?HBD4K;<&apY-(oB<*<39l0=Mxz#1ulrZ5LGT!3LYcgUAX+L|Lv)gV{Ummtv!kf zq_lJp$?+a|C*(Qn9uym?#lM2}=bh?rR&fwH9YtZ*pb%?NfeIm!D9!QS{1ZqBG_zYY22J!(Qqg?~_Op@SZ57ACv7=~#qja?p8y+cP zDI-q_C91PnlR!@ z8P^o2Dtg1#a8Ze6;g87HT5)u^$gy&eCzC5WGbtl2&|B!3Tpgh=#JS=4859fRLf0Ov z4AG(SlaM}>GyXgKyNnC47}=+WsQ@|}u}5YtWVsKf6DiFX{y7Wq+#)|=s!M=;U+<7D zId14IL8%C;LczDdSN3&4M;@hjZ1$fPs+!xzf;bc^MIt-UqgQFfgfLPg(s+0jp0GPt z%&#lP78YxbZ4*}0N(6{&AT2oJgnM*@$|Cv^pFQp&gb3;opW#qb3|3(DP!TR7USvvn z`H-{8e&KK!Dd7ce|F!ywsV_Rg^{*f;Zc~rm%Z7iDV6_g3pBM@VU!KiqWN0eZ9|vOY zwl(^qt4pznmo0v6|A8M;2ZdicQ0R&) zLbw9AP~bGJzx`6V%+|3B6i^xXtTU7X28TivfUc`93TSOcl%f;Rh8>x6`#dqLci|X& zDB|KFD5T}oos!YYre>vT$3@QmCTff7F`KNq`yuU)iqW_gD+psI8L__G zuB$dpZ3Uu;snr)(vW39D&QA*`hvFFZn4*il7+I8h&OcPuE%!U}|0<|>u2Q2Cr*|nr z%x7UxShtuE7GIZNuZU3Pc8=?R37R#r*goFT;e5vvZUGYo8&^Th9wV6sU0;R%+b5#( z^uKl4JT+{*t^D)SAKz8@?D%h%)}?62o!{vHD6#(|&g$82Z=oEDZWWr}pcR@`b3X_c zF~e_%cv8VU;P`rTJ2y8wGsi}DR<LxcIp| zii%`>+%pk<;Ri1+TzMX33kT&^6;@?Oz(dm9v|O{QY=sURgFt<2z5BUS z0{qM7vgR8czVD^%z%m7zFf2xGZBTzC>M&K|e4_k8f>lhIbU!Y<)kJ0h`HKWuC?8!x znPxrP*?y0ps#DRY-*#YGttVK8l#`%ACe+rscgHsU(@g{xO+K??x)}gt9pc6mM?!gB zA!M5HA!{WU6sqtYITzs z@$RN-c(zXfW_3q8i3+&5CjXmSu}LvaN{tkXFns+?sV@uoI{G>=TQE%rKx1k5Mx{j`Pw zVc8Oxv@+5-ySNIJI2GkR4pAzsJNeS~{u?GnoM+E9-z7U~<(XF%6(tabP3gx$H2p)D zixP8VW%^wIcAU>&?27D}VmknpFTDkng>r~Q>pp)v{@4;}RIlxA2eoFE5e~S-Agx7* zV6}H91LisDl)pQuoIj^CWaT{R7`-l583rc7=e##p6th=9?)F0G7wPod9oOU(@gt0>T#C^is z5D~t+O2Y*BhZ-|>{_!3`L!MGTT;$h^Tu@@D0@aDsZ>OAp!k}0{nQ)<+Zp}tr)Ws!M zNm*IX?SN21XMo^>gu6K&;Z@{Vh{j{cV^Hh!$9r3FlLwQ*zaX`8C|Aj>_%#M2*L}e& z&22pTe2?rF>w~if4SG!xO*9Z+8W8?TdH}>ICc^1+s*E2+D=Ps7D(T8**GLllVw2)VgL^}T zZ6>pCYwslyFcw8XaJGR@;c7%qQO zm;cJj0!_a9J!>>A`T-Hr!W;Xp#ATUg$NDbk!h$c#Fp7AA3o?=n zS3Gh}yR|%T=qrt_hAWB;=sEl)6&WA_h|as;S9od01IuEfum8SVpa6haFqqa-5q+75 z--z(lOpNHyiGaX3^7T`A!+&KEDT_E$3?mDz@i3Da6(dcm;J?3f9aTZ^gPj9JatbPb zEp!0G&ek)2+als3-$2ylZMW{oxkSDSi&@bI4NA}u1v0pzgJX*eTy&_N>6E1drIzLY zuzjqqxoSzwrwo!a*{@`=2S@#dsq5f1N$RV$dE^`K;bI(rKd#Ju0hvK?dd!>y`;2oS zkl(Z@-vj=F`yzEFgwpZw$seEp0Ppn806?**-ld0^KMLRh2q9)T1_WQ7PK{vJ1utrK zUGaesmqdk4BwrLhz@e#>Iv&KJj*zjXfC;^ENXz+n&pr4os+YgK2G&Ehs^r1w$Pt7^ z(o#O873rVGs_-6<^F-d(6p;{dG#Xx56gBYVDGXWm@u9$!3A{t1^H-yXIi|sC0CokW zZ*JQvAQ^7^4JvqIg~4Za6iMkirILO)ZvHXiw{5G1HFBvo5UWK%U7p zFfsJYtTPa3`cGPgFB1eU$NmGr7kDq)LX)%v8cW-|dU6t=kDWx`-5&s|q8X*K$hNJh z*k#7*8M%4HaFSj(@9}ZcxbzT%mny*^3BJ9wv)q7|xjN;6q_?h#H+Y=L?|m32z1&E<>&Tgv5{EaMSY_-uIb*-L=IhTLi(GY{}0&V|L73b8?;Ooec2N( z1lv&6qr_qSPn5mqeya{LUkTHRoN;VSit5!-6hOGF$Q&R5;T@$oy6$C_kL^;WA!_4G zk7zz!K9orOpQMBW!1X?Diy~ZYysc#Y4u;H}VQToU@=R};v0d+A;mD)sT*QBxd|{|3u)U!AeAg zT?B3&2I)$yLWHxA>>|yPB}&^HAQ^b74LC~mM?5b{90!soRPYXb{i@ohb~~?y0*nw( zQcId?eNI`f(<^}q4-P@36X8F-nSY*^#?C-GzP&0#fg<`aC{k_v08+NEG<(DKl%u7_*LTFz3=-NLmEe@f4h4W!Hbf$n^p}=hwvK)!8C`qgE4nG;`jGsf%2Lwb; z=4t1v7DpwD$v6w!YBtYR5{sY82w9kwKlwj^6Zgf>{G1Ugw+`QkcuB>P5Y>!8U+0=c zU>fqkEA`#?XkRX=*1Y?STPSOLk&!Nzk|}l|5JYmW(AdJdF)ir`A`j%(kL2qOiZwqx zgFAw(dP55#xb+fq%{33c!*{`Z7Zh#(asd;BwNg32#g3{FI z3X>6+rofdxHCQC@qSHu5&1tFcIzUW@Wu#u%2S07ntTPjj2w0hyYH@X3szAi2)pB_i zI1?ZP3RVXP=7jo+`#;2xGYEI0i^~cfn<%EeOO|NwTYRxq#6SjUjYzi_mxj{4_ruke zNPIcQtAn6KceIQasNpO^P~`R0XcAqS6_JBpq@^81gRJ9DE#^XzI8Kc>op1jYIBJYY z;3-a|1Axiq;?csb$ib>tNmMU?1mDd|cr_AJtCi>3A#LFY#<_^@DkGN62{AH?_KZ2+ zkyD%YC|ztg2^`-(2Q*W;?tcF+#DYoREN}dFnT4CU7G$D`x^CncWJdktUvo*L%Rib5 z#G;oJ28DwOE;YQX3y+>VbvwYOCH?k!LzBtv-%w$;2|Qrh0p*D|H{GWKjPv6M$9n1$ zwZ}7)Rgz}~`(u;+{q1>*)1c)aK^}t*zAZB~OK|_?|9O%APo}t52g()6IK2QPQ-w4# z3&as-rwK{tR&t&7xNYTYIDflqanm$TZFlTALQ=r=lZ8X0OI<S@}HokU`8iVXJ4>BC^`jUZz0}ms6d_8iH`CtQfb=7E95DbM(v#w+{h9MS) z9I6gvh{V=`Ht!ePyS()73)-E8GVC0OMR?WQk5+R6REv6%Clz6en#Yi(%!L+Kj!BK} z#J0f2^B5r;V)>@eqMFdj>@Wp>he`Sj#BF3(Ic#A}zpSg@F`V^l1J@hH*QqsQad9!2 zh(t7!#lzdXHa4k}f=(%`b$dEUGrVk*KM1g!hr6&o-CTC>>RV;#D;U&wo=m8(s}upN zq=Nx5OA81VW>p`fymc44k}He%^8iRyO#PTQiHnto+nv&E5vy>lMRDHH_o7ELg&Im!?=U#Ib_5!qXxy zeyUWukvT`Yi?@>1fIYt3zKxr%CXGKs_NV3|YY5+sdgXSu*9B~NYP>`E^kzzvhwvOG zm62al?ML7&0E!|ea}Ld=mC-favU8I9G9E=pXFT{Rl^v_O+e|ma5#_w9=QQyAZLt45 zjqjUv5Z4pI`Nryp9|tF8F{atQ&Y7)R?0mel`1vY z1FA*F;HXt)5xS|Nc}`6`9z{>FtQ6Oy^l%mq{?qY8gE1IB;Y}fM%pcdU>TjP?*iJu= z8DQ;2l;83+<(eW`Yod{P(j~RBWg=8T+aO^!!yMs#?cj@FXM$ggNsX$3m%-fimohLm9v%^i9)iC0+1^di?q`8ppQBp>?VSi`sm?`G~oH!f0*meO%OJV6JVfm`O8> zo-~)9nQ6(Kic4SD)%M7^<4T6%?*8;Xo7#OkliBw}E%9%9`N9a5hJ(GK_u{FNi1s++ zNAXY6aj2rgifmPwMCu%>WpU$222LrPb!MGibv8BBCRxIbp%S4h)N=@2j=h7z$e+T(Vip`o|3>DWKc$7d0LcNs>jzH3U14^i}! zn??SEoX2db)kxnEHDCA9iWyK1QgVThS1VJ+b#$4p&#`z-MHW#|5;7$NGaBG1DwSNG zT?QwrnTeR(5OI`Fi~&&oQmeYUn%sv+~80g~cCY zvR;aisrH%qPv{-JDsO6_8-7OM7asi+qNykgq0oVB$q+t=>NPG4`>oFMpz_V!#Ga8s z$33$n-?Q56Pdgn!LLwIN6TG@4|_LV6UQ+Ttc{ibWC40Z0sex}9*?p!lb82@O#Q#ESVzrks=TeR5+ zlDeu?IS3f=3hEN1>be2bfEN3oJm9Xbh?da>pH4}51kRFXyeKQ~#C5Y{U6&$5&1NQd z#N5nfX7b8KR8$rFf)K}RI}cGNz8-A^X%%yiBQNQJXV<>{{X@dT z$D=IAZSvacLz))1hhx3H-uLZ?AkAL&T_LZ#8vQK9g+i-$nsfs6W(!BTem%UP{oC-a z8)k8{R;h{HgWv0fO6f77tI7;to-oP`-ur$)QXb`3i$}yI$43J@YCpcBxi}qezY8y5 z>M+~+orcZUJHPkcifyBu!oAz@EBAp^nuB*TzZ8ql;y0`iZ)&dBskSo%9hh)}X5Qlf*GH4y>UFwAp+ZV5L_1s!bEBwj&<``cH12#LxJMGm0 z1t=#GVFz+H_9^kpRLF|#^yQbq#)ksTo!*L_zpp`GkIY?QWM<6a-LAM6akP!Q4wWS| zDNPjRIedsGMB>N^YHcgdguioSb*EWjTY|s+<3_$YCve8q492BaC7vq+dxNxkA~+{w zRD{y2=H74U)h^d6%E_cQY!O>TuhQ3-xMIMb}JJ6s9nItyI$7r)&tFo*6&jrPKOqo%Jc->!7#*TPB}>U_n37VKcmuWC!>?nxC zIDGAfP*Y{?wQZ^D@_RZgGE3H{=)KF{Sp3TML#*LTa9G74+AK{I)!VNOb(t z%F|fp8COQ|AKjX1W4=A_AaIO~3ilhJVXQWYNn0E1twuSPr}(R^-Fn9NiiQr~jBn-q zE6pT4=Xk@5S{yCmYPmyMVJX+BkiG*==RMz_a=EQtF`iC?5;C*&l?c=PqzI%&Z4h#0L^*r=UKR2`1 z4*8FF)v#=wJ#+e0Y|^n&?rdJG;MP5cRV@xCYXomJ)=G7?VMmLYemAk}HRZeC2TAQ} zoKqa?!{^=DD(2i{krt7*6`)s3Zr%x6E)2GE9j$KG&V`1R<|9|{nB}1@esx>o(sI?S z-VJ~Bjg{TO#(Fh6lS(};g_p#>IN{>bDFIJwC2|JkdE&cS2K{$VKWi4MuE8_uMBf*E zno2+TX6><0jec04*74SL=x0u&c;yy0UE}Gy($b5*`n0iykGkXU4GqLbf4trQw37I~ z{g(t2oj#f^aQHwy=7;G7NEPB9%2l30no{RsxNT-O6@Qt@SA}Vf_~SAz@XwVAoAHCF zXnQxt;QifRtbMva(s=eaZkZ|FoC0&>jC>v#!76C8tQaal!;-j_KBVxv&=r?sSo9q> zGeSXY155o(!1GbZ5T<8|vU8&hCecnoc32PDJmCLV>e%BbEN1VXaH1%Kh7*?=ma_ij zKAFVi{98_xzFZ9GlE#Z=nor@7F%e5kFm^!kr`KRg5*}wC+N&qJIs$3KEl5)V*;l+UQ6?cLu|X|YbP`q>9cBxT+y%kIP0|*GOyKSul%WEhT|JP z&f*Cox;YlNZ&6G!)C?(MY)w}Z;E!u(=R{Y8CNK4u_y)2Nt!q6mBDg;=7|3=)^4C{Ds`iOPtKElwL_@ zPndU630#YLyX~%iqn%pY-#9<*^^fvW?^ZuJPdhHRa6puf%YiT;a;?bFChr(l>;a+&7N)#s{a7a zztyTz=QzRr-XpiC`0w@L2)~GTN;wTFN%&bELLc^F9?)`FM1?C^ZOpzbfHl5P<%K8L ztL;Ge=oa}+vWwk;+j_}i^lTN5+<9nNee2RlNu!KObqz$=0eFs}oRXX*8Mb}%SiaFHp*+R<-RN`NT^jk48O zd{W|lX$I=wi{wc>)XBqIr=nnT=w4urK>Q^5R0`d{ zKeQr`QIVfM%{6mO(Pq4_^(RVR{w7Lk;Pvr%-}qD8wQ>)B;CjJZy&E{f4bqpoo+)yv z*68Gy$lY9X=ebZho>9E{1X4{?&IaXVN@nMRA>T2UW~Qgpa7ftN%Q7@t4`fy$oiU{E z$f5B+u&|5UGs`!E-W6;;`UtPdFcLhigLN<{e$?W(vhh&DS{Pxt!^@tJh9?LO5?n@M zLW(m`<;|l*ykQ^fp~3dfZ)bP8UmvgE*uHbx^!^k$@Vfea$H!UjXy5&GuZn8Fsye1oSd;o1oFtT$3zMjUy{Z5l-$7#Wd zGx&hxWbs>)0Mp?KjfJ1$gU`GL2S_RI-9F5=9hSq>^jit%tws2hpait(yJe!m$W@1f zW0Fyi?Poz|u(TZAX3^w1Ghc%=`xj;Lqfj{a`HUNE>M4Q!=`1FlkyEJJ-1eiLm1I5~ zOFR8@lI7q{p-iw{jv_p5Z#w0lV=jZobkZ#rq4Kd|t^Jz~ z`Nt0E3b9Uh15&^PkJ3xK~+^Df=WY z3Bn2EV^nTzsrB_)D>o7eq=HC)n1dyO7;U(Ub+^}c&q@q|)W6su5oDA4hQodD8 z1w=Cv2?ITn>v|k`L%(Trqa}&dSnKGef^lpVH}v;|XvPSKp`m35FQ*GhM5D&j`?k@+ zAqO?+1XpIT*(B|F3aYZK{A73(6MVq%VRDou_r7QEg1_ZzbH;pEXen&>>&T4Xyf9!$ zTa~F~-Mv?Am84a>hegzRJ*g*y2gSE(M885kIXBgoQ|--7OZ5{lhg09wt%OSWTUD6? zFTFz??G$vMjnOJWm8JQM=t&Jy@i`m}IpeKk-o}|Z^w;OpXVRW7C<(r0o_`SLd+C_= zN2bZ_&*QL3SO8;&{3hzL@X91tj5m09^f9z&-=W_0Y7bL?)qvy)CA1>VssdqW)o{dV zX9{X+(%KRhXhb{~|9N+*F(uW?vI?I^P0P}*`ALd-dY&6%wIAbE4kOm~_^LO!U*Y3+ z)r5K}Xu@75C+ne|&lr#ii~x-ua)sMz=i8vI>jj+jz*fH*QbZw5xvK2HQHS!<_ru1< z$kNM@&!%b^T9A< zioNa*&nL6Qin`N$<39KIMZ4vOFt=-8<0@A@NS9svA;)C8pd)IWfK=t8VHu-sCb5-u z@|@OBcVAVoT;AeQ90|YfGUX6^=Hz$+Mm#Gql_fkAQhm{Q!fD)d-aCHwO*v42%E5_K z=-YWWTZgZMSEBm$>oQR$JQukiVIGt|DZdl4ld6ep#Wb1BETV-r=3p=V_pK&XSaeid zEg4=9=434#5y4RNiDPhP)h*p4V!Tiee4_m(O>Rnf&n&y$XqPoWOVDOdc-w7sA;R){6;p!Y>i6EGKWzp zxp>yG1G1{R@F(MJo`C}G56GkWWXW;2DJgc-#fovJJfRT6(|v%mIa7R=$zuH<8c=Sc)s zLmx;?I4DZ^z97(mf-#7hw(U3*R{Xj=eD+w31~W$Z*DCfo=iNG-7dUZ$v2rY3y}`Y8l< zdZmU49%irg-R$Y~Tls0e)o`cOc3Qbjmjr3lxyR(>l`)#@VWC--L23(OQw~$^Lldcj zz>C>(%WMT^H5z1_)OFHG#~j^{31=!_E(h<=jJhV_)yp49zpIITfWu?t5TXY5&ET!l zF$5`uq*ia{L_TIup@zI8J%|_bup6Pf_hS$?nFE#ig!AloqOy{Uqk;V6%5enO)U$VF zH*dVVm=W{F#Z&WE2M3@!BNE~L0~y$_qUz4=#a~N(k zemv%Ndi*bFk~6ATcyf+=W>f2&7mi%agD=6ny+_s;x&96f7RYA7TX> zjza`6scx0DkS{oGoIREdJAAgW^HxcSN6W^Y?3iMi7lta>OX)#FH{j~&C`;2hhsVHEo)#A*Oke&IBS{z{wRcd6TI5gc z`%Hatc|2xl<|@Rbix8Jj4`%y`%bCkqt{^Zz{DVNZV+;~rrl5dai3qLDD!m-f$_L>d z*A&Yy-)R%kYXb>f(1Ne3fUKTXLjaMgol+V{(sTltEH!2G-HG2E|4X5r->Lhvt#E2; zGwMQF=?x7Y3c;^yaMCWaEFRaRod8+LQnjNw5dN=&@i9$-(Bz+G3^|Wnc^^5T)8C$VJ&V+^!DYww>$h$8(fz{#!lvU_=6xtw;p6-c z*uaRdo>;i6jPnI^vKI&$EaQ054sqjsvda7*X%Fep53#}o);wZnG1D6Frzcn9dOELd z>{Cv!8wR=V5pmg=Nqt&#LdzxViAs#9t1pbBv^(v3@uzR@YDhc(S~x_XI8#7+L2R)< zF}smifNQYvMWTIf(Z^my|G@Q#JlhLwTg4K2z(kQ5(4kNPf2{ZynkUx4x>;^ICzEeh ztwyvmeU*u(Qpxe2_PJD$6?d61(9pM8u0&ZiZVR_k`ey$$AMGE6TV28H9nP-Ws4+}( zc}o4H#Omux%z~Bnl%)wsy6bgGihtPF^;M@@k27vdeokleXYBt|wG3KXs=jgJaB48D zWKEq+yNgZxIVEf!aDmH@q<>`L6-i=#-^=7^CNyO#IS-$IU%53|S}>{_jvn4v{+!{9 zJlb_b4aS7IZw$XauyQg9hGUD%FJH*u2RBOQv8B*JG@Rn<+iD679L+()6WW^DOdl*A zJf@wjSN+}^IZjz>5*3Yj-7{V?Rr=J6irZK~6$hHUyo!*&0j-mD5mnzmGjfyYex`rN zPcc|D3@$krL|q$bO{)uO>C`4~Z_haD3K9#|%ccC`au}>w;x22QP;vuk?@(C}Ztoy& zKQ-+3x7Ku>4zsj4;raq*vzOgyW(mpmnE3eg&MApWHl2N<$f;NlWUd0FVUgetS2L31 zWw_xvm`r;EHt`lv>ZW?W*fn5`So}5QV8V!Th7OI!B7a|l59Q*_>Y9x+`R^Bh*Z56n z^|`Ao=Hxc@3AL4v!{Mp z+D#Pxm%l(=cVNUUW!e`$(< zUhi;+4j=0^UNUIdbMVYP_Dl70`U!ZztDX+zU(a^tIb(ho{f) zb1{H|pg0gS0+^4wGo=tB^QXGdN5`}FPFJHi_O+jZ4iAt_s307?3w213$nxMi5hc4T z_IOwx_!dXpAsATD)X|+<+3%)~>`YkNA8hW88yzi%rMh=s<5=oB8>FK4?NdY$&gL1d zcmz&30YKCO{#;qfIfMI%b6%LPrK&I74k*3 z8-TLoL0tS*n(A92zFE1Tp}p*Sflcch5%Y`u-V|#!Q8RxOV)}bE2IPJR{E|tF@n~6^e`|rFeErC_rYb0Q zc=C$rzDT6u(fQx$kQ$6w*Pj+p(7@tzcqU)kCiFTvBjljGy^Oe zEQ*rm>C$dos{N9baBm)XdLfwuUZ9{BATEiDRfjxGii@czcagS06=LKO@rok9Jsc`p zpah9AL`L93<=*WTm!v4dE`7a!naq8`l1Axm{g+XA*$89~E(QeC5CAM-T%!4%fn+CN z$n0NQ=yt#~sZgme2)JIs$apwyGM9y=xRD+0g=`4lchhlP=!5L!zDreYJCd}iun-9> zg99_Gr77o>E8L8JYCzl0$bbX+y`GPN}D?TdPsZ&lE z;z>essvahxpg5%_oyfrQN2C}~dUx5HAV>z?2-T@bYOO460ivTj3WGdTI<60Va&PviwctIs+0dIy+j<&`qvH9DS%qLwiikadiHy`4 z?~V5=_vQS!c&H%)>G!X@2k;U=t(%q+S8=!4FCj!7BqHL`+nJLV6nV6HJD2%W7+i`U zgu$YQyQcaYFHWA!S8d?vh85Mlb+ihJ`W=mpe36NOhl;jIM9`j?w5+!7i1GIgezVO9 z8%797sSL2MqSS>vW}V4&DA@$Ty9&agyMQLw$+~~#%uI?cnK`6eO7yE7l9W@%=GYuJMBI0`2xC*IZj6cv zS?TWN7-Bh<)12CDcJl0gp5NwA6PpU>xdA9UZEOQ}c!HPn?I z3I-5d$aZ*;fQ1eN6rbTzu|cXn?ycTA3NY`LeghYmrNyMd5oH2JrO(uBz;q);bCT+a?}zw8@j(_YhEEeJ&m9)=*$^h z`WDu1I;StHL=PeOf4ucD-=jBDYbIyzSb|W@x5+%o1TNZi!ZV_X^;6ykx*3_pI#9)& zR8$(t>IU?ngBzF~KGsui8I?ih2WGuW-mgE4kR|GG-VqkZ8@TjF?dfMw>S*VvpG3}O zl+siw{Y)r8*#ra6Z;<)s?663uHNtA|E1ktZt5(o?*yXB_22Fp%NhctNn)v5cdOg1^ zzK{MBMR@w=)vISEuAV?S=NxbOFrjsdS!!~J*WzfE zm~^!ax_w>iyMyBG2hoMU7VEzJhjHju{=whw>Rk&6{cxnV_(0Z|?Aow51rA?@yMRml zr&)WsqqlD0YUX-%;n^)}=&U!d)v{;pR;0T;|B;<`=~{brg=xY5_u6mvHJ3l$SMbji z2cL+mI`fZ!L%)~alKg3PtMv)2^c#49`mL@5)jX#9%j&}`hu2C{TS`jZqkgtw8?Mja z_PJnMHn$H|&tJuygv7D)8Ao5`PR=eKJ8+Qm0ZaSyBFisp=6k!>SO?vN{XrAIn&iL{R%~5V{^3p&DP{r_FIV^69Hbl>Izx>K_TOzThlz>)|4yiS~ zPR0$Sw1V!XK_`bWZS8B_DD&=vio2@{^=uq^KGK61?U4t5&?$Uc;kGBl?1Gjft zLC(0l;DbO`Lz&JE6ScHWib+G9Pj*Eb*&rKppL9T`CqiExtj+rrpK*DK6X|=nwx-Bf zN=oZQIPsG_*Hh)fgwt%bYQ{MR$1g8?Jg6fx1Kj6hphl5hms+ZiiR9&GpInMmXSXWE z$Rgwm9k%4IfIU5d`W2e;^@0D;ikN^rtJODp4V?g?kD16&QyrpLYA~bs>Zy>LK*iyQ zpowkR-2Q@BU$f-Yr*-0oI*!T#z7(;!fepoHh*jV;KM$DfNf0KDNe@1)X&hJUa)z7Y zG;CHIW8#+aL|RcUcoTqN7J}|{FT(3m71~=H;NC`s8HEQ;+>A|QCaaS;*(>D12R)G979 z%#2)55GAW8Z>ni7a#rIN2I|vEHU`+Bs!*Qx>)dcr$^Ba@xswD0OQdna(!d;^9lKW_ z0nL>1&d&5I=$X)#F7{YVd9a*)7*Kx5;uH0VDp!k?VAVT@`oW@t@9@t_LOkN3s2VLb zV2<7*=|;BknoE9cP~0ZDY0%yqJP%Csyr>{+35a^;LBPBWsZX+wvRUDiCNYr{8XDkI zK%u9aRKEHLX%KvUP+KYd?dCbOGJUDl&+^D}aqD$&T_^;G*2{q?X^M_US#M4_Mq!{B z)#$hrjyoWqefm`%X^Nn)%D+1|wXD+VL%oyYf&9b=sD%z4Idc~ZizUmJX(LvIPt5i( zm$@VRYqN|?Ww&GnzRKQ^t{PBnH+#_Z+>n1LPve0nzR7SQOQb%c2t#LaoAAnRP@{hv(9rh0|;@(5ynQkVpIr(Q? zAF9*Ud{kBkxtw}#L6iJACUE3gkKdj?N=a%F$i)nq+jdY}VN5pSEhNhGJJxCfF z8|YWw#to{(WzT(BEh58xwbs!^Q=+M(_xT~whS?NK+Bnp}NfBxEuT>*3J8`1c>f*#X z)(YzIK?T%c3n@&u+xS6Hf=>TIu#MoDPF~`0&#|{Y3S(ph@|s$UNl#O-Z?3g&afniX zchL~4w})eL7<{xbZnUda<7J)LUwsEfKi+D*`=` zazHdF3&QkZ#yl(jlNqwXlR-Z#k|WMM((791`04ujzk(_~-c-Oai{01i6y-PL7QHf7 zwINYRxjVBqR;$h;Xl0*n`mk+xsTQE=h#$br@1jAAdlTQet3<41U4RcDv{lIa0w>XUhg*l>>doEHIoV~uP$51J1C{ophn!>Lce&nOw*kg zR?lncGJhj8{uJhI_%%?ITlQ99uJ4-B&1%J0X%jMQgB#TY!I+RW2tvX<7P+Od9E(-j ze~yFY{oN<~wD{pcsv(2*Pn$Qxa80e-7;(j*O4hHgs^)*YgHn(%p^^Fw%(k~7Ma8$t zXxW`Ne`aaxKcVW;Rzl3uy*R$uL4s9QV;9&fl>v_y|2t0Rf3iWn3k&_K)k@O+s$Bq5 zYDfpbo?J6oGYOO1#AQE4qN{TQo$OPs&TY#5cN&d`tBY(gK-#z>Rl*E>qV1M?I98hY zT)D*r>El}LT3ofi)HuD=I6EEqCH<&{1?93nRZ}+BXu`Y@@$^$}Qw8)WCb+EERY4k_ zdF!B}Pf2ZGcMrgQdu7y!oD8|!D0+@13~>Su*=CX`_&bIBl4J{Oo@MK#hv=niQ-IoR zjp(O2UyeoCRgqD0Ct$>7bA;lw0aDfbh~N@gQZO;C8FJQ2{AId-GD1PO|J$u`B+S8# ztDm3~zGlrG{PN;4a*~q*AM-)#8?UOlf6I|GW<0dWPk>Ko%N>-#2*y@eB7ehniW@f6 zeO7Ol!cl~!vRCTyQTlD#aiUVgC;F~xXQZSIbyJt!5UE~yxx~R(V^+08_LjL*Xgl!1 zC$CMJp(xGjiSS5KFq%EA@kR`+l^QBEIcb!m$I4C0s1h`|GCQe!?8^x14gH$|hh0vD zqXx3~=r`x+6eh3-hnfovv$|BHy0mc(p?dCm=5nsGY0v!jOuxM78LC{Xa|#?`sdIsK zG2$VsBPL*f-+nVP#)t~lB{HHB)qE`VcGAS`kG>Q+%s5v;N5=~5te2Odk&~maBqQ3IzNrd6>=r4>@(zwnDI>y@(;ph2x z^(@*291^%d^ooW$Ez|i+Vy0fpGd~}jU|Y93Rpb%S-gE%eBbChyPxR}SdTA~Bnj1ar zHM%ua2aIx3eM+4AqCNpsJlw+QOXMecUt&cXt`sv$H>tZM2BUh!5DL?qHPCViv)QaW zFc-#O_dnd0_BvuV2!=uuMYi>X=DNHz52L5g^Cp+(PWk?ksH#>?FC}uyUFkj8ESa9~j z0Az9qjloPi65_T&uBznuT&UJr^f)n9u__q^^@E(c%^`& z&6mjf&(D**(y0T+SvO%vJfOy`uK*W-Fkz71MvmN@hh^7NI#@P z!8NH?0!^a#j)9r)^`Ym0(jS1`TONy-WUjwpoLZq4#oV}7b8mf|B`n-2pn zR*b3-I?eGOs#nj|3&K>N>a0pbK6`&Zo@wG(s*K7V4(NB#gMx@6XM4B%f(9(&^l8GK zbS66GI4|plIY73uZXPxB1XY|!0X65NKc4cz4U~0j9&hA;;l*`!CI<~I!187$?kV5) zNlly9b6s7xDLMys0r6FZw&kfF^9QPZPBNc+BB$*G0D*O5U6oe@1!lVdudnd8UT6jM zev;X`cctqd)1Dhf-{SgGJaz%PdE@_8=Ighx_?@eNAYb7AuJcfCvt%YY|Nl4Hj~g-6 z1h95vbb#@1R7_;cTmG>N6~8Ewe=Nlz1OC;Zh9;Xp54}e@w+&0l=Mt-zo5;H7flu`$ z3YOB}wCr_YHuBRJ=o=|4r%VfpXhq|e()qsALu!{D6W_Gb_WJxI5`47P#eg_l>1>s1+$;yB307crl=cNgH4q&l1j+k8y)J8rIw zgMHcs^kD=Avr)qSWfhm}Dp}W?p0(!xTh<&95LDyaWN#VBeDvfX)&Kc5*1?)Xo-$kh z3Rg`I{yuMmJa@Pd_!Zp$6#VK{OvT4nSGJkQwC-omAGyq^P`*F=o3`BnvE~_lRf>H< z#82YKf!RO5&2QYla>bEqX!G=QhPeQ!ojBSNn)l(B?#S8honLNyj!?~oT}NL;K-laR z{!o-hM5*!c+)FLZ)8NwTUw6*_Jc~lvl~XSSvu}0X>V$na629O!7hd{)qyK|S(fw~3 z<#TC!NooV5=)NN*kJaXwx8z(OguI~pt~>8hUh0X)2vD#uzTk1*+f8RZWJl3J0q%m& z-r5Q&)A;F(u(*|^d5K(_ZnbBJ#n?n78x81I8GXDbx&(|Tc3!aB9_tC1oa4sQ`Sdbo zP%Z9KSTAiRGYQ*qll89l7(AC^b9aWn+$DBkWXj%lb*#R95<=IbgS988PR(>xVwd<0 z&MclQXj<1c4t559;J=@qsK&RAmUd$ zoL2N04p%tbWf2+SJ|LQ8GOyDY8;C(8@0Q)CAUxaXe#dyi*#zRfF1}J*ULdoHV-<^@ zCG}QPGT{mJ=bdm9#CsbeKZ|9zxXhG4>`sD2>r?so*~wFZmo9g`kB+WitP*)eiEUp6 z*@_|E_#el)MuXs)x@3v&?0r4Cg}$`Gm9)Z`E|_D;SYrPX-cP0rEz72p!^h>i@1$ zasbyKopCiw{GpO12n!hbe)|?dok4PjO>S;7OK@-EJ~xlM?qFkE*XJTdm0qoWjN+L^ z_&36FNIiq86~|iyhwDUXii5{e%rlnPhsun9t|-GG8T8;_;)7Q81<^fgzDTkfybS3h zY})tToZ;CE6Ef|EMYYYO_gAY#Dz*9LF*L-oQ+Er z!aITPbKBv*)=%ld^}+Qk6hT!JIDueA?T4xU0MC&dTegS0bPA@N--$|t=Z;SSH<<*l z8*oC|TCeypKZ(a73mujQ#m*n`{FhL({C#%)4k;eJf9wkZ^ZQ|wsM^u4Hrk7Ef8DlS zz;DC7o4vGIq6qhXe?cen5yww{c;0R+U;Q2FFc>W{^JEd;rD#u+H==k^(fHsI;d7sl zv)D2-L>E49Ni1|OgCyAYmW|gY;~L5r+gdp^T5qG!ev(yp5QNr)-T6dVU0|Y5VVC!C z9h#G7|JhqO_pajdbjqsMX}=BuQ&s?|cX| z-+D#K5;u@NIfwNb1J*fSTFbU>=d^~az{fI@Ty~8sgUr8gR zp)|>p;V>&*#hlT)Z7!A{x4E<(J6KiTC%O>Sp|$mc&5!PJo^~!`dR*X3b``Zz(K8dH z++Yc?`}?=YK+um97L>hngvkyu`9=~)m+e998jqi1AIzC(uu*}}^ndo{Hl{j@9k$j1fk9F0#NkC`Ds-zf1|9MITkM0_7y^*uf`{47+ zfI}<`a<8b9)9QJBqiZ6V&U{zDKj~(s^#WTobxChRNjy;5OMd=UB)4q3BEXeFrWQDM zz4`LOW>F<~k=MAvu5)ZmV6M`FQ!pQrorJtCZpC6|1aiA29}U~fmlw$L@&kvR2ON8wNt`_Kj4GJ=e8j4L+J~_Z^g|bJjZ>M zBw0Z%>;iU3V+6@1M=9`oa(y8#A-YJ+rS(ip+NjoT{|~0`Z_mwhZ%Yzl1dh>b+e~!S z+3Cah(-O5vQ1Iu`H+o%GQEC)4Nl@30D~s z>mEBr8h`q-8UC*8!IibjwKZNNXXKhlF!p{c`Ca6~WV2urrz7%j(WXCA{I>;5X#QKV z+AiSaU-~^or>wJ6)mhCv<3g;U#uzG0=|G2*99JV9&frcwmBk6)JBMa{(k{Oi zN{(00)_UX)U5+&uu?W-Ls$BY=wxzO}u%r32Mp!Ql5`O$nHxYK7TEZj65?7L6#Pt(WC(V(D&xVqg`^eU-ji7eNVp@}P0XppXqFs>}8+gK}GmhP>&$r7b3ov~yK z!%)vWD3iL^?ZyvZsL5_lCF zJ_mRuXdcuASApFo>#TexDgbTuO_E>!FR^!F-5LOT!9j8x`A+~b0zBEc%k*)2HA_rN z#qssyjGCL9<;_z}i!*B12+k1?ocA1rAH{MhJJ>RjhgPM5ID?U+XVkmkD& z&zAW**BYwHT}>&ULjMU}y41-p(;n@}*%@shb6Dd{VS=PctBRe2@C}#h5%5#RurOKh zbp3GD6?NASSw1U@WA++CLHLzn+ub30tshF`lpgF@o|;ScJF|gUZhcPmzHVILQ03IC z<2PMfRdBN^`0#As7s1cra-l(CEYrxO2Vp7l$QH;)8$Q9`EEYo7t6qLu#L0#x)|+E}ixLXhbG$Syt>^knWI7T8#RbsB84v zn0eP*>+Hqk9F5nGX87z^@6L)-P&Z@8a-U|(-&S0VkV`!M;`P8~;@SaLd+ADSh1CeB^Ar(Sp*IplV_)-tJB~JBOB&$YjQ5 z@cI27h2cHuZJ*^a$5c)UCp#}%$D!*nyIy>xxO$)XM84~eW=_;w%iOFYzq1!q-R_xn z_VPo;OIs?0{A8DkiTcXP%33znzUb2AqOc@0fU3ssUUbkXC|T>E$xB5;iV85^)ie~<_DqwW_ssaV}X;LPHGwQAU2ZWQrFaIxS;PyUA~p z)~#2o*No_-ruZ5npAqMz#U>4`x;JBUQkS{@P(egVih_n$W25`=Uy~bZ z9Vr=lty9%nw{+JI>ohKyX)SJv`{bFU(;Rfd-=HJqv&*}b5(q>UmaYoWPX z@@dNY<(>1JRV$ z^rp9o6*CVtV>q<)<{j)0Gda2~9LvC_jr4I=2OzmQ<3@*_PDg*i>+ch#EPyu3KeMeFSC>iD*8yhHvz{%QZdZ0aoM zyf3<-=D`?kqL*0~Ke{A4?j#`-@#^Yq!EA@>*>4ry=KJ`6UkVetw!fy=T6RWXT+fvj zSp!-XI}5L`FLXIZZI)opJ-L(m`NHqb_RZ?Hyhw{)_j3+ahJ@IwCtOKSZ~Doewz~lZ zr>R1ZlLEoOB9Hg1j(fqZKp?G1FR6IObzh)347}aWe;HQj5hHLMv`?yJORBrMQyu?x zlIC#|vi;Un_I+w)EkIL>~RLgNsi{3%yO6)aL{ZSf) zHYi@HgYQe6P7`?c8&t{sJblP#+zsE_G+o2)*A zjnjrsC9yj&h1tA*+Id4Xp*X5&CVi)xhe4NpQ%-6@waoM@dFFIbp^CV*vL60RR90_4@kl_V@7i_UZNY<@58{?CsX;?9=M% z(Bk96;o`vA+P2%=wc6XW+1jYn)c?!?rqa{-#{lrg2KB@Mnaa$V%FFV?0Pw&7=(+&s zxd7$20EWK6(76+UyS#n5yW6h-z_J?GtN?(rwA88q)2RS|(Wd}Sx4X-t07iBW ztALm^v5o*yn47PR03oHRAET*HmYGeJm~fIhN|Tirp`{g{rJ{lWqk;fLjgJYNp#_` z0EUb(d3=X#004xD0ECJzcX};$c>sclEOmGQeuOJ?c70?3C~tCmVgPz#0C->k0C;>R zZg2p0d;oTObzT4@Y;Ja503&K`bX@=tZgF#50044DcmQ&CA!uuHS^xlWbRcGFZ&?5k zYheIvasX^_ZC3zmRsbDhWdLe!9bseuXl!Xz032XrW>NqEW@;N>VrNnS0Ay%oQIoL( zT$8{8O_RU^O_RU^O_RU^2o@pv1ONvB{{Soi0002S0Am0E2>$>flOqE#e{x}8v*?5#6o(ewc{JkEr%)L}ow`#hRjO96Mr9bNgH{L~ zd^+sxnsx2Wwr(MCC5m=}SeJCa+I1MW>cYNn{{ogP7%)SxZaX+cyjF3; z!GzUL6@j&IU$|};_5h4je>3ODJ0po69ce1&nIa-lPMZ*FYSF8go<^%MP+qrt6WX3U zHMiuMr9%goZF%Qw)vOV^9v!GKdq zvn?+3IPCSmiym+8H$DUjgh-1HgMm0gomcfCT2Ub=1mIKeJyoE2e?^hzUu*K|mtcJm zE;ZkE1{IZrXijJV!WE(c@nD8pDIuB`BNp_O2rOO*UxhNEcbm zc1M1Zlu78S^0k<%sh{d8V{?8ETcelDb^7S1YKRs^2`Fg6e`F4T9yrq-q$vT_g8xx9 zA%RtjhwP|d&Bm%}mPKJ_6%+s(-cq>^NFI3NItxL0c{+ORvbV;iu2xyOdubhtDAGm_ z@dCQrQ-wrA0#v>o^ze$k-34ik<^o*kW#|%ISR2yPrqE`6b$0S+RoXdjxyFKuXR-b| z>M@-VT+l`oe`_5Umt#IB##gW{7R}<#nxaN4WlYyP^Tta@-89m`f?V5eS5(C_|y^wCdGz4Hv= zk-+r;B!K%v+H>#yL)>GpQ2ESTk3Q|{uMa@>!IwY4_wb`XzeDazzfk_{@6Z4H{^K7* zwzs|ne>jAI=rdqL{s+MGK~HwjYv1}Lh`xo4fPclaAO;t>J4bl%gN}$`0Fk!Hk?A`8!0MCKiFiU$#1 z0PNt1McC1fODF&k6N1F%t-*uBTYy6P=tDvRK#*b-9tih{#zTVegMADlB;jZf;jO@f zHaLI+h+xMLFfxwUQ-KGK@X1P&Z~>^CBpVT;$TGU}kuFT38Xt+o_RSK6wM-!#7V(1s zf6z_`hyX(=3vxXJ7{U>DSS2x$_efR75{*A(W(y^`MrE2(h0Xk865B|-CmNuPA#?yD z{xD2}Fz*a!pdA)GXaQ>ugn8Ml<~CW`!B^T-n+@b_YfN1b`C& z5kLeV?3vGN(hdh6ECT>4;6W@<@}11ge#Gk zVB}(0nHolD-t(1aC29U%$UW<|wW4JB!ymZ7fw;;Q5;tTX9~{Ae=IQVtk#%DZ>F3Xm zb}+C`bsu4`XT2S~^E6_B?^tri2f1~WC3*C{17OgRy;q@+?UHfs?sD(`{aL-yn@rHLH z;k(}Wz$aYjt(SuWG%so8OTE$7*CEHVY-=NuU*;(fA`G@u!c9x;SPKF!ypc^h(}D~5`*{!e^}uV7D2I! zS$qN)!`Q?@fx-)D%;Oh8fg(EQF^zp35g{A-$P78Ml4+deB`>+jPDV0A4snAhpWp^J zb_kWNjOB+|S;`9evXP-IW)_pV%qN)gj=%hZEHC8$5Noz_n;n8?CNHGUX1+6?)2wGa zGo;F8zJWskEoMPCWY2sKf3uQj4CaI&`pjis2o&)AV@Xr`&~`3}k|E7v9zcT8LgsXh z2LkF$J9^Iwfis@xoDfwXxzs~;GeUR_30l{B%zfsurv(D*6^nY;pKkQ5dE5di+}ICI z5OzVVVC5Y^q6<8>f0Yl`+PO}%wrf2QTq|1F1?ly#Nv&*ye-K;NkU&EWLY)w6 z^H>l90e8Ef9Bd;4`_yK(b-eYB?@k{C*5l@Nxiw8=DF|c>Gq`~m1d?oin>)$|hlCl} zJ#1a`;Ns-=cE7j1ZGt%bAoBkA#~0S>z&R5(8f$ZS~M-WIH2EOmC@BG`ou6E9k z9&)m0d+dDgc8gB{Z&#nd43LmRjVCX6tkZZ80`bF*XOMV%e_tHfh6nuDzy9;Xw>aZY zw>-GredagRdX5BPt_muhhq zW%`GMVzz_f=Yhs2e#l3DLnwe#27*e~We>4sQKp0rLs(}4=wwl-d_PEp4H0DYr+^WG zg+@kX5b9B=hjdtnc6f&-5fA`7Tf%|v diff --git a/build/shared/lib/theme/resize.gif b/build/shared/lib/theme/resize.gif index ed31c0ad89d7657af6e833651b49ad9b0b9d6bc4..59d21d90991428c7078ff6e7ff65f904442a0232 100644 GIT binary patch literal 869 zcmchWu}ju*5XK*09TW_r93wOpDw?{GBqs;WAc9(3T8_4w8xs@}T3WhIof~Xyl$MD5 z1A=I4Xq1+4x<2>)Bf8;*``z7h&-2{(-QL-{zy4xD3;HWnM^;6ZS6P)h%dCt_ue3^a zF|r~myuvEfy`JS!?&Vgl9@k(Wu2r{D3CY*a0U5qY&1BL7GWu!GpzM1Fb$$ zcT*-O#KkZYeXJ!j_2Xz?$Gy8aPS`e&t90)$x?LaM_2{spk1!NA(jeV889W+zK=T~9 z66T?aRWnastt?Ge7N=JGcfzCO<9~>yiczm*Uc;)ll$KU5S*4|xOe=~pU^^dK+>(B1~diiJh+xzbuZ|~iFdXxv# I(-S@T2Us3wq4=Vun+B9V`9YLyfX2!?QV@wQr6q(CRsh)nLP!+e0Bi)M za*ppJ;_6wp$1~&mzI^?Ba(cO^t=^@csP62JZg*?4s;=ycE_Z2)S)JJ#o$l21Ms;LI zbhtw^>S|{@+HMP^R<*JfEw@O(1{y4e>DKI-$f~Gvm1ZqjW@S{mQp18`WJOfCLPLsP zXF19)3yzGIvJ@qk*a~aFAPq}sQDZUKK!e4wM$qI$WI9u$kF{hG8P3qig#|@N+G(L4 zQuL-G b07s!qW$xLU>OYweG^WW`&UzTiz+epkw)h$C diff --git a/build/shared/lib/theme/tab-sel-menu.gif b/build/shared/lib/theme/tab-sel-menu.gif index 3b213f4a77943268c4209bd87c9ef36253a4d777..eabde568a377ecde71218e59be6f776d27cbcfd6 100644 GIT binary patch literal 891 zcmchWJ8PF=48>2?p*m?@1^v_FP(&(OyewKo|4t$<3Ket-euCoQqJmXiyi9=#UOG9o zo2w{NFpF-kegiKco#HRghcMF{lAN4#^1K%>T{wB_)&WiQSE`PziYl+NDs`4w8I@jX zmFi+-MO1i&Rj7MC%cI=Otz127Sr%npW@Q4Yl%*(n$x0+(0}U3#bgTDtM5bq2rg|+| zM22TrhMEOMk91GBbTuh@Ez&&A(ga6FQ<3tNrPvB(ghyC} zS|4l4+`}zgEf*FP&BH8AsD~82ArDyydH4ZMrm+M4kU$}<0fRIwX@v)i!3G*EwR2r2 zC(K=KB>GrOX67b*MJ_BTy3B=-IE?}Dq8+1Ai(dlwz4 S{u8`uCv&XkUvOn&um%9b=PNV- diff --git a/build/shared/lib/theme/tab-sel-mid.gif b/build/shared/lib/theme/tab-sel-mid.gif index 4bd19a0c3b161c5348805d92ea17d1987c5e57a2..e6df0889061c5ad730356870fe8dadad484f7cf5 100644 GIT binary patch literal 830 zcmchWF-~1k3`7Ts0y;zs%@-Y_n+BAgkkpiEXe^dNnov_(LJ?#IpCv$=6y89xktmgO zd>4VMXW1UljPLvS>GJ&IW>*{im3pGOvpc%ot;wpovMajWr7320W@mJ|Q_~yOksZ#b5&s7Q-4rlM|8YOpQL)l0{@VLn9X!6dh@&g?dQQ zn~IcE$iok4GL0SRhXe{?4H%?hNh>^93^veUX`GufIpGM6k?3PBnH?6sA{Q1ELk{61 zPGbPPXvb*OVkLe+lWFXL6M|6)Yrr7QB(&hcVz7bMoM^f!lM~`%7>PdCl3DXO#@BW4 zDXtTa&Fd=DyNn*!mv=L|?3g1gg@d$6&rKGO0bbBN2d;#9Xkyjo_S^Ntcitl3?-`qa tyF1b!oz2quC$x8@m;K|b?bGYm--oAPer=zB-XENNe!P15@ph*V{{bxKT0sB+ literal 54 zcmZ?wbhEHbWMNQbXkcLY|Np=E!ljBoSs2(E{xj$>00Bsbfr+=Le5{)-0I$} H&0q}xrgacx diff --git a/build/shared/lib/theme/tab-sel-right.gif b/build/shared/lib/theme/tab-sel-right.gif index 4ceb3ed808e7c914c14b07092a09ddc7aaa4d3cf..be24960a7c146e668e0657d3805e406b3e075d4d 100644 GIT binary patch literal 834 zcmchWF-o3c5QHc2Be6?q5s;r$0gJ#ihV)TGN-touw|RJu~bf?{MvRJcMz zie6_q$}S6zjFz$#C70L=Yrr54OK4GJG1x$Z#jr-uGrOW`~8Z$b|*P zkVE*0(-;6R+A$inScxCdWEwl*gkTiH8ZbyR2`zZA7;K<5Cz@``6 z@pavMitB`9^Sa9PE~Cfw<=u=fJLU*W;UF#2bCbnmfEP5+fh%Dinpm~{_WktY7jKd8 z{~6oo%`53q2eWkk3BBIe?e5#--&cRm|K06=z5j6i_s5%eUoMYtZytW`z2DjB(+igM BT73Wj literal 87 zcmZ?wbhEHbWMNQbSj52a|NsAg|Nj5`|NqgaFAqO`iQRKRY~fPzg-aEGvM{hS{AbW% r00NL&24>-4^4CI)K&`U58T diff --git a/build/shared/lib/theme/tab-unsel-left.gif b/build/shared/lib/theme/tab-unsel-left.gif index cdc98861ff71de9dca40913060bbcb39286dd7e3..6ed8391d26ea7dbb039261fe82d1cf64245f3721 100644 GIT binary patch literal 844 zcmchWv1*oK426%-q1A3373CiVm!Gp#x)cVw)x}ANT!3`z zRfto3@?M0QzL4bPoRjx^`Rc{t)6*So^hfH6>dx-ycDE+0>dLO@a+ju<)tQ~q=}t{= zR7ZA1hdVT*u6DMg?Y2N_RV!Q3a*G6Ppuu98Zq1&FtcogEY1WcuRz{^OH7qDbRz!s> zG^FTtmZR*l;K*nxOHp!(t*{0R(y)XUH5P*nG*}F41WisvrZYAASW6a>;S7yjSWtAN zofhgLMQ?3cH z@BbN_zdLuN8$Fq&^G|5^vA*p+IJv(1@&5Pq-kUF%x5ppuJ$ij{vc3QO{9ya``>*Z0 NpPwH-ySu-uqklLoT`>Rv literal 73 zcmZ?wbhEHbWMNQbn8?83yM4F&=AG)xSBo!Ps`!(Ift}$$gAM}_faDpNq~`Rm)HGx| bz)|Q@nR~XT`cLKqjcKx#vmS;rFjxZs8p;2c3U%`ZH$g7Ccy+L3QHq0udMkooz=DDVS1b4dR9bM*Q6eIig3_%8 zhmMN1w310DS8;K4Qm6RK^C8Uigd`{Docy1w3s=rxxP4e-{g$dDtD?%QtV*3_Rz{^) zTBW)eSrHXpVHN6L&+;huaw}JlT9!qbmsy!WDrG53Ua}Gi*g%8DFx~1s9g*pomZ@G# z7Lnl@mZ4@r(Ief{EnQ8DUW+tOvoyhx(Nv^7Whu788Zb!15?a()3^veUF{~EUIpGl& zq1MM*GWT!`SIdP3Me{HV6Y3#FZ^%OyLLPoVlWFWgKO|5HYrr54OIqQd}akQ_)y}LM^ux%c$(!GPx?fT$dj}CV95e9{gG?4C_3_Kcm zfaW=HCCoz;tHyVid5C=c=NSLpKO=q9+1}g#gk~4DdGPpj(CWDq e?>?WuxO4r_{nbxvr^l1U&+pd1z8&owY5pHd^LD}j literal 114 zcmZ?wbhEHb6l73jSj52KyM4Fw=I#2c*NZP)%1~CtP*B2%*PsE38(2z_y}?R3K}19014Ow< zl*)X5hls1+vOS&|zyIdz_2t$5MqB-rdZN0sJG$Mi$*Q`tE4tjJDQ0zMXLPz#(;L;1 z9ns+q&8VxL?P$9#kXqHsR8)-z#z>ewBW&Fuz}W`Xu2tr6XIeRi9XhnS@Sr? z*LCkHt`m;U>nhW`j2_pQcQd-|m?JEOgS1G`O%{&IxJ?Vk}EJw5g-^Gar6dnrYAt)a)1uQ zJs4E@9{+T43-OcyEe6u@$@ouB7{z^Sj-P!H#=+@guh6P1u+2tr} zNYNWv;fkmb92qTT$)zZ<71n@38kW$a#$vF628&^hpvehmMy5s|Ysu_%q&0G3K{1>W z8A3gz=uJ5lDdgb?G?~T@^g{xLum%j$u%s0pECw5Bur$t1nVbk)VT)4Plw*OzxQy6l)EEQN!#NY70cj{#oLJO{3Xd1zvlKi_VzKk*j% ze#qGV+dPtf>-j95e?m{rba(jl_5R1t5BK}+#rOBOuWpW>`Q!Q3$*(WRvHSD(+v)D> J@#6!%{116VTx9?N literal 73 zcmZ?wbhEHbWMNQbn8?83yM4F&=AG)xSBo!Ps`!(Ift}$$gAM}_faDpNq~`Rm6i;H1 b;bCs#`nYx1=lPA%9(~Kg7cI9CVXy`O4=@(3 diff --git a/build/shared/lib/theme/theme.txt b/build/shared/lib/theme/theme.txt index a0889e64a..d3404179c 100644 --- a/build/shared/lib/theme/theme.txt +++ b/build/shared/lib/theme/theme.txt @@ -1,35 +1,35 @@ # GUI - STATUS -status.notice.fgcolor = #002325 -status.notice.bgcolor = #17A1A5 -status.error.fgcolor = #FFFFFF -status.error.bgcolor = #E34C00 +status.notice.fgcolor = #000000 +status.notice.bgcolor = #54919e +status.error.fgcolor = #ffffff +status.error.bgcolor = #662000 status.edit.fgcolor = #000000 -status.edit.bgcolor = #F1B500 +status.edit.bgcolor = #cc9900 status.font = SansSerif,plain,12 # GUI - TABS # settings for the tabs at the top # (tab images are stored in the lib/theme folder) -header.bgcolor = #17A1A5 -header.text.selected.color = #005B5B -header.text.unselected.color = #007e82 +header.bgcolor = #216886 +header.text.selected.color = #1a1a00 +header.text.unselected.color = #ffffff header.text.font = SansSerif,plain,12 # GUI - CONSOLE console.font = Monospaced,plain,11 console.font.macosx = Monaco,plain,10 console.color = #000000 -console.output.color = #eeeeee -console.error.color = #E34C00 +console.output.color = #cccccc +console.error.color = #ff3000 # GUI - BUTTONS -buttons.bgcolor = #006468 +buttons.bgcolor = #044f6f buttons.status.font = SansSerif,plain,12 buttons.status.color = #ffffff -# GUI - LINESTATUS -linestatus.color = #17A1A5 -linestatus.bgcolor = #006468 +# GUI - LINESTATUS +linestatus.color = #ffffff +linestatus.bgcolor = #044f6f # EDITOR - DETAILS diff --git a/build/windows/launcher/about.bmp b/build/windows/launcher/about.bmp index 19b6904b84be4dfd735f717af5fb7b3a689fa704..c8eceac06d0020ef6439eaea893f9084d61f2ade 100755 GIT binary patch literal 382854 zcmeFa`?Foub?1Bfhx-FM?{jqY#Ins(JOq+J4+MIF9teaGNJtjqArROC2ZIF|+hAkB z2FEr5+qg+gIdSMDcBkW9JGpjpJFfI~C+SMp&8ue+#hm($)Dl-opa1J)|k&V z*K6;6j&y2d^;mPwy^p}+)8Fx!W8HqwoB#5^jj5ll_`mh|zyJIn#>TG4|NV!tRcd+c zD4zfA)R_K1y@1P;d*-B_-7{y|?zywt&g`1=Z1&8~*+*^**h9C?D%)Y%sas}^ZJ#}* zYzB5RWDjhgaqQTZ8OM&^Jgw}e(vI9TUE1d9Bb%oWZ?f#r#wo`R-Z*t|gJt_SOc}d= zYX9|9`;<+x-G1GqV|%Zg+;oT{Hv8~T*B$$S z!l?ASsQV@tvF?KmyeRz=GH{XMuUho6FkJHZ%Oqex_jM-y?3foJupRaeI_5pRX@D_r z5%%^P^KSY0gdg)_B`fC5WZ!5?KghkNk#DZ_0~Qwz{%U~lA^}+#X0WfZ^s8WBH09?c z`*3?~TL<~R!yBiad>?L|lwUgEw=X~6SFq1d`Gxa+iIiVF--q;HKKlSfE8j=Ai&y$F z{%VH3h=`XQ{+h6lAb25Yz}P=yOZK4v5~y{c05arqhpu%rD0evQbITnb`%wCD!d_GB zh_i2}SL*-`hg0rY?9y*A`11r{heZ$is@A!`=IpzW2^eOd8}{}k{gz>31>g4RyLKzOZ*>vwlRq`UPLA1=k3E zxhhg50XxSZzOa!1?2LUE8U)9l+-vpsHR|G_&{5&T(=e(A8cx%5+EZ?MU^E&I^w9O`f$K(%+! zVeeon9z&9TXoODm>sgNmss0KA_JO}T0imaOy}y!z7w>V<^J*_-&r)fq>lH7 zq~DwOFaO1JE48io#d9luF725WKYwP$&wgXUv7bD>;MgBOwV>>irTzF*3#C1=@Z%>I z{?M_<=70Z;Wj{PK|HDTt`{3buV-L-L@X-ACPtSe-q0+whiCM?q`^4;fCrbPF1GA+a zoBj5&nRo8D?Y^0B9d+#+M`ylq#I?8XnJMk=nYZqq`RZLEyL0CCkiB+r#@POu*Mz;g zZ`!pxreD2d`sLfB_R`+zm-fJ>e0zTPlxsVup4WE%wyCr|bL&)&J+)&h*S1f6vW}hI zGI`|sNl>^+>7%HDTnYSjO2Dlj8$WsDtFi4ba3v!JheiBPuqK^mcMgiK48b^-#I@2wrAfsHt*P5 z_s=UkTH2dO=Z)Pv|E9J#j?7DY?e1B}zH;~MR}Pi-r8{Sh9i07=wpR|$zH)HJ*nyds z_PO@rzL^(qFYWy8GsgDJoL4se>~7n3O+UTUwR1bC&)w?UlebJcc4pgDz_w03yd_`{ zZJ9E5)6_%49=vJFwarrxZk~EzQ`C-aoH}*`Y|^)*H%z*=VG{q*!l0@u?eKLIm3HXb zi5j+k;=t9_cGoo%pbY866E{vGv}~jPO8m9cfTZ{it)JldcgN+1hL{$i)!!(xk5RZN zAjs^SPei^QN=%4TAeP7t=ik>jK_eExaAjo zG3u(**;e%ue^t4^%^zI`f&l|nQA{}1>; zA^crejB6l{H2W3>1WWdH5&2eR{oX&loT!H@q~FuG&xwhCt(#rMEI-WkOV9Ef#@Svq z(XZJV4@~zf$N3cnUuTw|xgE8F?+D`$)FZif$5rDn^0!?!PJJ^i)t`$$3WxKC0HVIZ zyn{oAMFhO?aV7R$x$+ViQ)cv?24e8)HJ5C?Y}t;>@&Ac--)tz^mx_E_O22b^XaCEm zjobtH0705;D%jVX^c$6GZyoj}MjI6DL(5ALe}kBA(2w-Xtoe4O{tyup?{2+(9FecX zzE0F%n1EGE(ARy(wFY!_AF*%I5fF^df#6lEFFAP4_^HhkY)3XsbW1>oeeEOPfb{#y zJ@bG0{K~)nyoz%X@P7T60bg*cRkJ?l24kED(BzDf&Sjson&dp`>b~`XG0wrT_oDQ3 zT46|?wY);*A@ao)g$`mL_$$A&z50vdFR276-&cgUs)2^YAaozn2vYYgUILO(jd2j9 z1N9!*II-{Q@jX|LAG^`qLJ7t`Qg_{DUm5v&MUQ6E@4eH@K|L}^KNLEgS@w_boc-O$ z7y4~>33s{-zS>)-*~RO3S;Ph39%{a5i^*+yDVk%5Ziy?DpadWJo#3y6fSwZMIxjMP z2y#jB0endRY48DmD&URT=*PdoKOw^laW23I-ZAMQ1Mj*{$v~ixw>5NDUvil?pMC8k zUnBhx=l;Pb7Z`lMcXk0Q4)}n=pM1Kg`Kn&OaI;IG-{sS8vrDScPqWW&^sC$H;x_tu zoi1p6i8lJFE2*mml@M_{}b8{qylR%wE5K`d!crnA7e@dpg7)(tkeq28usY zf`uMLVHXt`O7_uJfUkmm#Ka(97RBOSI@$#R!9Ga8Q(GoBfBcfG*IokWfz1;{uJ+eH z*r$5^UO7DX=g+NR@V)zq<@8n~`3@rlzf|)@v&)a4TJi3Q<*>HRE~3)~T`x|vi)?dI z{^9v_rwh7XoMsoR(*-RrUbBnS>4L78=FKi%zl+o9Lbritmu-Pg7qq{0-0Y(IT>{N6 zSah3RXr=3x7xctDw0ZJWO*^q^61^?(h%@Iv}Iz`$1d4()i@m3UDuBTc3>Z@J@x1tg6wN6{oek>@?U*% z<*#(83j)4;_nds48>An4n}6`=0@r-sdvLiZdW1(C1SY#|tuxHIql$;~=C=BioR?PC zuU65cPR%#J?oqq+kv|;4-q}^~OW$L8Btac(?uX zW!GMM$*G$Z`?g%Bd^otpXFI`P75lsy2kERI+EzY%a>cK{xJt3_i!1;0Q!37t;Di6{ ze%0Gd;Dhdi{wj+e$=6rr_WFrtm$K8PX`^5JNzQ)7qeipK;w<>$?QZT%EcvyTd9Shr z`<6WZYH2`^i~@WTf>nMRIwSHF)FaGoSZ5UCRckIm`VWf-t{wOPkj+pSV_y^L_sZe< zpFIoY0ep&m??1fUKjHhe`4{fvT z^tP$fTP-`eb;{V5sgv4HY?*ZI*rrL}j&7Xv?Z}OjrJ+0bhRM4&Oa$!ui9^=~?7(#s z#;(QRq|)|ZJK@^Z6Z@~8K+Kgkl;MK5>&o%4T``Ud=FU7rxR*Ar|Hi`A&eyvYfK3V5 zkbSHceS(bC3Xu*f53sxA>hT9QDe&PI>M!TdnM1=2_T@>xZyaCvXxYk>&J+5rC>;CwXII8;#h-mv+p{bF%(kC?W`$*+Uh#J?uYy7GLHfOT=lq#nX1W1M zKSaKWf2s5V-3Rr@1>f&{!W{PC6TaceE|KX5;n4=M$u8k$7i*@AKi2>wUgS&{x7v&O zE?&XcsrH80x3mhrdjkUwF!jJ4?jpy%WaBT*K6;9}M<4j(vmam|_W*K5c-RE&41dHy z35yZ&q4JRFLkQfMdWnCKv44DX^*?+iW~=`G)xy5K>hFd9-IrEbrojjH{ot_$O+A2* zO!d2PZ!_5Ur@yh{`~g+^K*1Lkk3afkS@HO-2bPPlHx&8aIrnmY;y(cy|4|`*gZ`-gJfpAZeFCyaX;5Qid?!Iyy2OgM==u++$e~@^D zJRJNWMTr$6Ag}a+zibWIG5e$vM3{*H69xwoEJ2XP`$(}b3x5K91VM{^Ut0C6&#!v- z6ANc|GxZGnzVqO6D)<6?hnDwQ>`T46*Sx-Rk(}^t_Zr_+ z&9^E3AOneaARxZq346Ozf1lhj1q0*wjmL0L?4icG#{j2kbUjd-`Q=GVBZbvmO=P{cccL^ zY75g|GhO>BK=lsbn_O-=dA^qMyS=M}Y22f@FAo>vJ zBHu?959)cA$2=H_Th>T?!IdLR$bvmv^pRwiFpZpxRvZ<-$BWuyRFH-s(zPe z_Ras{ld9$m#fMIpw~kTiL$UAe2UKStfe+_=x#yXY$EA;of^V%?d5C5gywfB79*+SW z?_I3z{h8MDg8&%@724@dCo`zHtF?iKi%Llce0D~5|6Uk z1#dFqcNodn774B){BesPsE5NIxCI}LbvoUk9BqIx2Sa*~ zM`*gijQc8&-R^rl=*=EOewzoq-y``#54`I`z0*TkoiPVI{viAP9*8>W{T{(k*I^%S zC6a3S$oJiK-GrKeuxS#^p7pza3gwQX;<1PezL@ijx0MHN6LNgM zts8Gs^r%Su74r_#{Vx2}XIx^XF0;Db-zNbt!FW5y&B!{V#z8mVmuz{7_PYcNzTbZY zajrS#_MHcj_%n0;NbBJ$d!C(n&PW3GRPZeu{UXy1oN6y_FW7=FfEZw(E_z(VUo$fH zMnHu>vXNz<{DX}G2cztZ)O@q>hl&Tc*Wi-T@tsE`;tyYS)J<*q%p{KLnV|K6jn zm9G^?MMB$eKRo~2r%QYP^n7Wbn1BBh^WQyb*}KQ*9DC>ZoV2%(&l$Ua?rm+~ynpVS z_s<$TI{VhWuDyBh?3?#k_Qv5^V|UHIq3!iUGmgD_aN4&o9i0BsKG$B}H(lE8)0i^w z;-0WQzk51p=XXyV+c|w+*fY0H2kh4AXYd~39VvTa`;@lHC$>*Mu`OVaZ=Fop&6AJc zYz;1!LyX~{+dh@3{Gtks;%_h;*i5pu{1m+ygx-PK4*^~LEk+0u4F`k5aK4W-fT6_S zil5Vf16)G`4$N7%msh>_pnt?|?)CfTfBBLr_@d?Io5#yjZjgRZi^%#(8&biy(R72w zT=0GU&Y9Ox>SgPq=37pALD_e(m0#KZf;PZl60jx9@;{EcuxgXm-OeX-`+7s|i<<9)`DNchReq`dd*)^{<^avl zu?`ppP=Ag(6Qa+?oxVv`is`HfIs_&D@Y_2gUc>h%vfSG&Pr1=tKQ8rB+w*V=zDqjmhZp+>U*lW5=9`iD z8*uIqm_xfCMZ36?0K~bsp!CCHf7HV_qalXC$1Q=^Ub>8qi-3dvuWn7iEcPu8CD@*Z zW6vB|PR12p-|^1*s)|Q!#(`Dy)$IG~eapGi1rFZkp%Q;P%_%p2rI9n&kHA+}JZ`~g zgMOwPEa`%8tyh>Q*cYw&X5g==dxQtQbX)p3bd5RQ3-IyC1D@_TU;>ipqf>D>6GDB_ zz#pl`YNpb z3VNZT`#?aPha&+9>fvi4`f!_mIowqZ1K}p@Tarr9r=hbl_RPWM%Bs|#$3BdBsgQn% zd@9_dsr#qjyu{q`dfBQ9VIOP|t_WJniJOn28;aCW3J@{bXqgxgGa9%hEuL^?FR#zqm zTjB4*;b6hO0)H&@e8Iy|Y|i)%(lSCw%XjdFyUt!MB*Byc@K#;G22Qw>a&K+HS&%VF&uaZ?np;KK9G4 zEtL-PeVFkV#9VAKhew%vXc_{v9vtA0gdPRLz+dK<&^8Ny5`~U$$k+}3mT*K24LJ78 zFRUu9cHKktyVxx+Jk=Scl2;F#nlD5j4tVfk4|U4z_P{AOOnH%QE*AUFuMEG#$ za(7JeLho5#`^?g9b^*@wj-`VGp!AGx%bs z+^F$FMYl8jK({luKWjL^U`ii)-hC|P=dY(Rmv~x9qMQwgc_I2>2zX=V-JI`n_GCb?U;Hg<4vKsq6+Jpl z{1N-!JH4PnVEryPOg80;hpu}BPPx%!(-9i!Jlef}0OH__9;ucWjlcA1U(xi}qVa|B zcj+>pbg`8L;}VY?;*8*J5`qK5A9E1SqL73k_Eq7}D|$q0zR&HS|Kq1un2N_2SIO~K zRPe?1tz*l7`GPs+hJF_`zHsTo(S5kRSn%EHHMA( z_#1r`M%Y&ye}S6sYxmCo^-Jo|8`y_P`K3eput#yygV={D(6Li)RPc@BZz&FU3BAn7 zig{K18)9E4_`CVC@dm+fD)RkU>a~_642!nsz{@SE=NZ?Ew_LLN>t6sa76O8a9t4Ms zKStr`NW!r{dTJ%?4}x}Xoi_$NJzbhut;wPj5QTheh*Gc4?Nc=TC?OU(( z(Kh(C@Rz3n6LiaY6a>x4E(VFy-y#$rQE%V1XaKkbcJSJ9T5;50?9b324ac6lbD^;1 z&)uodw{gLj9DUr)KjuLzUfm;*_+$2|PM4STVGmvN#Vd`t;-MWg;DD*ePB&SO}bhq-r?II{g{1-cDrR?@K0L_#DaZ!_-hdPzIki`6%VfYqR+XkduaB_!On@u zH_ARS*YDK!nUl6XuzkicC+x*-H0&MfTt7?w)s%j9JV6kz`+tr_~sC7`qgRjBTM~%9NI_O5P_4xZ@u04AF(DCQje1{(S_L%kC z(EJ^~7W;aNd~*_iO9Fpk0eF#s{S$Ee6{^;O$QRTDvxtCLfuRi26~&r;&)C0wbFF2n z17NEk-)~(ho{-WTapD3iI2qn{;gN zb(6|;zK^fFKs~Z%(yub|UF7)7?0g3MqWHT22#6EJIfsaw5v1}{(02qod5!hBRDN5B z8$9*_g^lrNvCoWn`I|4T`u6DsHQu>scFB-_){Fz0_{)iWov_zuA5iE-zO|*_#%bpj z=Fa=e%Ytt^>1V0Gi}=$o_T}>)>=4>AuVn)urT_T46!U7#zxH?QWKfxr4S-#SGPhkXOg`jygepC|pG z1XI$lapXJ7>hGhO=iX9{FSXQPN&qe`4Tv|x(a0<~?9K@US zmmvN`zl(X1Ilav||1P*Dy%;|wk8+`buE7=zifJ*%-=@;mEjyF69JN~NQYSc;1x4raBwmOR@XKHw^ zXm#F?fS2t0Rz;6KBHxSns}O*TTmwF&MIWX^V{{;fr@|2I6A379J10vZ6{J{yn8aVx1mLvfK>>E1%1{e8mtzGnJF8$o1M?aD8diPb9 z03TV_d5^^r{C1DOY7IynjMqcbbjx{~rcd3cPi~(C`)*vfY~T8E;^59Jl?H6aKKkuT zjDTVGS@`R@?oqZn>k%(ryI=j9Z#?p?lzyqANAQHNSMXJbd^cD&=*TyMKf}J9y2tQx zf0zb%Lsj}OXXIZq0hmj`csQKHxb&X7jEn8R?*_#_T(M!xW#ce74{TJ6_vrUz#y(iN zzGMg(WnX*zr4oOl-z8Y|un&7QtNHd7`PP+we!olak?&H+UorB&qWDV$1kvhRv=4@( zV0J=EFip42r>33@LBoyDCtH$8~d|1Sz^k;LPE&&3LoxfZ4xWugNeMuz# z^pNLZ+1EbmJEAq;8vQQ$((lHI^y@J4Em~dzGY%@pJ1+|Lmn8tR@K?74OsuRyz>pHm zWL)L891;ch0C|Kzig^iqBmjf^8!Rqr0*<|Kcp-?t*Y8{S@uyeObiY6O%qraKc?b2& zzIkDdQ7w-+z2%SNLnx^3rGI8!UR% znCs^r^GJ>ME2Ljz{FMjY3h9SAS3&j1g$~DAOu0X(zhMi&CIqyVU_Rh-c2>e@C>s_X z0S)^~0v=j`#3S(i*OyiUg0MgP>?$JQZ#~!^e~TmXZ7=1id;bxT=UBZcn3Z6`!5psD+A|c!rGM!nBA~@S5`aJX>?+7TfDcK)_a0gK z!Q(68{L#}2e}DPX>SEYmz2Mibd*tD-=Wgf9ns0mjbs7044tp$h{F&l!mH^Dfp9+Bo zPe4t>T6D|YoaWKspDqdly6gk~e)Z+m|LfhgUq7(|)T6NX!%wYx<(>tSex~}7D197Q z-lpzRmH6v1@@-r6*fh|{*Gl|dQ20xRz*O7KzYdLnYnQDjTeB<^a5>uskGaHe9B~2$ z*!MTDYWAI40pt<;{`~wZNI%N`iOO$8`el`UZRuAv-Zb7$hUl%QDmy~;a+RL>BzUlpZ7*{ zbiE9+^4kc1mGkav5ino+@y-zl@uLk}u;K(8c(ZFB&MVAfn7mb2z3qWviSOi2N#YDjpd@;Wf z5EEb;;G;{fUNeN(1pDL-un#G}pPpCJ4~E2_GTrP#kuP;Ym-tI2{+{w~bO_j-4( zJ8m~LN0zOkmiQ3!rJQGZtbS8^~wz$OCFXuw7g?1X^zl%NB_;H^i-VMEZupG4sR zw4q{O41Wwn75R$J=eqc-D*IlHe9d&f%x>rE5vNN6f0^;`KnYk=33f%m?jh)r@#?k9 zEEBIW>YtA(0x}Rgmw|?Tl?2SdpQ(EU2b~T9e@i9u4UV?YFZ;&S-;S%Rrd@S-=q*zE zs8oM#@fXMgs<-yk3VwOBiGoQ6)`4J$1RMYa5pG)$Y;#*1pji-P8j{nPi5C0P0x*cb zGV<-M>{~{@#gHqv74VUHmGsw3Yj^*3nzMF=)??@;SFf#OjZ%j$`_clibNq?AN8`lb60Z4P#9xWU z9QH-y-*6?QQv#A6^p3$fr{WqO4Hjh(pP|hQBVOcN`Bj}s!dw*AAz&?BuDvA8zJvg5 zj=xCPOTYMAoRM!J@z=QSF@owZBmmRWkDg}YuMPnf{yK|-IULRqgFeFAuT+w&+YN)| zVD}J=vTwNfGlO1=f^SCIH?00fH}b6-@OJ^?FDLy62xby68vh0~U~dGhf?#{z1;3$N z#$|rn08!Wt2UF~8fxlGUBi!_t(eRv1{Ds-4UTEpP(^9ni&E~w-$Z7Y(txEj$H{q(7 z^0FlHx8<^lo1y#IK0XfQNgJF1v??J6f4yix)`RhgIEb+Ji<#K!W7|Mb*b0JUU(h`+ zl;#UwxzojQs)FB9!rx+ue67*;1^)aYS9rS{b@@5h5h{J?*L{F-2`kyxH2&>O01lpj zNffr{UGN+Fpj)l)>>q`-IjGn-6#ON-UV5l|*!Zg}{VHE**`Vg@k2$z-@z<1qnelH$ z29ToQ5`qKKfJ{K?2w@mRSSO3MnAP9rK53Z6!LcvgtJqgbKu7fPZ5#F17yfq6BGh$k zG$LPj$dwxKXD9wF{JC#57iHh2r~aDaFJA!W63{?!cm!k`Y7}aR8a{IYMux<@eby#*DItfEI{-z^KoIa_BSb(PFHsPbmhAqkOU3~}t?{qumWDR_MhAcW zlzj&p`DPFJGl@St_+1?Mv(LS4(?TzH9gG0%mw*KX`Jh`}$2}NVXu4+W76By<$#2cX z#*iBD2b(d`W1kR!!Lo1j#+R7-i}gI$!e3y}iwb_7tY1~jOD~b{kA7IwvKi?TpQ?bMk43E1C*y#L*7Da?0x`nA zLI8G(zs6lJ&C0%uEb-R{e@?#(-wvqpr566`#=oH?U?2Fa9R(Kwj58v4h_UOuWbeI)msYqI^tN%`?@GWVClUyZVF`?`mn`1|#*f33P+^2Z$H zzt1-o`G)EqG5q0=M?cVgw)87YAIv*)u%DcP;SawNqK_>W`C@%bGyK^aFcW{+mMQ&s zTN4fVv1J=RT5miI{&g)f2r>;r&iYzIr|X|1?gNyHePpWE;ad`ap9>8=1q3_9Uyo&9 zfj|63tlRPD)O=HiJ?h}kuY1U@7yLOh@rRvE!}j>g8Fz}`s9t%VhrgvvKtM2qgZS4a z*++get(X2(_ewCtKIgY66xOwY1F>XZQ~;*C|1$80|C#wm&%83~j~C%QgSWdKT+S;} z1M(tfJCpGWoZwMk-k^J5RPc)x&i#=u>mIxx4%d9)qs>JcZ=>E9!XGaZ{`i(_zP!ST zKVCr|5Lyq06}}Swumb9l@qRd}24br}`ILDF2kU$r#Gm}&uA=cpE&_QlUfFS#8Tsd| zDCB9wy73DFviid#_TkVi1R#Hvtc36)96ABQE9HTZq81Eg$4}vq9%P4}(xS7Ig&sNb zOZX8qAg{9?#7e*~LSSSAu|eUF?IU{SBd#!1+q}j8AP7V{gc{^+Z4}l;C-2W@sKvgh z0BnrELkk%4jQ@>!)H8g-pK^5dgOEq;Q&;_p4?HFOkwfYaKG;WB>Ux3i54gwTSzY=7 z_}~-%lvDIP!zT_h{;-1AdeECa;1mAfIN;-sKRhD*!I1(Gw|vxB`Lg5hrfIA&{;2wa z6~Z4JW*;2j4=W5lazH&Sn)zE-)q#zG3%7Zox&&f z@f9l=`KPV|g5JsAlf$@1pcrRWnZBU)rJfXHr9HTAM{}~^pym;Vvi2rF!9XpDK^~3c1GEkj(@}I zFM>b(56?dYK{4rG<^J%L-tqy*2*9H04=W@9iG!8w0|)UDbLykieFgh=Dg0rBWFLI2 zxa_+{E#imq2cJV=_$B+c&v4j_b@CDU!e{oCXWew&1CJ#5;H#C5>I%h2M!pPwSp$~R zPvZ|e3-+m?n7~Ks&zF9r{;*B5&%qzbKZ8i*@da*x13}n3i#I2K0?VQ@Ia}MP5BgYwg#EAHo%;j+=x+wD9Q*=&yokgf=l*DsAV~P*Z4~@U=|}jZ4Kne^AK}Cw6ObRlAqb-E z3m?I+zM9CFw{h@G8j#kBdc21de=Pm*h}9o&W8Fst;mvVo! z4&MPE@8@-1fx{4l6I-W8G33#w=SPwAmb1J z5}e8}sK*A<1r48y;g8dQ5`P@?N=LCT^1e3*fB1D~r6}@B*!jLA03`(3XVOKTA`d9> zM?U^M&LXdXaqyXRIZl9dULdUYh$xu-df*EJQP404WbOBi4)Xjz$?2_==l4wEdg5jU zK4kqIrgFpOD;vY`@*}-o^{NZak_tzKx@*AE-@XL{}RDTeFPVg)19vlVx zvt9tf1pe?ZL2^EAnF>313Uk=#9xsFWZlP3RQJ(& zIKFBbNXWy#G>3h`TZe8rw=U^|0x+ThNep%iL44bFj`eV$m_Zo>&4AZ|yae^=4+HkC z;INk+j&>bq1o6l0gF{V!GWTcLSCoAb|E42fguop0a^g=BFkAg`-Gdz^{X$(Yocm+- z$I=f@E&Or(n^J#i{MqThfcnz}+_}CAe^mmoWS{7O@sa1O^hCfQ@BGCan)wi}lV~DK7$2+bbM`_~GZIg$tkLKq3fZ*7dkFS6U0-CaKxA89{PdZBp zf7I?LuN?ETc1B)I&pL%kodjejTI~I_c z=+iB8r2>K(1nffzQj*cl493vb-TEEZjz53<)bo3c9p5yGxVsP1`QK^SXXDQmfKJwr zZ(a3=F);D?S5lAtf#J`Qej@P~SARX#Jw)#Bg1}#00jM>gBwf&V@z;q41Obbq!OXa{ zlgT@OTPFnU4}yK^K@Xab-!cjI?YI(!^<_`*nt~tW@3rfe1*`=qOZKG&AoI>~mIVF= zUiKXU{PDpLr~6Me{gH(_pZyw0R`sI+{;r$|BF|auk$`m|=%X!vB?ZAe4M^c|uN>?T zg2<4&vbXUD%j_-IAruZIkvj)EQ71#kN$U^WEvc^BLngJPE7D*(I6{nf)?G3U9EesI*IKVgb}7Y_dFcD{w^_M>PR@wQS8UOYv0Kvy?jWu8&1k4kIL9W$W932Smxn>*#0-oJH1%rdzN|-i4 z;b1;8_UZ#GUe#?b6zcldqWxt!>aRTU7SH`TSwGz3xSO^b>aTPB$$pm$3V-SN*A;-C z2FwzGBS^rih`1K)Vw+tEwvd4hBH}|E#$UQ)$}ucf;?LPI7_?RX7R^53FNc6$`p>EP z@@==lua7^g?oo`ncL*59U$Eyn|J}a9u9vv_TblS20x(wnQ247Q0Br(R;?D@cHUtdG zz*>@V!JoXXgj7!(1p6A{4=vD) zJn6K-pQ?Kl^Zwj%FNON+1b^|SzXs<$vWFckf#5d}f3*eRPzdNjurmTyMZ~pW7u(!9 z1Y;aTgbUIU`0lxJ0>=2mBgwM%eowG-zSd@6l>n?2|6Z8*EfQ1sQOF-<8rUGSJQ2KV$ zOoD5*9(4%8v$srweGl9`iGRAIn5MqFw(QF99n8 z7W3}ektsjv<8M5Nz{=OHe{hI>?0|aknSF2we^?~;;R^iWmXCbEC-$+!vre%hA;|2b zBOiFm?4wyPSWyrZZ#aSm#3IJMfO>G4eRRu{{_se!&m{h^NbF+=;Dhhr4=WOa4*Td` zAH+WFR8H}xH_1LV?{AZN!8r{(z-Gc99G-Wtd{TV+)t9*C!3UW3j9ce*A3z}%Z?^@Y zT4B+r9js9NtDI}i8!zD#{>YKH1UtUs5&I?bn0@37mw+0A4G7o-f&il6U@g)mHn)f1 z-fPFfKE@yZ(ZE4k!J}A~uqDMh{J0N9#Xd4s>J3_J{NXP*IB2m?Pd&i8!#><%QL>Nl zhec*zAplMAi%0G|-iqKCk8Jj#@ulo~!BZM@uN+c5_Up4mHNyEOh08GZe6|H~x z%S{?k|2R(x)v{clff^&<_%9d_*Kq<r6ZGfP`pC%wyn0+JwvBD69&p$*! z+&b*zbv%;Plm4g^@bcQJK$4BEAgF=3>Eq+d8og1(`BVwPy34)4! z`Yj)%{_u<7;0gk&t9-F9jXU+;ZjNm%{m57B6R-EhqG6wT#ih#qVNtRVzK=gf9y^A8 zk@x)KJ1O{;>JN)L_%-;$Epw2hA6AHc#enoEQtVoWQ;%T^w~#= zKd3+c)ja7BD+qpZCH(OQhkd-x!7t&D))|5lfBX>wAFjLthl5|r{qZBt{W1RVhXU zWan^^-=kG3>M7_Dkx|z3Sk% zh=0r1T>^jjE%@OKpt;otbRxc7VJ|=KvsYBD>wsaZi_ddOX`u2 z4RF*|mw@2}@rOlCKrH|VPrxJun-j2pMBJ|uWC%(p8;CerXpgAznCA#V#z^z0r8-+gd89{P7H8~b+B)xwg>@}9WX)m zVNv1FBcKC5x-E4dx?bY1`*4Eai2BoAFU5ghKlo+Q$Br}aU;y}Y!ru15Z%IHA{7Uv| z0qDN%s22VJL4iMufF=G!6AVF6;?MPq9>5p}M}mNHC0G}NWgtuhO-VsUGsaM+U2QHXpy_WG%n z%8dG}AYeHDO$5KgR(}^9{&f87=svo|!4D35*{O%Wp$WjzAYe!dHXvXH1T#3;6$CpL zg9Z5fy7LVmL;o|`GDgqPoq~N90aF4njK4_wZ&9c}?t0<6hZFp^SAX2}$Bi$!>aW4T zt1j>tOaJX_guj#kyw03~i^acKcSGQ2c|e^CY?TKj4H!~_%?MZxK^BG)Rk-LN$XL`U zR2!<$`_(K+4CIS1){Z*>J;o=Yf9vFpz z#+L!(PmF$v#=ngS7>$2*Ae>+yJz@glUstRjusRBENlC5zuE-f-pI>cO4Eq8EY>Gd> z`hmYL{KFGp$icdUKPDg^Ih9|mBo6=5ui&0%y2X{-p5a3P(v=)4cVNZTJ@%F7f4S#b z#lOX*d+g*N+4skba8UH1D^)+>^HE>kMj4i33(6ooD!0?r$Tl{F91t4z8X9u^m z2Uk{t&I$w|R?z!+KKbh^a7Jub99Ui_h;>i-j%Q z^l@cvFc!G@yrVc`$! zQ~;3z5Q}vF7u18#SB4-Q;17=q_NfjSeOn#@#x2-KS89JzzK(O@IPBvUXh8UkKXP~l zP7wl&GDtx{^|Tlg6GI->v5g@J0xHw51Li9>F!0 zC*J(h2R_0*&+zHg2OK{2p?z2PfrCN(VRO3lfep+)LLuP~>wM@9KA(G2zL0*nB@QY_ z2tW>mjry|(|HQ~BqF`*sk3+*C z0kMtQM~*`}MxNss0u~U&_XOF;i)r@pQ+Co?Fa5LwC3v|eAaSs38pcr-WnxqI$uG1x zNHok>h++1H1YiVz#R0fP`VW7H3ihpl&(#m*>#QHHzWT${l6~I1KRl%$VxRQs)Ca8) z1XJwOQLtnm;SV2o*;kBvA^c&3(SUl^Dg8QJS^aU$OOB5}Y&IIOP=Ai}!v=?axMlqD zZ}izmxj$?u*;f>QvB>Nz1fUXb-1Q>WAJ$n0D!-Wf0-t^y0Z8f(kF-<9zr?=cL+bzK zDA*T(avKqlRvhZdTZe$yPm>XpQ%s0~?~u-4p$$wxY+%eu-6t1`YuL#SeEwnTIZhgL z>8G7Q5MN@%phO}2p@6qm8ZifjP{9=QTKP&=>xPpM?wV3xu(huu!Y6ZXe2p#!=!vfG@ zA8zr~Kk6L6>@NO@P|hn|8npv@rMl*{1O3iOAZIWP=8p& zwG4js#8WH=1t8QP9y#p8Ef!V!&&~jXdRVvESJXfx@<{h#CoRT8U~Evl)5gAXB4bcN zJv?Pq=x9I*KK7Y__#hQSlq1;3VlW0lT4w^{^&IKhw9Urf<(?5hv0gc$;cAXwthbihOi7*GG< zF9H7)5G;aU9slAf*yrF6ivji(0+7CtgI~fQEs_$X+#juw`h!oAFD+8;4?Yo)@kdWd z{W#1IMYWEq$?>w-qW9B*k=*Y z(}1<`=g)t}-v&g)1@+ijAOWaCVEervobSU2$tUTDTZ)2t+B36{)E`lguN3@p?vF=3 zs}T53Wkfx`68_|sOCJ>f;t#-W&&sC}r+n3=56=D3qV_$bAT+qSy@Hz*=rBf(D1|K^K%%vY$5Uei=yMkavMC^dC@-`Vk zAGm(vH+D{w+(QPiJH?ldj@46(eYpgz8UNz%5M={v;SZm}53!E~AZ`u&wEC0aBcG|q zj>I26-V%T64^;ec;|r@l8T=LmRP+9rdh9sCZw!CMuTuXnt3OFV8T|VA!>5RVKKo+$ z@bC2i3{|AXr}#jwlCxP=?6ZpVpJ#9AIA=0#^t?6Z}@zfAQCdDwxm)C)QZ z0f;}013{LAIRrHL6SZI&{IV|yXg9=wfC7S&acMtHK%!xYgK4doe(EYgf*@ZrItM$1 zV8?pU=U{L}!%;G;D?iM>gaEYT-(37L`x*qll7JTbF5*wO0V?%Z2Y-@%-Qcf^fChh| z5V(XL>pto5+?Tjh5-k5K7j^zmm!zIxtYk@YjDJ`%aVfd#*{@K-zj z%@=^42CNW(*JHv*wRK6rYm#pY#!Lj;stM>pFwMBir}ZEh5r#c+a1>ObBy13fhJ8f9 zH+8>DkbuE9K!Lxq`|l$DN(kmxe$oA>PrT99u70Q&UCOK<`LgK2z90Wu#a}P|C*xnG z{yGtWH>y`(Cae^Ii-Lf~(O?I5!P_hXdYQpW%%wLpfglS*I67(A9|T8A6-pr5>`Q9E zApWcqAc^?5BlU;ucvJw|ZO`e-FTSTZ@`37yHSC^SUS!`NH@@J5>EO2nAN9VB82<3* z_lv(S0XMl#Fty`fT?hvL@ayP@QCKYKIS61MS@BvpIuJ5E4Hznh=qAA(AfOFF{DTDX zM~jORf*Oc7UooyMc|f@RQ^}xV`2qGR4VV^ysrqjj|JHcyeU=bImg;wo0&2g@%Jf!=8aScmhV)S6={%__xF##lQGlQgZNw zI?_vJUv_W{-%kG-{9&DbsQml_#5Cb{&yML3nD zOKj*0g7_YngFORr04N-L>ycG&Y0{aLpW{aaU{(BU;Sc}&mFzpIFh^%U;BfB?`40ZD zm>7J3r-VN^tp1d*)gK&-efOwizifEQZO_WreSdIF{Hu?A;FjZG_>4dDfj>BW=nX#b zM^|clCiY>)Krj^i;;CdGe20C2AodXZl(W6e{oz(ZkW+qGXBu+v3l_Qg8NQVS)ann5 zECb00{@`#1Q29C*hQlGS_SI`Zl(SJepdNf;A3L-N(qV-ND19ul0Ayb|0)h_v@RV1` z2le3i>~j{aVu+A|*hc+;a99JfuN^ZhkbO8t18NRR(n()!6IBu1I*j96e#8zzkTz_) zx_E`A92uSMcL>202N{UubQ(B!PD5fJ@RuTBu=;_;Wc|0qAO5#=e!xB$79IBCR^ksE zaPCdcKEMi}{Dsf#^MhYJmF$E6g1{f1f`f!V_!R%bk-0zIO7_7g{9&CPC-}u9KJ?~} zd+{p2*vvy;-~)VorGp=&{;*iGPaONjx?~@G#-GJL-TjBntN|_Xq57c39DD1`DrJg&Dg4DUfI$K1$G`Y{;K#pM=dPB^UlBnL?Y;wc}4Q@$+# ziF$^8r~>OkFt#!K;Pa2gJ{=U}5o!-9Q8 zKm$S5@fWn901VsYwxeZhXoLCHS$o%RH@0siom*+&kpvwF4Li4M`p^v% zwQ9{lAbRX;gulx87ylCm*@t!dk>E4FF8&tJjR53ZI2`;E^5_wKW*=|n;FlcWj~~Ht zf?urgnP1)}!G}d+AFjNCgI``D0m!!&0k!(WBPxJsryTZ&TOuIg54X%d`1s)vm~M%B zP zhaVy!uB`r)lhysF)E_K1^NOV(W@nw6#p{*sPfC~V~6oa4yivb zeX#n&Ke8-+lmryPFR8!isSjNMVF5_sD??yW{b1?G_#@HBS0nxG^S{hKcJOCr_W2H; zash9xi)nzY{(Q&aPZLmrk9Nmp4qG9 z9_-;AQv|^yH%$h=0a(OGU~us9;2tcFef`WTn92G%PBH^nH~z){iuhsnx%krr45&Y= z`Y{st!#@QPFb99wtOTHb(_0C?MBB4B^9tYK2taVKWS@$E%i^!ZUyy(~_``1`4*KB3 z3Z9w*NW~$LViHVi0^&Ei>}y0oe20=y`3OyU;*__X->gKE(+@DBT5xpNu@2LZCP zfo~1_h=5@T#sy#yf64fF#p|ca`fm__T>X$7GW(VfIpa=JoRh>3^H~g_dq@Tvtxzu$B!JxWnW$Vp(GL{V7&e1BL3zq0T>K^ zJ@uD?KSe-C_t7oKywb@LfC&Ox_^TFxmIjo(bNmv2$tXC$!E6FjINT)x!Ndvz29#hm z0V8@)60L#X9D+(KmFBvXYRUj;>4CoI6xkP-vrmN0mviFK^WrJK_1_Z zef_aj%5>x_uWl5q)n6uSp7HOzVzRJx(@c&-@5eev#U!E4lMqSR6mvs{;2c0 z6o7f^Z@BnN5HN;6uLhDU0D;0G5HJbBdIYQq!CV;_3XL72HMs2-g7j?)g={T=xc54R zIT*kP`h#=;-|P376=I*fVh{KT{J{V|{M(RZl>XQ^9$yVJ>Q58!Z@#C%_s>6E_pg6s z@K>@=b-={yzdgjiGV4eFMf~~kua*0YtG{6N12S-E0&tT$1DCep5HJqGd;(&pl7Rz& zU=bZRpq}%QJ|GxCVNE7dv`Yl!>-pP_=#${9dZ-Dt(Mb@SCIlDtliz1hxrSqxf4Fe^~<1 zO9Dni;87-E1cJ2)SVFLZgH~ari4rVS;r8`qtDr--{M-IGh;J?>A#*V36oL_253nQf zy?k)`^tQ=bmM*fD6pOs!sp;_`^ye0GAg2 z_Rx@LdDSm_Yf$~r0k4SBJ^VvuV&ivjliEn;4cKM)WOQhrjuA71&~|;I64F@iXp+$hqG8#LKuG$2-YH?B?AYg1fe1o0i}s!BqJgQ8M3*m zkU5xe;)opk#uF;vC+*#Hs|kPq_P?+D>vu2p3&A!48^ynk)L*joZ>gz2IrX4C_~EI) zvic$FzjpA8M(B3&ZxsQL#H+xT0PK!{RuZsoHw?83>YkX}?eQ=U`{*`GKyc7jf^`Y# zLNLX_&XiyPf(*o(G-Ol_!0D2P1t>N9fIl)Df&ikdfw206laIgr_*b<(lNGb?Yxcg_ z_)B&^FM`~kn0i3Rev$Z7xxa?^Ytj9e5&tRy7$KmGKS97q2%H-QcR)Zb19J!n4)zB@ zD;B1RILg6+K(Iay2Y^5SSF{A;*f*bCO=j@t$v}&Mq7Bf-Uy}leAODv4OLqVH>A!I9 zZxP|I?zuOQfPV3pj=tF%Ff0JM> z+Ls4j@vIkeT26ey1Oy&f%D@x?$eIQ76S{;J@&75;9iar!OL0h5yf%nyM_lYk0> zlW9vu!Aw9n8iEZ77)S`R5|oZXVZRXEw_$?7_och1!8;fna1e`lWK~fLkrSB9iQ31VdC^H zlN9#Cf#QSzl_N9t*yo_oz8x3}$KL+bnzuh?${i&4{A-YaEd^k0{1w#?zxeA1zdru_ zgCCVMuUZDbJnud>?$iXo3V()xIqI(m{LQw-U#0+D%mf4_O9Cd9U_Aorh;p8P-ZKpc1_#Ik`w;ea$Ugt8wymEq)@i&<>{Iw7^T9_eLHuQufRpiWUiz<8 ze+B-6ga3R2>f&#y{uUqpV$}~f{*9*pg7L3i`Bh_3VJF)Qz$5`n0oW4(ljUHy8-@oZ zh>CEI26PFyA(arU3&CBXcgW>nG29}<(l6{xC=_0sF-)*HC->^z3V9FjK>BjxK7U%v6M4x@70QB>K-+6lVpMG`i|M^>M|Lp5)zxRxF60T+Z z8^xb&f9V!~qVu_5{2f}}AO0`_usHQWj);G40cdsqCGn?1;Lr$Yr}LR_11-={f7&GS z9Dm6W&c^L>TK`4}f3f1PPXCqT zPU(e5`kIM9H~p6+U^f{+2*9n=4)yrf!k?E1j241x)q;@+jHd#lc|bcM*g^(sB^Zi` z8xYWh!wkVXC~OD8Fb5qhRw6I9Arn=dZyS6290|SP)f7M=0Dt`ITB6=Ret0Q#-+z1W zQsN-+C&5R4HU4z`oB8^4H~lyI_`7={@S@9F>aQ*S?DSt#0eCV}17XGII4J?Rs0pYO zg6#;{KLmloxB%owts$sF;~m$)TuB&SDM}?V@cHX8#-X3)ncJt)P>rTSwAbvuwrzDq zvk&+qQ{@iF4F3KVBkccT-JgGbEh-*ActQ2V;7a)W;CWpGsjL3lr2igV@rEQ|_SuiY zsXq&U3+g4mWOpv-mKS!yrH@$eOT73SjDOYa1G3xH>(9yD_;+9eaIq8c#`x>v#L-}) zq}3B+waA3S;m(v`o*wK3f&~Zb&=An914KgMx!tOXTG|_UfsyX7jVN;`I^Yii5Q+~F1oe>nBOfx*lz?sgK?C{%u$X{QRsDE4@cK7P05-*+-}WArH=xC(0~o!bT06h+x(nP{|!n2LJ!6?pbCNeAfOI}vpS+F3T_h! zgMc^WLNHFiLJ4{iamNs>LSa`Bv^kh93?2O#!XnhI{2%V{yXkfeus{~y1g%n^k3}F zM|lD;SpPLWfT1=(C-UXn82+$6LIjLJusRi}bAk$jc^s?@!Da*u=L89V&NUPu_r}4R z!q5id*Y2PB)(O>3{lsmmnFeP|@E#)KAh*|4syu(g*gNOez)Z~RI7R&X{`ock{>zX4 z+b`CA_nFoI$F~dz0lUBZ9i;)8eFlHt6f9r;b%sBjfs?`SQpH~~{pY^)gQ_2C{G|n; z4uOLNG#NmTfXqAJ8A$@FgK??`;+Xg1qdzexQ1RWJQ# z@WN?UUqQe?0VFN}yA6T+CE#W- zE^VFwWIZU9U?u^v%>=@E;cz_&Y7Pz*f*dJ_&&E+lbo1+>jgwE`KJ(nZ8C*}@GG#N- z2t#JybNdwgaF}HOjYjv?V4p|Bv3EYb#+VL%34g&T82AJDfIn^P5CZ?#@2n*a=(De8 z{9BDbG{jU0z#-x93rE~@Z!g43A4T=UDt*LyUu*)Zk$-G@>R$kVd+iq<6%BxA5dT^s za7_Vtn>q9AN5Q>>z&%w$+7QrIf|(Gs2$;da+7R539HTD>2ztJ0lV+~fcXnPksjM9k zpS@$|ofEUtzIyNM9UEL#_^lJOFz7zWHz+=U4^C~}mtr45FoS?7eZV;QWhY8NJN_-x ze|QyoDFA!GUv~OW_r8?s&rCfK)sM9LLm}8H{yO+8&%I^i4;?U1+xRQzz6b$W9{Yv# zBP)>SbV1wr>p=i!hrq}QCZb?d2FWL&-4vbbh}JW*sx;uVn*?MHNKT;yGYRO*z!8IB zjoL_@kA{Op!)zd;ZADU#{nwAoI!G2M9XgquZbWEE@pr zDsV6a_N5=KlmgHupkMmnl?49E5O`z>SSZ0(1Wcv{t7M>;6V#c(#^G=$5QLm`Imj!X zLj3wMqxb+p!0yD>DTqEI;OSeYB>rd-B9Rt}fCR)>@3DZGoBPumaE#c;R||qd1I7th zp8ZG=Ff;w9>%Rs23Ih7aKPu9HPVjpXf3^~AD*$ss;H5%9h{OsR*cAlh99*OjOmXnK z$)j7Q-Z`a6_wt=HkKZ;0t@pxyy!vCx!5qOZvB)A(NPMbsNA28KP&xL5HOJb%Z-2QX8<7pN1T8n71%xxNQuH~ z8Mtw(8v@2Tm;u2+MBFAE?kXZ?2%Z$|L);4RA%;D)X_6oaATlN4Sc^sMOkyy>KA(op z%GkTltWl=3e*U!#fd&5J0Y*JS-JJ!ADlsNdM`!XRy!5pFj0JF!ElFy($HuIrdu=f1S#2FZgQ^ z|27tYUK32P2C}#bSU|8l4G02OLr@X0TL^lRP>_z1=R4g)u(2L=*vER1fhdVqk9`Fk zUEF96fQuTg(O0Gff%kbW`sR~LV1 ze@WvnHw0EafG+-uM(7{`jQ|{d4VXCk?4AvoF zwG1pF=!wDe`)0oT;M@m~&coo~mQsh+;V{R=1qbsW2yN(!!It4Le_X=L_ZzT}qGSFl z01A@BN32k40HIsRu#L!Nw2-78ztM z9R?OVov;u1d*kRVeE%4{3|0+-ZI{2%5 z@Z)p!@#hzRG5wUwzU-I+NdE#b76MlbKu-e}Wf0K{(|IKX8ZaaSOU1|1PdJBrk%83^ zL@fN&-kA>`pMU@1QtLtV5dm5KwSwRcQ@4|qH4r}`$SZw`L1O5x>s4`sQ+pC#br&7> z$*-zJ;n;VdTLW{D=iCMh!NCk*xA+T8Kh0EsqldrnxwlyG+aUed7=IxFXik7w2Z19Q zz)S&Hhk(KQuUyQn1verf_?QF1oIp5V27c>-xgVaLf9cT7JvUE%_5L{+oR<#FKm)#g z+>(BhfbeT8L55&13VY!oPHwz+dKUKLff=BX?b{EQ#a{+l0*Gn$@izn=!#*Nl0)nwt zm@ERu3Ls76-<a_kjrH?571Oc0%ze* z&4M0A{A;KG+E+hR@Y^Z=DvG~l`mcE7hkozJGamjB1(yWeU%vj_C1BzRaIOGUUC`kg z$gbHK0m~||Cjj+0EX2Qd2;36^y+Ak}1se?r0wy8Yh=5is{GC&ZeJ8h1zjD_M92gwj zf_>k8XwDRf^D4#NK(MANl>Dmnae$Y=hwI}zr{sPIi2F89zI9B|&;cTJA}zjg|E$uC z@i_~LM`Paw`^a?g%Nz`{4~y*tU~~Mr@o&@WM>+VfNdLW#nlD?Z`PnIb;I=LPx=R0f z1T67aB>;mbKq^|GGeh7;1PmtuN05O1K=7^m75fnRq6+-Nff*PaTEx|5A4AZq6w8RX zO9ljvt&g>=Wy}p>!f-6zuZ_U_1{P zBw&^R%vOJ<|Jfb@Qx-rl{UslNRq4NyeKmSt%C_gS^pW5B9K&Dh__rqkh(4HL5-=(N z7X<-r8Ca;mEM$z9Vh$^c^J(OXM}n1W!OgS|j7i-s--CHN5b zI^g4{NCF1I$NL}HZqkKslI}~hFY?r8Uq}FcHxdFH{CQR2l7K}IpjQA1tG~^Z({*i83LOmU^D{=EIzbY2q+*}n}8ib@WlgjA3U;L z6Hq}AhC&AkKxjb6Dj*o)U^58fQ*K%?y%J6uPGVHSw?AQY9M14E$jD*vVGqEE4W#&R zd(TZ4L-926J*C`>AdfeM*f$6P*fIVB1oTe7MXMhL{wjN4Lc#Ay;7_%`&dH!uR1Svq) zZeGpP2jJX=SMAIH9owA2gi=A8sWj(|Qm)Q9*v=UPD+o$R{%F z94ErQpa6`7z(E06sy}fG*cN~_@K+K4#spwX{OS1DE&iUY*ZEv|>{k_kV*>E-0#1F9 zS@qum3c#~af4KrMSp$jS&#D3gfB6K|slZ_US1#781vCE05v_j-6sj_aZ=tgy`4Gg; zgKBgjo2&bpL(rCljUiY|3@Y$FIQPAWm3`&NtWuFe8=l=c4Io10LCv)UA2XCRBVB!s zNia>auLl9>;_qAy0{REws^eb|f9ky-cKWZVeyEWLWKR08CH^W8ex&dhOaCSCmnQ(- z`fs5DgEf#k1neRN9x(zQ?G%Ew3D_S5g(U0`f&uWc9=t*8!2*le`ShL{(uVJyo`Zsu ziVr@rdxSGCeaH>6XAtrb#+@`yH93!cA^gSK00$rdo8T`a{nritMDI&^?k!UN2(;|<0MaM}n2Wz637D#ZwAFw!Cn5SA+dd=ZUeIr)0d*{#P=EX=paDr0dP=Yf z1WOKfssssw`q~D9z8I9?L&4g~8_K76PaBN1A+GRw^WN(L!BikjETd4D>Lj2ZJHRC# zNlF^_z4zI*u(H!7=Gz@G3V-PkSd2pGHvY}QpP&8 zLll552AwWUQVzf0n4DcHwbQ=+6R+U5^DMfH%)!>gb8)w z;FfU)Clh@15k$&_Ma0hd;NBai_TFF>K!7t+g(!@$+#~#vRE)BZ2v`9@4}TQ`P~gv+ z0aIiEGvnWH=FPvVjDNH7x3X36TL*uEsefMj&y0PB`YQyWoBOL1|8^z-y(FO30YjaD znt(9@XsW;w4VWfiv-3Q=VjfI9C{97p=3pxbDm_>M!Q85d(17$2ML@P} z{2ksr^;;+AzW<0aI0^7!K#SzyONVqqP{hSpk>Ha6C10a(>dpJ--g_8_VJ4s5Z+fQz zK}5b_A2Nv{_C3G$J(yO1e2vP$ApSxEuu=TG`t5Z4|F#f-!StVMfzGUfWD3B10ty*eLBL!3kAjJS84#=>pnza40%Ef& ziMZAm1cAa5g5*a~=ztHmQa|G8o-BPx@R>@80ADN+_6bPZ@Rh>~of!59JFsc$#XDyK zM6^IFooL&b0w0?oU@iRR3&5)QcS!iN<6kHJ=afG3@YkyM#m8TH^sP{T0sJ+Nf72Pj z{l%Mq`4zz0G5GTYpxXpP8gSQKwE!GR0wPOTzB1S;1dCfG121F|7!e21fU=P zn)IJI{!tnHq8HkUf0gX%NJh@!7HOy|5NmO214E7{Omq0M?Ix>)|h_`>(;-kCgfgwf;Gs&pP;x zsK37P*IEFU9WXQmUw6Pfxvxq9<`OVE3ZYB_h6or)0wM$+U=&>~oY5KM=_3H&88fIvKcUNQtsD#0uQLJ8iK_5Kh?Dtg&KnIF^;3~{g-1Tl6HgJ)n+TT$>mHV0vN zY}+);LpVP8(B(&Z5OFb>c;>cgd^t%u?Dy*-OtgH*WnVxBrU)5Qx|_Y3tTq@4rc&WB_uBd zuCD<{nt)wEP!X^{2zq*uappTQ@X=0sN*O@t!K}Dg%R?{`59&0?4cj1zXblUr(~bk`+}!b2??t|fj{gl*;mwmrTW7Lx((t_7C^9* z@Yjg|ESq2u0^3n=jDU~C38;p_NW0CNkgD>1F}r0}3`Px&N9|4nX39WU1CkgFwFlOg zfxSY|R)r4xUOO^Np)Rg?@rd)(!J@-Ksrbr-pvD|-CHO$hGdrh&P8bP8T;<1^gXC1w zaO^+6v=&wp5Gb@E=$1k13qbsL<**O8!TK+DmPtTOKu7&ygTp?$jlKO6`@y~({9&hL zpTVCf{$hivepDU%#ZCyo1pctW8u+6Vg0FKW^@p9z zz5xDo2po)ma|NIvU<`j)&+3CA4lZT_1_I#-1Zxq{#KJYjU{x$!l!+OC($S63Z=Wz} zK6ELk!G{-;FeUxC7_yEe9>v9A94kJ651lJ?3j)4+#P}BbxX;FMbQ7YFLc=~HUQ#C;>y^aB~P|>%lw-ip*dE!FMol|H=8cPr37@S@D5) zz#@#nm!Kqr&t&}`n*;d3I8G71c;^g9%~|YoP*~i$>?`rtPynv^u7^MTcO}z*js}zh z5IcjX---grYHU#K6V(qX1O4ECA!sBau@6@&nC#y)1;e|RBE3O6m>QBbM z*a`Nz_!9yU8w~sM@Q3}CvkwS=*vTAp1fa_OJ**Of#J)=WVW(std`JCZgH!#G=|4Pm z*cZbeb~>~FO6jNLUu+1rzxVY5Hc`73SM*s>>Pr5 z9L!XL@0^iwo(3>1EV(bH$=&)+%o_-^&mB{GD)PF&3CLMjl0eRw?+ZH98> z&4f8l_5q6!fW5G0Z8f(JBfV(0_yZ1Hk9m(2tcX+u)pkl76OoUA32~N zb~5|m`1r#HW?zZFqWU4vydu_9Xx_2&e>LQvyb7AY2AI<+b1)4-n|&k(r)d$=?9tn%-#=qGs92^|p}B6HVm-*~1L2Z=b>rV=0eF!cI2P!IdL29m}fb~@~H@P`c){8p+z>?9c&Q-9b% zQE*WG;VEmtu=*k=?31M@h@Vlb0^yq`kj7i{o>iKvzk3g06cgwAj~xfL8jiz@I$_P_xe~fG`cE0K|WRW%^GDKra4b12q8Vs6UiJ zu%FonCxJiggc3~P4;!4yFG0{h_KTey0tfMj4V(e=@rS1+`$X@H)PPj|z)p^WgZRUS zl6{2$3|2p|Q?ifzE(Ks60#*yahz7LU0I3jcmxC(_c=A>m0>dAPC^%ISW)0XY1VO{N z9&EtAM{k??;IZWpgSf)qzeycg3xH4SL`Xu8npHKK#G^N7&?lf=@o5-fp9+E72tcm@ zg8!~m@izm1*hy7jqy7Z`paDTWY%m-&-G8F3}_%(wM@YG^o9Dmr!>s3FnAL=g$f7r7hJ|BPBAWI(>{vJ@BD}mO( zV}aZsb~^0CtrURRKus`~`qKi?!5?<2;xFRgA_K?}4B{^l0>=ob&cKn`a{%2QKsyRv z0vZq%lAK})#9gL^4~N*`<2{GBgkB@3CnKccn92z<0ky;IV{HhWWwH-DnS%fy{tZY( zf`jj#UjD&Z*nBnkf;7ZpL?NcwS62YW;$QrCRU`qm`ilra1i#qH?6U-5QT@P%l6__G zi>>~I0K|TC@FRBew`l#tPG(;)_{9chADjgKu+yFRVyi!FkfjgSfE54YX`t^u>!Y{bDz2)=uI{`b!<;A(d2=$L>A0y5ep)Z`*j z^8JTh@Zld2H1zbKr3zUdHgLw?e|fDkUG0rsgCTHM0Yt~Y`0uJ?3C>D@rMnPeF^+wXJF)kz#leHAnd3=5`cL6 z<=EIOJO0H^qM?sJ5`fsi>AIZ1cUgC z^#D2o5dU2{)sI;E4?BbPUygsV!7u(Q4xU>R41Ten+2;qpCH|gJRT2N(n+$%jfr4L2 zz@YlWPM&%Y41TeJlYl<{@Kg&xb?7ZD0E^xi>|`Yv#2+>o4Ok4na)aLjf7mJ6mmB{k z1>k@Gyv;tSzcvDJFDAaE>=7;m4^9IHiXpKcKxds9mv-_ASQmnZfL$oT3ichHgDQk6 zRj4H)DCX-vhkf5VQDy)g@JR@=-++cT`x+52C;%n?@ZXg;0;^DecKnN-RR4{sKWrfO z1qc|zANEW3CGdxxbo4Ez{;+{iDA^ZOf7mIf9{Bjf24-=h76 z@_^XD@h=O&0RHqSK!<%L{+h{XQEcGV{*hBy(38?YcaTNUcp4o4nT>jy?1=#OC zx$x$(&L&?8SH)G;1?T|1}xHlaLV8}r2eqq zVV}XDo&H1d7dur6I5hNykcSN{1Jn4!&a(QUhrT%Y!v@Jd2Y+}fsvip+|GLFrJp)y; zk9;Wr@l{UsBSt{%^x0S9ud)CV5`fG;5b)goXcag{z-asn{O!pWfbBHk3y0=@@|hLC zcyXmPK(NdMY670wIs2ohR{Z?DWj}gq;o;ldcg2B;fAY-2&z=+Z?84XXpRdFpZODfp z(DtRnb3cB1!7(f{^`v7FuqFf>vya3eef*u%^9MIiE7Px?3j#5dea^NR3ghep0V5%> z!e6KfCP+X~5C2`2GEfsRmj1&|W*;1-{_N>5*kIz{IR0e(i~TAV_Bx+c?$5>_cAEB= z2>!5v*#{?qKkO{ociOz}QsNIA9QH~4;VD%=%GSRk{pa8hJAL*U0jQ6EU;_!jQvHeJ zA5s8fC*7t9SW^H>_SF!8b`mf}!2A%{BVeiwA}S$2{}J8TpFX<)6hdvGLr^L{0^j!^n}6x9x#tedzWx8Vcec-V z6;&F){{gx8+;d|v^Iwdkg9sw>A}`7qLVyGeZ%Q&EU>M?xahZn@bSMT4!wiyZd z>}`jBcXo;K*6{@z=rG>+`hqy9sz2sn48aBtzJGG@KhG}lWF?3R1Cb=&;CVycC)tPn z^v8*SKp|A20b=|G&Y(S%bWm__`_cX z4ans`7J!lZWBg(NPzS8x4?CmQzsUSzL%_Z~{;*RV_(kJOj6ZAu3V$Th4?>}-{e@TF zm+^J0KuwKr9meumbS? z@x?t{Kz;5jJMTWc3;dnCbBAQ$nJ@X8AgnG(2k-%N_{-lswtz6i6N?`|y~~K4g@8YO zbOG?8Y6hwJ+5?MA_!{KD4)}ZT{9yz8-$($e_``mmffVtFoih4J z%`Y}c_8IAy!5?;pM?PZwVFSrP6@PfkT`y(xi=DFk7eNr_7aN#;W&B|$vk#LLf1utF z{@OEe)cpqt$_^NhLW{pt_d)$((csTnV4nqB0+RnV>~o!ffBWvhL53YBK%xBEV1Y#u zu$h4Go!b5D_YUI;p+vj`2f;h2Jp2R=iG5%qDM4sJ5D*;v{de{-zw+er&oAy>xOayS zhoBS)AVTp0d?fckLm-dl%s!e-B;e)Ki{CxBJbkNyED1mm5cq@i`|oF$1>~i#bO;I# zrtAX*X%PtP)qRqEEC#VSIO5!-Umk8g0FBX6Xf7oe? zfa3luo)iACf&Ob$f7tBVmvlbM+8=fX>`Q8Y*pN29sQAN9KJ%-ZUu=*TIP$-EOaCze zbLtP(?he`@f&toxXKARyoiM~p#Gqo)KSNj!-;>`@o_Z>VsP`B(&^V;>O^&x@Zf2|x{h zxfW;^fcSSs?2FZ3f1fwy_{>+gNRHV;1A`K%`eGEZNS~-~POxQ;n zut30m|BHWG%)T=IuybG^wLm8X#0Keq@st14Ykv-ZyiJ`T_rN-_FU21r4;#1wSjHcA zs(pWh27J`q+Z?e^;ty{*`oKK*pWgbXmH%>o1Fst;Xd3_{=|?|F07~|;033|4!yjaz zQvS0MFyoJPAIm`YzuXE#MZnnq3If6iGw;&Lj06O~fIoog`MY*Nz#lxY01C14(UU{g zLAIB&U{DWa#0n~a6q4W%QW!$d3->MHf-wL-^u&OGl!`zDf`Afs>U6CUS!?J?8Af>)vSZKR@QxzebKxfgp~1Ypkp;@{Yy0mT*2f&X>kFLnm()BLYtUl{(jsJ~eHCE+jrr)Bc%a}R5NnR+}a z<4^7T^Zr+Be3AHL8At+<&2PE<$1+gbUYZ-}mz;hJ%x?{U5&H;#1N&SBFkAk!7MNkj z9K?iBXz=GMfFR&tglz>-`roJsm{L!!%tAm2J@{6@P7dJj>D$bw;~x2fk2@&;B}qt6 z0Fh8A@%Q>MV}#8B1YJ)|6bGx|<3&%v8U%mv)d7D5L3%w(ytPM(B@m$v0l_ZM8}Tmpv&=!mJ{m*ePZH4LFKdA{{HgxevoHJxNPs`}V$z08K&Zbf&zQdu18p&oejJ8i!i+0V0s`hCh{kDv z_19;N0EE?z6rTj2oU4E^mftCK#Z{pxbulgH>Km4DR(MMeS)0+OE0Vk=yRpU?dzjgf81R$0C=t*Lb@n>Y9 z=mAvNXKR9~?&B3AV8A|+fegA&h9NcviI5lloP~f)y4=JN(8)l8UHWAFDUrn(tQ#8ghDjvbQjF1Vlch(E|cas7Rl|7H9U`^f(q-N$_} zwgMQH|ELr=0P(I};$0*Iu?YN`07O{ep$!VkX4#SyWO#puS@+(CG z7X2@?kJH&XaZ&@h(BiELB*dv`@tnYo?IxXzbW{$ z5iqllWgu%n2tc;LdH`~_!C%TgT9g);GY~Ve1dQ3|VvtDjML)d&)LgKzz*!e8Ntc_? zKtOX&&fk>9Dz7?;WndnHjntFda^Pdk0d{f^1Ch>?_mG!>J z^G3QaVxN0Uf5}(=`35uGIl11+*3Vu&is98C<^q3-1um+;y#H;%UjV)l_!Hr;mwwH) zKgm8X{T%+H+@pNk6`?RPza#M%w*GPNi^+f8C(qZ0zyJH;jnSy~KZAzo0fYd=0QDm9 z0f@9h1mq{#VS17Uh6_d!kOvFE0DPqW8VDE&z-x+ttAXH$r-!Vgg?+RKK;-b36Ja76 zJ=I0IA({^y>_OqczA^#(1fb@B(f$Isl>Z|O%>H+nyolJBMuYn6!C&;XBm94hlHcgQ zgBkIM|E&)7w@Umqg}+dLUt6l`4~qtWt_7N9pm)Aj0LlP_1t3kBhG*|uNaV?RK>+IP z8)1P*5inSDyZ z+)vNJqA(2DR}g@G_{;lWD*5r#*Zwl;N2&a$n%}Yb8`u{%zN`*^Mh4o0A5GE6jQAT} z{;T<4^1RXl=LjhA$LzymEB+D-ygCAgML@j{7?CdbGaz6df`Q?c<~Nv|YG4(7yrD$E zIUq>q=VJB|5FcAao|knWvya`eWZ4w<$-gJ&Akol1WA-%*!13ij1|Ls60bThoRe$d0 z=T)gc&%Pe~ajP>=R#p2Og+HAA;Lw97(J|$10Su&_?t>V6Nxb3s*?%<69`IiSDq95@T6@-Hx`3$JZMxQ zhE#4J?89Layu$r4itZDOj5(?M(#096M}L)L z-y{Oo{O<_-70Z9s&gT;T+=b6U-=D=_lzsqtJch!bi+vA{`m5nDDgXK2mm2=ESHFY- z2oo?CfbIl{EdNFGR{tyU=XwBD_R(VGd83~jNI-B67sJq{SU63L`?4Y+zW4Yp&fA$I zcaQH*C*Y}@5B=oP#c#j8#CYq(q6)z(`{*dKM4J?Y?2hGzlzsdb;2( z92P_NWeC_H0KNYmK6=@9|8q(Gtr&j+`-TI*MgZp2-@(A2>wOW8FWva_;jh47eD$eS zf4Tg3P=B5NHv->23P8|sZ3I+`fDnL*2E>&xIR6Xq0dSb$P6Wmuct@}B78Cp&G~ien z_};0-C(oPP2QV-J2Lqj$91dw@AB#s&tj<0yJ7)ahB7Q_A_`(s6TI2uZIQ(hs(=2co z{<7zPa{@4Dem5I`LD!3R-OHQmf#2TR-^o4Y$^%3nVfr!L_+oFp?{57|)L(!)T(d1gr@_qyF0cuhf96u)x9vYZf@;f@?-NOF#=jx-<~@!x>=+KmbnOjs+TmdRWIG z{4o}d2Bh)1x*cY~5%};E0uW?@`r}u@#6hr^eSjcn1u;kgh_3sJ>?82u8fpv>5I0ee zkbW8V^$0*w{`2au8-HQ>&)5ED!Jjzv=G&gF`Hj?{)qNf6FTkIA2+!0^1-6x`BQ8P7qa>z?b1)M z4=ChUm^5;*$i6WG@H+mE$jsNozMF=><4WU;IrlbA{nh<%C;)T#qx@He;2;1q8gL2$ zO$IU|23aEkfBW3tU(O%KlkQ2SEAk0#R0sUc**y$!5I$w@l_@!=%GA9-F_;6Uy< zwG(gJZCCqfehc_Z2pFUv&i|_Bw-M1G1x+}aeD^#tpI=c{F@_S?)KBM_=`_{oNGGsTULKj;SWE{>hFN#ZwP<+u!o!& z^V_EW#^bNW|K4jp_Ldvn{&$1`JSYSd5G*4vdg}-%WMC1347+HOV;>n|bjbjM7}60- zG02!>@L{4Zj$>TzPkHt=3BW$}*ML9m>X#Pvw+8$P_KC(9VSZPEzu?G+J@XqM_%%14 z&XW8#;4dU#Y<`RW_oc7u*B|)ymp1$r%74IOfq+W+PsSiE5%6dW+~a}+4VagKxfmo^ z|NQX%kce^RpE?2a5RAZwpH=n&f*9CIb{J17235?diwwsc&broP_KgsLs`(X{Upe(x z@V}$XZ;Zc&8(*qD&yo6D1OCiaS2P|!j4vGdj~vlue>37Q-})y-AI}H%7veAOeVG-1 zO8JlcZ=(RzEO42Ctrfs=0?-mLUjz*5fO8Vi$-px5qPK#8p$u$*U_1MWn*;lt7*sK* zE;9Rg(gnL{v%hXOk1m2PKyZkF^BS;J0dz@73jt&NP1Jz16EFk8F5O4$!xKgrN|21ONkwdB zP@3XK_Dz9cmVI>rIKlrmhQDLXud4pS+pfxmKYZtRqWY`i&vw0BUHG#CFdP2P<$pIp z0A@7c)l0xc1||@so*1YJ^4Nadek8L|0|v!D2)*KnOm6jO=z>AO zECj(mG{oSEd$gEtY=kA|_^n*zop@`cAGgS`7^KC2@y&xR1jL>k^=RF&k46rEy#jC& z{$@&kUDr$asox&;7vs-gc0l>>k?4}=YSUlT@LcM7?#AEY?n7?}0e_|P-#rcaFZ^#} zfwSd57lV}Xw@v~o_{+H9GV-FgRpdn*Gy=vD{PluIk@$U*N>WE33yH6uatY(p63XEVeaA7-^AEAcjRM6{E1_~!I|Gx;xE_xVw#^f z+5cJsb_>8&YCxTU8U!Q8m4BYNc{^fU`DccJ0|X8GURvTQ&knN@9>+dLXTUoZf@4*o zV_yRSEB-eu{{`x=kbXeur8mBeQh#ym>zn?v>aT>q%4uI+{f+5*xsJd4hi;gl{iV_W zZjJy<2-swS+g$L55D5-4|_;ayu&vCaV{8e+07V{ey{xXd(mrmx*Z=d>et$(>I?@{;* zYkx}b%k=a^;BOxP+i8Kv2*8yQFpIxL1C|wE`qpT`X)@5VZx2sd6J#;?&ZEQeIHnjT z5{NAEsz2ZL;>_=c z;x8m%clx3E-&p+#0xAIr4*w$jh5B2O0K5YMNM{Bz3V#rZk2h$*%_LyRzCjxX?0d-w zL*s~D7&3)@jL0z%WEy_d_fg}ED)H1srd~7|2f?i?Z`iDZ1-U)=l*{5b+D z>QCY?LqLD}jq=}K{EZWUqcorqfHeY^1R(G?7XjNKh|2^CbFv!9Kz!#>Bq4hkLlR=~ z$3O(dRLrT15%SWXT@WhG}p%4LiBT@U`Iw*FPie?9(p9RA`HAOyiX{WsAo9WcP3A>dA7#65su z9}V$MxYi70qX<}pAlOG&N!QrNQOO}@-;j#DWUl{{EE~r@`8QNK=-F2mfY%WI#^)Ya zG5)&K52f}uEB^HKLkhsm9WaUj3^ibxfChgDmVjl$tG@Lv82FJLRg zApnE$*P7oZ^#?nQA&ZPD3V#9qs)fG;rT*IRC;aaU@i)8w?ZF@5SS4Uq z016k}Cjg5w@YJn4br2EUr!rVi_U&;Aug}-;s0(Q{|j(k+{_v5EO z6`9{k?3+)1S8smTg}=oAD&g-m{OSHz5U{NN1pZ1c_?jT#&5G`mV$exEgE<~@kt>7t z?eKA>Z{B{05|Tf>usn6^ArgaCv~_I|>}wW)S^uk2j~5;O0_o@4o>TRw<4;IG$iNZm zZxa3{7XDTp`#y%N{o-MAkEHL<=N^T=KYQ>ai9Tk+-vi6i$zQN9!C!a!A^mTA01_4f zn+cdL0+#USG~gHkm=8nNuK{tH{-4hWpHGtP!>2`14YXNDiGA3Bv&;-f_QD|GYhPb@ zI}Ar`O>r0I)XfR|S_EMJ`h$x9-4FcLa*w?F+yBB}=B}5#^g|oqHHW`x0#GmijSzsv zB4CMtE(V#AfEIu2bHM}q&Maj?kc}|05BLKR8EsPcz5Cc;im}KWFnRR>G{*p%=2Mo$ zK7Jbf@o+tZD&Pu>8v8;4sOP^MjK3iBwV$|b!rz7#{x%kWMgX?=zUZ~Ty!snX{x+(= zcKnSJfUWAU7=x7X*CPOd$e{^FPPFS`S_Po%i7A)-)VBn?n0+=9F^l#)!jgT!9}Q+7 zG~GA9v~3x;_lFk(Ukv==yL9yG{Jn$pWBkQRuwM`6*(U_xocMFnFYbBHncs${zd4+* zReu71a}@seFZY;T{ha{m)X{-I{oGqi_?r;0yZmSU?{NHM6#mZMX+Qf**S%z(LjW>b z0QL}2!Jp=WI|Sea0%q9R zfR=zQ{#O@(`$0ee4qwj1Q^vszM8m%Gdl=@e(|wrGXVk$&XgUmH9E6?&oFO18fDnW9 z3i43Cvh!vLN+7yd0v2TFmHqK~rr+a&zeyZ^vG4S(7E*JU7m_{(U(ivI=vHh_St$yFDb zeR#|GBli9Ng*|59g|996E!aoWk9;wHqCP0>t;Za@V`#&KeY8y>U{(n_@IfbTU3ts) z_fd_n@N^A;UH*6F`0I>)oA4*t2M!MAw+(+<%ZpWis`Sg@?}<#;%Le1m6#i%&Tk_YA zFj=+w%L>4Ims$Kx7Jya!VT1R-8T={Npc3-r^EUh8bNc8<@u^$jIW(XOyJ%6ek6wXs zjJ)^0G3Y*Q^X#)VL6DK`@P+#pjKa{~ZgVvdWDJ8~&qv3^J_uU&&4ItH^ebF@HH-Qy z;;))}BrPv1D*So%7dYQu{GBeDU*)bB&H1iK{at);Z%z1XEdO=+-$VnJ{I9jZRRRY1 z%MfrK0x%(f0Pe#l2mA%n@8<2-j}PltzPXex z_{{AA*SH!O1;Gw^!RDM7PL-fzAMn>gz@qw_g1?5QzkKaa;BO7NN4@Z;G(6|k-!n(v ze&&c`esk(?4*U(yH|+Zhn*QkGgWQz|8S~qUKUez8j^>Jj@^hd=IcPA5+NrT7aQp25DOv*aEP&Nrj}+MKU;$yLCG#PCdte`)ctwdvPWnL`p8n$YAFWixPRtp9m~b^P3W96wV@askVZ*){e^mmGQ-3M- zctypZo_nkjf1>a=Q_D-I^UdZS9)B~$z76<`KlI`5yiyxq)~fzO0jT%B^ya^v_=7vH z5-|3^8UfcQ07DHp!UE&$up}Vl9_c$gBkA|XLtgsPp0e~~cMPfMi-Vuv{^0`)stiU! za5MW92oCI12w2EHMEI*lA6fIuu!|;+eR0!Y7yg9vO^>^cD*Sy~$$XK1SoJrv^UW3h zviK_%{@!@}@a*a@i$Bf(t{Q*7^EqjMnGJuP1RR6Egn+I1n?(T5NWfdRS^E9*TT3bZ zFqhJg@OM!LzM|>}@WJ_#JcI!B>`Q_XD8YW}#eXDVUn~I2_;Uo@5Bz1C{sjJJD*Sce zPicASD*WZ}mn-}gW8aj0Sea;kN8&H+eTkFbv9-Sf{<7h(6@Xd)%Xi;{dOX65u*w0* zvt9kq03VIyLckUR8volyz-$Bz_R$b$LPlsn5`bs#+WFJV#@=E8Z4!T~^rH<-Hxu}t z-vjSpbs-E1e@H@j1121d49Zay1jlhOX5TmgI4}Ov!rw=)m|~wC`%?Iu&G|l?ZFp8f zk3{Xa1a_t|zqP5qb>Och{B6hIefa>SCIHLo&)}~n0G0Be(SX5aFfHm2 zdj$_gY zMju7|DXo8s`L+Hx*Z6|=>Xl`^l@1TFhkiNEgL12Kq;J_7YO z7Jt>kUt7zIFZ_Auo5?*^Rrs3^f0e?Yz@O6eXRrKIYkwPrKOq21=|`dcrKbL>_?sXA zgZohX@HdwL9Hjvv{Xiw)4`Yyij)3@SB;onPU|&x9Q7VGB02w#CMD|t>g3A!RdHXnq zxH*;PTOYr3)JQ)XngI0DuiX2RbH1-1?Z%(VKK-JXqn9rxT`#!ip!24K@%WpV`EG3C z@8sU4M~0!|Ph}q#4}v-`oTYEpVw0NYW4N`}MbR-?D3ku>?dN5cq>HE=xb~ z5gwT;gCJ>SZ_nMcgGG!q2v($D!bAf>Hw^n|G~ut{d<(JfT+Y|xueIU<>f#W z{+#m_(TDDQk1Z?CH{*YUgCAl0OGW*y8h;}zfYS(Av%pRMS7gC01eDV6$0v3r{s!sy z{lgzTu}hV~wCcwVG2Al<85r5yToByCKDo!U&kMk^`YYfsU-;{me%GCEuI1(GaK2Xo z{zL;{Q~I$l_?uAxS{Iz)PtkxsIcchX7?5WC1tn^QVd=-Au(8Hp@P~yt6~Tmi2B875 zhq1-gz~4L<1i`$aaT2g^F8nE(?*Vka@1MiTHf^}r*BAb-J70IwgS_yXoNr_F(N_C= z0n(2K4!Wg7E&ui4Zz%s237E-$Z6}~>1MDvU&5J*sfbo$yP>=9O(vJuT{IT={`#w2m zLSfSo<7$EgL6&|m-7_2)!3y({xRwio8>vUzz`lQC+w0BmXz91X&Ue+JN8Io7+>QGf zdTemZ%YHathrhb>y;|{CBVdRB)$tbyKp-!bendc)es4cI^aC2{$N0Nt`;8Mr5Pa$1 z7O=Mz3PT1m{xEs#5pe-bQq*fCAhB;&{B4f&og8}Xzx2EAd~vCLW|)?j_QKz0;Lo)F z(a2nUpY4624j9)1I7a==i$9%!UI41n5BPij?j7m@)TN(=AWK5vkJyJ68Oc7}l7PRT zLXRj2ZUi5;<=K~MeDSewt?;*M=bMHedgd!ak6!7QWgon-b-v#A+J2Xfkbbit_So>u zcN3hiGr!fspLf1_^ILMhq6K=x@mG+3=-Q*ew(^b literal 468054 zcmeF4X|P|#ncnY}rYfn**euD1RK-qg><@`OsfzKEit}k~yk#n1GGiQnNmViid!}j@ z1KRJ^zG7CfibWecVzIC>NCM9Q!V3ay17-&sgBFbTyGgdWH^1k3y8HjvYRfKU1YC{| zeY)SR`}F&~y_|FYpZcGte(+!P=l&^nxkN-J? z)1(PKlPC5}KBITagq~@W$}#DTp2=tSOq)1<@>xC3?`=hWlY9FnkK>p+u|wZCrKTtM zkfsPS6EsF$Dt}5(|J0uTDPo^;<~Xz{)5Ix_C~_d{gOW2Er-*Tyg-@qwk}h)~YTBge z5+!zu-YhewjO&}&BjU-u)2B%wDqzS8yZcM zL&DmNYA%tSgM9QN3OSe*7U{z53A&86(9qiCQzOwtsc%XMR8%Y8F`!llcGW%2JFN%F z$nT$~+eZ-+U@6BmC9p(Q>uQIY(|Xk!7}+fEZZ5YfDqw-Y_Nz_QPiQ4%qJ4r&DbkTU zXnR^(mej67HCrtppzf}MZ>4WEln_XGYV8|@3W&K2X z(i#zxMvf@uOe!|ebflX=(rHFpNIq>V1E@X+3ADpP8Wk=ocbx7w;p;t<&eCOgTOukN zF^Tv>%b)ah`ylye^y=ugZE}$&jqzVPPuCGG*o-}s&Kwt-SSu|P#&KX3ww?L+y=VNL zjGR1S+{80_C!Q%lfE_Z?Pf*2^GxW~i!CGKsXs~4u3=NZRIk|L>Nd{GVbp8g0Wz_)x zs#4M;);&BpI5a%CpQ#60r7m>Vc)BHCPD*J+T(pBf@b9B2rdaREJTRZ6+1*zLXr{87>f`b1hN1cG!6O! zECIu528}cVLa1rX3ymrsFt?A}LIbI#L4!T0NJAlzoM@4S)0FN=t92ppHO92L>QWg= zz?jCzp2Q0>Wt)ALL{v0gz-FgvwY1RGXb6ZUhc<7-NtDoliiySG4sl|1jU%$nrgG-M z$WUSlMM542qt-DRJvOTfKGYL}`CLXCp>8xu;*vAkxvcrU{Sy_! z5D4S~yi+Qk(^l9$|VpvhF2?i~My`HK+ZbJD&bPPji3s zh_`x~9J9Ki26!Il$Eoo|4ano*&AY3oz?CCDLVu&j#szYQJx;AeMKJtG>O7=OH^!~V z;T$p?%A$-99)ZWgOb3umbx;)5!n!HrIHzg>=OWh53t2ZXLXg(r9I|~%fxhbdDdoHm z0hU*RGaU#xCjlA4bJ@uO<@(4}^{1U>T%|n;MnIoKUWC&oeG|q}af+x2a;Pi~LdDH4 z8-mH*iJ5)EOmd{IMx`RK#)Vua7NngelRF*)ht$w;rn2qE$r$5vR1~o_K2GKJ-!tZ2aP_K(AA5?-qZb%F zFDQUNJow9={+?A^-hKUMg2IQt`RayEnlF@)QD+keRxO>OdaL?yJViKMN5cEL zKP(L{glToa6H&0^gA(E*{5qHE(xh{A%S0NNp=^?aPC-$$bn-2{T^=fdz~C?hSc1}^ zXsp6ixqKaPLM#JJ+3hKU=zUSt4qK`WJHo|lGAf9KC~fBuaEbO9(5!-<*6y}nWHwt| z(|Wli(at0=KK3WU8Tv>Fyo+(N7`qNG)p|sVh!RcJk|Hh}_`uF(#By%cuZ>gG>1JVV z?u&yj1UhOABCQ7TV$nWn_lHItIb5a|B+`FK#0=ddb)+)OfK2z!b5atmLC~eNB8vvq zz-)%WjOQNMyRJdf7=QtJf6MdMQgoVmN8D7pZ*y;#sFf!hdwFw_} z7EC_#8ifWHn$A#lNW*&|bi9+37AOlxEYJ)NUVr;NU;f(}6Xst0zz=`inI;S>EEFhy z<68|ytRwOF@aCcabo;Bv-LU1otG3L3WZN^Z?IvE7D5j;P!~Ln7mFX>*qw#-ylis2! ze1!Y3UykFcLFh3f2bY-(G)kb1 z13Aed5pZh+B*>8*fYd`xBny@qX4~DcGwLEFXbC~qRdQ)u70v)JR6O-{rm9I!a9&)& zd=;n`iZ@6svGLhQtxeIh3>d1>iX+hqGAvbS9mH3JP;3sIrpKSJ*?0 z*cu=@ESQ%?lIc^&JMK7MINVSpN{c9)N^8Whs7so2GoU4snK@2w7Pe7JeW@dDm?moU zDboEVo+fZ0*1@IGz^mJ5sHc_G;O-K_Qf`Om9o)^_8mVwV(WTQ6hn`Ahb`VN3QGr#U zC6qLg=&`{wUucA)233k2j|yz6sU62Lh6B~)kRUF^YmlSLimc4$lor~{t>DcOIVj2{ z)tT@QqA5qBLx~ZRFS59&&uj#o@%KGvGB?rO!ClTOh%Y!MYe6LwsIQpc7OxQ1^g5x| z>prM^sy~I$Fv*KZXWHexeswqyd3%%z|FuN3hw2V`@jmqq;J>zQJF^3(3D@7Y?kKFe z3H*nK_OiBDSB-WL@6r+$%Y94-28VBbVaI!KdhNLDU;W~JuWj6U#A|?bqgHS0I`3p5ujzygZJ}1kiF0jKo7DIcQ)|>cDA=LI#0x zso+>QI)%)o9US8l)eU4q4{&MjUoD3*Rf}E#t;>u8Yj|;*f^(MKqbS17Sd>OZtyCv7 z`J5Zhr!Jg@B!*(Ru#Ltk?c>EI#|xR1(%7a;u+)eh;#vi13^8Ylpy37;3u{1uI9Nx$ z(9R{qFzPk|FEtQECJhphr_s2TP)4#@O4B7XIh-2{dQ*fb6v5t_x3Q$FsC3_(B{^mZ zBbVw(hHm<*;)2nS()|x(au6N~96>_p2@M&UCm)Ti1tcV6`-=Qlp5iM^X2dY7h)kGQahnE2`UI9a)k4mj1)!ekC0CF(UnA7 z4MS-%Ba>2FD9MCTs*m3Ec-7~dfk>~ zPwj+AI}S(qm+s*qjmJ)m6+N!Tzf@%Jz?=4SXZcrM+lkMXewar@;@1^agDT*USn8^^ zE-fU&ko~BrJ_RiR6Txa}}Ez!Tz%bK*LHc+%VFF zG-D9L!KGXqOaoQ&yp6R-1*jMt4i{(T#5~U6bIq|m!n7m=r*$Q##gwRny<EMoxqaB=H%Pe}mg2#ly$7)327`032FSh?eHK`$8h?2^mGAfU3gEtF#6 zfF=+ccnn5~*T%#=vD=cE!8tkQaVD)Vl^Ca@x^k{AZ6UJL-5av>PsmUjbd7e@1+kUy zV5c5cXCGwd5_Utq*mFdt#Iin5Xz-J_s+0#F=#575CAoWL$#FrYAhulw0xmg297=Ab z^p@CEGd<%77n1@8_B=$y%t)dG(TW)K0KlTF*LOL?)z9i`qAT0vu01Q(1V~y;cs|Qi%7O7 z;ecri=55?H@S)YOvdg^e>FwkzSa?$7{~y80J*2Zbq)RU;#8nSG2soJij7(Kz|Y?B*pWnZd>g3_&QJBV6selmre9eQi&$I?xf{L8gkjao9jT zqrX>&7hA;cfj78KT4yidH{r=|s_{+3sfdHv$l-|nNrbmZ=<5Z;i)67lxKyj6TA4M1 zG*JjA6S0&w8=?itWJZ4Ks7peRg9SMl8A_x{cs;~u8;WhE=?V?^uF98ixN3DuqDdxE zBv>ykPfq16MIk^!YdX?7vMLOS0!(>}l4c))$}uKqV9sjNuZD-7 zdhX|6{@ecRZdQynooOh+qqIO4x_LUn$7P`X*X&)zLq2-FyR3k=dB@w;v`h-(KBa!x5A3>3(_?ZN>u2r?)Q zYEjxmiJ)RWI99;ZAQ=^n*u3LJV0z9C5^;6}MwC<=b^ursRE>i~RL|+_o!dWdcExHk zDS}rPI#LLhr;C_GsS&(Ja&nq#8VANckLM$V%apcDE7efkiNYJQSSwvfo~~M_F7Q$$ zvEG&?9UByGToh@u`^U$mmPsNxF$^w@De~RI5jD$3LR?IB;Lv5)#D^jiKqF&9%$Y+1 z&5Ic^EOLsp6uE6HStho`Z5eUY2}l0?|bvme>sPRcostA)3069M_9{$YKDOIYgY;YUGyf3bGxO_c}3(88POXmoA8tilkJfzQMB+CXb zM1+yc91)^Y8^Br4W&cWz5Kd{dke*Xvut^{@kV^twojt=?I$a6Dbw$VoHROa*`HmDM zDov@KU@W(SBBSE5x7Rmn^~#6_A*3%yr|NV^@Z%e6obna!{+ zvwLSx6%^-)I*E0};Vdn#QITJ&FM z!=M36Wv#oS`n=7S9IFu0);)sOCrcgcCXVHo$qwO3WR}B#jUx67Xa@w+ARGpEJv9^z zXYC02%H%mEZ=fIndJbYi_MMfkGX*I9@KUjgOXO2ZLP9J*X>EU>si^TgBg$Kr(2M!1 z9g9T?0lc%u3euC${)`w=Vu4qgj>5ikrT|2TJ}CqF5LFQfOew&V60+8 zTm3tdJ3}8Rp}~`P^=EG@&?lJ%bEc~|PsG2nZ%D#^C*06tQRkU?VS6j0RNWg;>cVz1 zIV$ED{VMpND1Ha9xXgKIFKS&63}iZ%UZ){yOtgb6HZx=3&t zvLdnK^a5DBaG4p%52o*?p*kgtP|Mii@JYGA0i~Mg^tZUw~0E>k*}u zKo%yQ8&t^@PzVhisltrO;}I3~qn|e}($eUGH@*-dl;Q{>BP~(J=foodTp|LAgd_+^ zAcXH%#ECcux+T0$Rpe{-k%q?P#H5_@O^z5N!xAa{6&lI0dnN`!=0G6-bxup@orM-A z5L+UMqXv{wt*L}{K^X$_N%KrBdlm>k5>R<7(t52vYee2e7#JAbGyS|PFTVO_us4B# zz(IoJD9jfK1X4OYxGR&C!Qp4N4)6fdU#!`ti41SpIUGQSG1(tKqPvoQLQmjmJ&jK8 z-l8cvo*2&x{^QZj>2Qz$I9`t zoiyz2Xp&K#gQ9pV2`q$#3L-ZCg+EG2g~}Ew+Mr!U)p*jGGNg2F*9uZ31lvht21m$} zM!V)9mR1s08p|8RaVd7&2|Fq{$O##i1U5-XNGwkja}1)U9EyOM-B4M>AnaV#U?jv^_wJtR<#CiPvwbc=f3jp-$b>^=g?V2jQS7rO_@jcSZV1Y%|7cOx`+@ z+S$Pc+XAY9C+jek;*Pz&0LqZ`in8%*nGFVW`D`u&b$lOJ_%(JyPW}A1A zke?=U)j-4hM9JjLWPvjyI@opT;H76UJb%fQA=~;6BA!tA8))9M_9rj8R+D%;=9lZ#baYT>@$t4XhI(yI_uL+HTLS$qHG&F|i%_$EWlSw|8 zrWaXoLq!!In*2yZHHmPAOe_spoCe>71CB@CqR>+8G(<}dT8w5mlocUakK(`;y(F4^ za>5d9=S=k_-}W?M4@+o}uUUXsfdb~r@nuJap%UTIm@_i>i3^5k>2f$|N~xo(DS(AB z%?K~X#2y*x_U~3o4m7EkTEHfCF40Bxv+3Zfp$>M)s?EWFX-wToV2092t56@w;xgdJ z_}CLsjYX-kdoC)Mbe7iMHr%WGIm! zA%MBJJi;i%=I{(13969?`7oj-upjw}5sFsSqMkz_MSRi1Q7J;KSK+DIK#qLbL4h>R z?umm4WV3ad%daXplsKBGb}fV_=Zb=A7{+x<`N;J-gkIOuBr1SW0?p@E>l3Q}SL zIi4?ck^as}dN2JgU5rKVguA%X~u z#vo*%k4}yRjtry=%+{_R$+4|in71cDWACP60G*!|6{K095SWDIV4kB-&O%)mWzaRda=6A-JcL?8*7C10A6QO?X*X*(ljaK5q z@4df}PC`eOCnf|K{L_u`mkh&5#Mj6VnE)Z^odkTxHtroM%N7BQ3RIG29_<5q5^RRY{jC($b&8tjRphI zk{m@DW&|?|Qbl{A1j>BKR4@KWqxY$!D`d(czmD*W*r>DQ5FBWL+JRaScPy5~oSDUv zw3XzOBh{*`7R1sNUhJZFF${L4-45g=6D|@|M>Taj2>o1Z(c8Qv=pLv`5nRc{817)q z5POkNqP$^IBqUT-VARbu;Ja&;8BGi;LVP3OEo8P3EgT|qY3Q-XnNr=-B+^$YD&uWW zJz$}TBYg=Nq*7wcYL8#~Hm|$4iID^9dSOZtN9-n+kh(=qj`9yU0CPY!U`u3y9ec#+ zAi_BaOc7wNFj1p`2LFuBoD+6Iy7wKl1f*?cNtOY1@v4aO?1r7y!i*aGs*X zM2ppE5E^|Mj~CzS(i{N-NIv7KgIqAjf1zp}+`k z&Z9mR+aVS>n7t*MPhnMonjm>y`XE!p#z3qRRQg~fADnDCqI9qjdK=$Vi#`Ky1%Dt~ zM-`GpBIjz4DS!|4IO@6qrjY}ROz|?FpH1YFr~<#22*ZAh@Fks`#642ROu^;MbpS{i{OF435vkTgYQZsI`{j>Zei zJPtI$Lw7^ELEF25lybo0p}r*5h&>$hh z1}i#n2uj|G3;O~p<8%J@&HJNXc^kR~g+Ii+bOY`?{i=9Y8P)5WHZ z$D{{B7C*v$Qp%;g*q0Ev)KmDtf&n};0%(K)6(~yDo}SD|&RM1P2qWr3mUBRfBIv~e z+Jl_-Vh9ASR;09{m4SE0-Yp8q{w_Rkr6gKW2nv}YU2;60Po?HeACG(pVgs>>35!|- z!!r2yfFCnjPP1HOCWbe$Ks31{yu^|E*5^pWC5Sfcch`=hl!mt>q_(!X1n&@Vk04O9 zxH_D%fu`QaD!;zLy{0pWH-Wpgs_#n?V%3NhO{us$Ln4Jkr+ZrIAu_vKm2^z%wp~km-|t4ow7|qYl?S4hOD-qS3-R2OXZTZNSB4>HlgR_1^db z{sM2q{HRMLLNAC)4hM;#E0zma{kgw}PbGx@q-Uhz9{#i?7!LDO^$dXCO@IG1i22c&;;H0P4* z8OhUci~G0_lOq;GuIY{83}5Sxb6AKk$d~&=X^7ym9YM3GkiQ$9B33L0pO_bxMj6I( z?$yw!I{aAmN1II2$lpY2pPg# z2G3c>50=*1LFyH;L0xiCQK~>JQ=7Zl-2$Yo$j#d&3NnPGMv=*xoLH5nOM{asb%9yy zDpjsQR&sKqG2Saw5JQ7`85?t%DUZ(8+M>vJRs`gm3jmk)nolNGOE-X}aOGVR(^$1I zoSMeq&h6-)%$k5A8Ri~H2c{ciB%^3`Y2$N>ILDs4<1GBNidrvQ62!(rLO?7GdyJH| z-XoT$u)dUKA3vl`m>>u3pgm^}#RA4!%onEUM-zg~T*6gQx_%;t9EN0)KmuMy`<96f z7)ep+r7n|;tTNeW1^#|?Ff^ReB`uC(R6!Gp1Rcj0y~xn@BZ&};(i<*_!eHC47-Ug; z_kkR}*EV5XmMH`^{yy75!Q`FHKUNDg=DfjMT!(5Gl7E~ik#NIb7m0a zOlRcpqD&UTo|#Chk73k8?U{89H?O7!saG`>Vt8{q+?Z0QDr6pHh>j(k*=J{>luLxC zrX*rN=D}Mo6|GA6P^OKE*uq7QgL?sLOM_QpT`x7Mn>U#{J4iX-5dqt5ULmMA4l-m) zqjyFSl71p-7EX()Z_pIg0E*I36cweB*5}7N)qrMUNbl7ono+S}-&sRwkfYc7vTLBh zfEq#Sm>p!U=Ntu^y4IA4)5u7ulpkfY69Jx}Ytk8YBS)}r&!TG3CobgM6wstdGZ$}d ziy+`%(H?rK^BR#tgTsoryeok&0Gv!gx?gRBUG8jm!#0#+GY4b@1=f)wgy>TeH8c>urHxzm{nEnKG2iBfnqj0DiuXo<o!Cv|sT&6IJ|%=6pz~3H z$e2csT;AVhD*3Eb6fhKShovzZB+KFqnPM@h0?`o9o8d*L4(tc{%Vw^lfYNXYpG#aQ z1Mf&nm=L8)+1H2-)TNl`P*p`Gta$qaV{8dzWpdGVsb(?E#{S%bv`@qa{1J=BqRWcl zN)*665=C-tmT06X0&{a=*H=MY$5zy2Qt?{HQX^H|x)4HF$*U7$uUIVt=3EXRWa{X; zk4T15fH_4=VO<*4$ZR=5Kre!ZL}a$kNlp&9l9@}0LuszwS=0VRfM5G$L=|4om`Kxg zflzVPo(~!O6!8netbXJ|2X?B+M-hT+1iEb`Me+r=h8o1r)3(Q4RcgQ&jMsf$GUaHd zB!Mof90?>GX!H|r!hcU6gx+>oh&kH(Xb>RQcy5IE?n$NqoP+rUIH~Z|qeKmp@e%K{ z&MFI5i3_lZ*G4A2AO0NcmjI^T2nI0g$K@K3@;y2Vc$GLWjtvd({yn}^eXO^S`%D?+ zWbRBymGk;*ob;mfTh2Iw^X=XC@m3_?Bo-J{)A>ka|TpZ9)VD(u1qA#1)a848LtHLRzEAysX=} z5kzSggm9!;atl;7um7|`b&Q9;Rk^WuS5ukZ;Fi*QA0^YvS+cnqY3;e>M(g%@4^(%} zK|!N#FD)uyz!MOpk*_G=Kp@JgYqvy4LyPRg01RH0(KF+?kaEKSbMp%JYnm@i!{mjtfJ4fc; zx_joWBXe&XdgkRJUTWs!cyGfE_w*C`Rs50e9e0jvG*8JAkovYiMhXa!1~KB@I(r|G z1T1poid0HhM^2h^d?c6A8yJadc!OFxd!i9VL7^g~(RjS7T>vYEM&9S|Bp?S+YPf`9 z_>RmoY(|R-1mnSHDXIpi?ja*BXjMfdKSE+;2$Z%Eji_gI#RaeYgeB*|et^0)AVus} z7xV^)J?jBZV?XDPE=M0=Kgdr$1~gM+3};H`&(wZ*htZTGsM|VdPic|nmZTOfNKs$X z98?O%BD|}8AV69P=x!Xfj6*s3QB=5Ee@H??{Ond+PHZ6WH5@JVdkinVwb^MUdWfJk zqjw{1S~V@eIm2L48ttLaEu)_YR7rR&q)P#QjYRv&2^X0h@ezjoLS`ah1$Gk25z_im zy<7@}9fL~L$XvjWydnfHsu0OJLm$Q{;>;1ymShJAR;#E{lTU(LXf{CrVok|QMy)O+ zB%vz;A_U50>J!}j=8oGzrcUxNEYt^6-8jlrILNpD9FmSr_&==G{`4smhb`NNXWugN z;mHHXeRc3dlZHOGaPYGW_x#1#yB~U1a|lI@%q0#h>@8MESD`1+&6*>`vl?WPkh5p| z*x^9k&*Lk6R;Bxm%#9mz=dJ?@%@N|l2uV(a%lEsZjK{#_1h~XraRaVMNU3b_@)1}n zO!GuQGV6Fd!)Xb3JVIcN1;Cw#vb>UJ1O|ltri0CHfGnIhS>Nd5zm6SH9wyI%U3_wLFqbrirIKXe}i@@X;ImUUTGGLQM6-~j(Q_Vw5lN?mcy8IyHt)_K8%ee31wPr=hE#@_n8ezaM)4 z-wn;aec-8I=m!g!5V3^B+7S_f%eP}x7#h+$EPD8@GZMxx0@`?oeMA`B00HMJ2mWiv zghnGn<8%5sIs4HhKbeu)&M*o(0*To|bx;6{k`E>9BB&aXlOoPRMM-m5X@F^{?=lrF z#>v24N*k&ppL7C56d8UC&IgJcLe`=(6RDRFJK}iDTSIQ9Fm+U4lzOoUGnDVN&c4i9 zRj5tMVg;8}(57~Tqa`^JUYbS5XOdD7KCK~|I_Z!oB9TJ?2{m1v8Yo@k4vaY{<)GG< ztkA%PnuFC-#5uVY*>|`9BZ6>-6E%uN>aE zb%<|}?im`w#RhYUzM?t_E`#=Lm=>`WgkhXJv;0H?7J3%o zMIMkJ3;TqFU(!D6CyD;GvI!Zg~xZu#70DfMNufqY08)(_Hj%4VHf_FP({Dt0|1V#8}+?F$$CKg;kZ<;bh z-_KjSxnP7|*b-?~ATX{y0^rNwgFq6|1!dC8^z$vi9Y^*QB+#%Nnh;2uEu>TcXo8p# z`H|cpG?@T9hXfkr1)dq?V^TsuOsbKkWEzUYtFs|D@*^#c6Z2>#%t&a+M!DuG)*@3K z!oc=`b}_PUxXZ{H+BonSUW0jsKO0$WwrWZf4iY9fcLRHgO13%G@79ubkf{x^X>$`N zvY<}%wKVPA;nMU(2RYp0BF6&>rTzO#Y5})!fDbR{Mo>C1-+Sc1;Hl$5W{EAYo{hstg2P76al{2KgQD>r zl;*O`GV1oUxLnjAmrKG8+L!}1ocL_vD2KjiU7=z^Hn@)l2I`v>t*X)ROWRV1? z<S(*b>#<1fYF>)&XMab!mc><3d)q^okrG(8x65Ei+$Wi}~HB56r%m zhmD8@dv+-*Xda|$Dn58YX=pEnY%XZZ@HYG7rt7|+skoqy8c#9EHVy2pKF? zN-g8<7!u%o8iX^Ms!nYLtd-Kltcuc67mWs3RS?P+UnEnr0L=?92^+{kxEjY%gJw(x z%`W59ERlePC2l$ZDf&1DCAdTmb|zlPaxd(Fjbw79`jP2U&3E{<1(jNnOr^QJn3fx% z*EhTejfJK9UI-!`d?pdSoDqVk?v9b#k%=@YwY^I}Or=aon!X`|u)AB@Hote^UKfGN ztdu5@-cT0&?|N$hmZ@i2SIrc<8s5Q7oP{u<9&W25M26MTK9q4NXo9o_s6CqyWA!Gm z9X#jvpeOiupUKx2Gv|a1e@24{)!;hFPYyI9%My?w9i>2hCM;10F-OZ3FB=@0GWZ!+ z{WOEa2MsHkbyW(JIG9t&HomIl-(N^j$i(4Thsn<`8vgtuJ&?3_&_A-n+EIPTpRdI# z5crZXL4Dr}6Qm=&Ve}um933nOKo5@(0x#+9>fSt=S>27EuYTokUkD1kqB3V%d8ZXv zhy;o<)PWtEc??6$37q7hZqnkH9yl&kD{r=0;p6}j0>E%rie`CS% zKYje>*T=?2hPU2%$5#QFE3beHp5K^pY~7m4S1&OVeqJ3m= z2L$IWJYn<3M{Zg5MIiKh_b)*Eo}rx&u3rG&g23n+8s19gD=$BK{x?p#^pcMj`}DtG zWAmc9C;aq@8@U=8+V<_$Uj>}cS$M*ePuxNdhJE{+e?x$H;-{;q5eytuf9|YaWKvn$ zhju77PwPS2_8rfSjgD^G{K!4)Ce58G`)PS3P&LeR`$!UUXlE)#Mdr*>J~q=tTIupn zKmhDzmXWpxp&=!Et?*_dkxz4A_G-^W#fjk=biZEPt-T|j?jju(}pYu37NgZ zCa|5>OOJw!1j5&SXVS)vkL(@ZzT~ox62G9l=dQ`ESO0Df>rRUoe{5iQ8|E#Xb>gO19=`Fqzlu*?e(A|W!`tx3nR&#> zk!9LcZ2^!er$cP{s#5vcP2f77ND)Ga3n6ywP-I~`fZl`yO7jg3h~Xj%Ir9qiLB^K=M0;ki;6eA|$_(z?u~~2vc}Mj(*zbaI)Z~m^1X8vpFt-UJTnO z5t%ad2>uv9&<)bB=vo{aJJp0{)1Gt#CU{N8Y$jty34?C;(#+9G`)#zBp+r%>DpdApPvLOF6FRUf=hYu_yKzw7t z2|zu5ea}4;zyH9(t=peldd0^uZ}sZGUeGsg<;qjwqRO*oj0dQZv-tdz9=QMPIn#TV zF8KtFaN&9HzvzMwU?dH-;);)wbJ+#&$DXUB3e(5Pfq_HvZ znaH*3#xD^yZoT=74anq;% zi5~HF+I_useZ@yBif!RAjNN63C~{lW{+JL&%Q3kii+U8=xG z?6_w6XGUKiL#9GA25_*J)!%cC?;0RU(ah=EtAse(f~R^}z6@SJKnQ0_jY$rnAU1ah%>Yo~obAA)hLs3x5RsGbzwxMgD2djP@xt z_-mwxzyu@SN+5i2$pk0D6=m%5i4I)Cg+N6OMv0aWWo8+i^?{~i_V?O^kl?LAUHHk9 zM!@OJTlRi(#;vQO}XWRYn^8!@FBpJe0Hp^VQ$l zl-3JD^serMe%PW0?uOe^njB3HYB&IPls4dy&@eC0mf&c}_iGW$>?0sEOHb?XJA2p8 z=NUH&?eHj^aCG#lB_9DNr}67E(>&-M8@uSd_v3n$dR0Vz0q+W<3Qz!yTzliG!z0^3 z8%E1O6J)~4nJkCyyzQpH0^u(*^AeCJzV!;7z5E_|?oV{6t;VAPGeTW|W`B!DmQdE1WX8Bda6zzNWyZuZRa%U6C1 z7HF_=K1*0kqoyigu>)>Q8@=el_iF`)oasH(nhFvos5?Of#%oue!o#kJBA>uSXd4{f zxpC9OT)wvB`MXwsZNW^fEM2=oF-!4_w-uO__4m%7rCYF){MScyv-)}!v$nm!o;9)9 zF^;IGy92l}4%ZZe8>jQQ?c1McHUxT;qYroY^;~t?N4P1kkNqZtAF)#_UxFbG5NSzpn83-2^y3tboTY!f8T-~TVGsurS2&# z)ClCK0l8d${#X!lAmFE&SwTAOijvJx9~dVt z96BZxO4t=a5MumSu7!iTk}X&-(c$o-AnsUYK82V}6KtU*N0Cwo{{!%OfJ*P&L5sk_ zJ%f7`wzyOj@T?(ESUEJ*MmV^zgXE^4(4*8jE2`sSK^6RhgESpmeV$>MzpI%^glHsY0KV1Fo(^!9C%Ab(2(KyTkT#2z2D^Jllc1jPh{KQXhl3wpz!y6&j zFaKO9F@R;OrAt1FE?V-@hps>%VTFhQw$Ol7nP^A=BDg?ILnVhm2|ejeFF$(s>aQ`| z+PL{4=vl{LVMKRl^z}Qw`8O~+Zn~1btyf?Ek@SQ|A6h~SvtpJB0yhX=WKu5-=ANRC zUh%DOo=)IdH2+^`TrIN|iQHl{#%+1!VVa%sJaJrstWUGZpV4BYW8c0_YjK1F&5|-j zWgg0RWvBOSe&t7Ztp4gfYp1|Ibqe(w3 zbOyQs-G=^#<0}dn*b@bk#*vfIfUV9ZM2r`>%Y`^(O-?Q~2KM$VXHU1m^WJk89FGgI z3ISYzIgdZSYU3*pk-*0)K>7Ao@T+l+o!-T0Tp9c;es<}dAJL4IuP#TVBy+Q0a`4+PD$`sD2fcoBG#@IWzKYtgCJhMGVV44FNA%@K$#WmP_nMx!M(qiWln27-3*L;RCHjPZn z7@xiR3if-}OxUsY1tgOL z`$TWD6E)!C5R!BF_k$E8{80;S3iwl~fJ~x8iiiT4Ac)4+ z5;9_TU;(lm$M!l>o<9|EYORL9rT;v<=EuXo8exA~zzYs1M!4|cCkP7ov*yFQ4|@gx z@~^DlI_uHx1cHPfEdh<}2CEMP#2BIJ$q4%tLVwK*1_y6k`GrRwSteurC={i;z4guI z!L9L{RgBN3IBB@P^qn6+{hH=1{3-ghC#eoCC&UMy)d8~D=rg?+G~*zV{+}G+U7qMg zq(bA%kkRWPrc{pahfjh4q6{{ybd-}&df z4-6q#;5AoY{_*+qPh9`N0sv=)Rv5-u{snMAfJ6#t5X)XLpaK5y5ebl%B3alsjzt)j z{Xw&H=bv!)LhbH7^6(Ng@^|a1za#`)bL}ZC=>wryr4<;jey~Dy!*cs9u)vUeY?QT~ zY({`)H?B}HVqJ?pP{xOBE+Co2k2YM&UdfJa&#?o`A{7Y6vW(GkR2brgeb_I)@PlY) zIDPh_6SA#v+4&!IO-}~BzxTj=ARWVKH=_RN}&4+uTWie#mA^AOWErmT(Drq_?_EcWWpk>_Cu|(XqAaw z+O-pb@#RZSUbOJ|d+(hzofWX@<1e}J{fxKy@A3;js9K!&0WPn+>?4a7obbQ{3urg) z4uOnW68D|e721D(e-D!~7WSzNF^DJzBc(_~sba^p9wu(GkU+fRQ-CeINGq1n;A3;N z#-*8sLUvydNUpm!`uc_Eevol^`o9xh32%ui+~eLGpwT(n0%7 z1h`BHAfdy)$p!?To-je6VfjdlT@EztJ5Z!YUh|GyU0EViVZxz-#DUOp%;Xpq8R~<< z!T+rPlUB|kxX!}M9tQB_9I8^lZuTYscELHL@}ZG+FAcnB)vKF#4rV<^fyXiCP(qR) z2@rCL0lSBHT`>Rn2iMJ0H1TA_iae<>&B3J&?g9C| zD{WSKNtr1%YqpWVh>k%QlW`IN1p@E<_Fpq7Wj*29CvJl4vdg^(5%(S&`=>RNujqQN ziRVccE;_+ML5je-teG?LCaefk#>T$);6gIl=KlWu-yn8C%#%64+OwTZKvlaEGshd2 zwbWxhdfw(ccl`#< z09*L;RH)~!Z+!(Jn8a4Q2K+O~5f>Id_2kU}J0tUG=S)7fjqKUZMhpf6t!zv%vRyQ@ zhe?Xo$@Mq7;nB;GlT|4K9HEoTY*@&`(J>kUbXvLmQzIk0SOi1P%{QOQ+8qsB8;T)u ziTK~X<11=;o=1B5QJxfH^bQTHSkJ$3ukI%DXZGB2`)Qbg=qDb(fiFK0!AUE2$eb}k zBx^N1nRCH{6A9(3Z}>7nnSs4V8h#`RW58+$EZv&DLpyn{iHJ;$lMpYykHJq4*%2V&!!RqCF@AiHa7ayW2<-?iWJ&m|K{qyVb-whs?Ra$P=inH zx$*k{wdEH-((bmW3>w(8_~VC2gYCdINB3AxlztKk^oBk~`m~XsMAGxLNOSCvsZ;ce z(o7kM4vHgn;V3)T7Orh;QECREZFFQFyK#Z-0|xU#Tqm+pq#avBA(+{g`jtL(TxiHy zo+8Q!e0cB+>wbCW54MLfGMO?o;sX;vv@{Zt%G^aHOE3OUt8e}y2?Ca3Tmhqm36&r6 zkBT7BOGzU?-mnz9|FP!PyMMl0@x}2DU3ymPx2;DVsDM7eeW&NxHQbrKl!U9|j5rJV z0dUS4p#j}M1kT8%;KG*)5J^Kx50`g<2GjKuZwU?kes>9Xh72r42(ZH$MWC71d;PsQ z925Lun?S=$FQ+grWkvvUf{?(4M)-h+SE{uoff<|uPraKUnkE(&Fq0exv|1^et#piM z^&T6@L?9M25|cW=F#!Pvkg58j#RTLq5zs;w<6dhaA4_0|h6D@sWqBty@P1r^lmiFs z4BFXu#-wk|=$+5P5fcPG#;J{R**QZ?Nq}1@sR%{TP((g0&~5};I8?70<0yh2N@cPP zYol3*cX19JKm_njNWWRrxt zBGPm(G;+|{&;K*rrH|2_vS{NztTiZx(=y&4$|f^plUWh+L_l5IENrrX+oZ8!67bj= z^XQ>#{WJrU2zSV&8TKHSOu89o9e#3;pn%e((`dTHc(43J%q982Z2y^t*(owIRp60S z(i+}-v8W8-{flhmrw9*ae>p`|C;6OHwf>3Y!F+7M)Us++H=qG?SChEpkyHVAcXga*;&AwJ zbti~8C?EtuPjB7Dlws{JjPl5_mcz)&A`(cB2|PrU2zBS}r=LInT|2jJVfv6J%G0o! z2pp!-6k;%Dc<{#$t=PVO3pIUm>+b({=WCmGD;!X&&wALT!w5NOk$R5Ta?{`BCU!tB zN#~H8cqE^0&zT&?Z*p+cH#miiQu;hXzyX{B8a&-cOSDS|1%oj3W(;TxsbB=7fE2(- zzykPKW)nyPE)WB#kGU#w5*0*p&uy>%k@V2+uutfY>%`k05rIcmZP1 z7T`;}Emyv!MS@gh$CU;!jF!+4xDK$33wC}IG#doK zxHlBQ^JHq+pO+du0f7u5u!Kz7%egrtbK|4N)bXxhQ{gHF=bUd^!@HUU5 zD4yA6-N|$z@RPO~CnL|l6EoK6-cu$RU;%1ED+_B1Ip%|b1c2>o`kp#>- zn)uRjEmI7XCgMQQpcqIm(ZQqiz;(vw5GR6w$$@AF?FdnT@cy{ayZ-VTEUFX;ZyH$0 zi91hY^~q5HA@CwoGXl53l)6#`aZ%wQmH7oZDyw8VwL-9bR)*vtY*1)SbB2Kj}? zM$S|dBu%C+1I~x2CPGesaNB>s^RYya2VegAhNpgVoAf%s#Z)%L&-(s0We%WO zMVz6>9xiy=mc=f|kweD2j7jKP^mBQKJ-7AbZZESV^lHVxt`}Dg@{lgm2Tv9lCdF;# zdiGxuIRqN19r z*n!prj*4c&F;LXtsVNBuyhsqfT_VkFEx}x^%cnPS|a&&_?ZyF`mbPDm;^kF=2^n(Q92um7?W2(|W418w&Q|HpIwp zN;`F@YHkqKv=Wfky=XHFZ;m58ea1IM8bwy`wb(h_ov;uaBsM{$gcY&4UYg&9y>vn- zDN1NymgIFma#SN*rCZOcn(c(eT%M}9HK>$yVUKqlFr(5a5y*|5#Yo#pvml=wo$KI7 zk{!ZCuf?lUWfENn`Q^m{b$j`dav;zkzb^Y|7BgADxt7pCf+Ls=R!f2xrU30&O9EIH zeURLH76gT0b+8>qLA|FTQOzazFX8?&cE$F>B~gC6Ey9T`d6p?rBa+F1U0V+>xj{CGxXy5|G@Ino!QXJVcbhzs9(genb5acW{F=CWp(ZTZq^1%dXEIIgJ zk<;icWH#(W5F?FFM$QnFpil&&w;Oo5Y?fdQM-*|!a#pU8FAIGZz6I9m%tG3`j^Hx} zr1g*%V28%i1nJSp8EMoSf>=&$LJ{ytk?5^L(ZL7NP%Uf&!lIV(jpLjeIlpKOmn;Ew zJ$P2k<<2_L(@>EX328Ud*x>5ReliugfMpt=iA(d+#SN~`eBQ`}^x zag=ZzgeGe^L1`|Tvv5YWf`aj!OJW4T3jzny1HzmkV8a&zj!Yj?7uK4D;f^CM3JCCh zM2=X93m*SVmo2emfjMuz@sKT-urLeInSBZc8V&wACu)e@?`}sjnIymjLW5WwCPGXt1(FuE3fvUGbRL)=Q4 zc6kzX7|wEkJ4K4{I4F7dZrSt6wk1B<$5O_T&02>>EgdvV_$ViB$ zTbj3Y4Otb{A)6x$b+sP_6RaJ8ZKKJy@5oURGHaCuIoIq%1r@HibP+**$t~E zA_p^s+eX(Cusl~%OIiYCbb#!qBrg^lVOVXLR z$y(pFm`a*kTr77qp$l=SYtaemZ@!yYj%{HiE!$>l%b0_iDVOPWh)Su7>hNBrt{0h* zse%a;p5v(06NJ|PA%j4a?&RQnP#1xb5aGF08cNF#nbwXxtZEgBB?=9W4nQ#>KnqH) zrYr0Dh35ze(cy<$AuvP>-ibbebWobh4CkC_Vh05zQ-xOjNxH4J5 zuwU_B_Ta#-9h(UvOfj&tTV`Ni?@(0Z&;QBxPu}&*Tb|pgeG5Of3Ox~u1CwO=A^y_k zN+0g(&*|ix@ecf5Uf^kRe6aO=5^^8AVe`1)hR_uk_JK#^`$i<;00&UdrM%C>TF6vd z#)QH*BSs+(bYMonR>XCL94&$-13Q;NhOl!k=xTuy8@x4g3g{YvK|3HOH?QM!&@6|L z&A}*WpEUIIX3MI!H{AjA8U?VSV@Aykykk#W@*=^3d7;<2D>RT^FpF`uGhLU3v&QL( zrY_;3C#N)*8=U(^tD+7g)!Gi$T#~P)n5?(>7E{Efcq_a^hD_a*is}$hWwn5tLTShG zcB9pRCQbz@r6tKsw;_SRP6cgz>loyt8Z*?XGTFf}$0KX;Vw3|}7PT-&wWxt3#>1G- z4CsNbs#InQL>Z^O$Wt~H=+%XwY;ZdmrCGdwxL@~%9&5FZCwDNhM^Liamz=YwkXQpy zCn0)q>3O8$SyRW?z~MbRM=?;EOhbAZ)d%D~PuE<7ONQG8aG; za$bG)nVVL8o{7T+^ZxYq8^65%-nozcWYzP}uKU6I3yDF*f*);I`P0X4i9#(>Wd`Bv z5`CDFuxg~hr#+J=x9&P^{f-Z z5M5en_53n5#`WTc@!#T8)$d?$rSjS8=D`F2CwOJxl5=s$%M3Mwj-Z5Bo1sZ)XrKW> zu!1v(5XQ(E%Ajj7C#a=##Z{G-A-52+7yt$u-@*$)z^A~75lQH0l+*a1r-tSTThb;G z0!s|wtYhD;4J3CTf=V7Pnp3tvA_0Na5jiUAiVJS8BC{}h(`X!kZ`aUe-EM$AZ+=B!oP{)UfN=n}<5QI-Ig~;c zE^?xpOy0ILR*Ri!g#n*6rSU3agCMheaNbcbI8Ovrsh(3EU!q2xCcv(#hjyQ}E>xpN z#Cm2?f5DleNK<@)y)$vpHU#sF{cz)$zx20^QVZ~T%jRd+tUcq}D?fSByyMQBf853M zkLLlQ7oYmBM(kdDIaGZC^B^!-4-L?-cie^tpMGuNyvMhn`rU2Io+c&;@H25B%w#YR zbaxh%93Zs**xuGObsaq)*SWAXgsd5U%Mf_MGkd*{5m=_y{6Mv)>53BH@g zm5i*PX7cJ3>NxmwtkKg)n|AD3`t3X>lh`v;z!p;-e|5^gxhNs8H&%dvH$L$loNxg{eT3fUZ&25jdHO7mfvq2trgpfT~7l zvlR`nB^j|KY*iWaBa;vy$q1R*vyd2(px{h$(qfWUb%~z$8XO%0l|gI?vGJVsmO;G> z8aBuH8W$i?so_2I3oVIw;)Z$XqQ0@VRXOSe`))v$8rvbRj~RE?{YpEhxw`0)M#tc$ z!^IusjF6a3LI-`OE-R^%m@K0Uap{MO3Yqj08nO&k2MIFCEkR6CU0~k876&FHo^1&b^Ui$S1F4YD77rgt|SBQl{l2zDIHS%O4xn7P2VE#Fyv`i1k~ zwd~?QyZbv6cx!m)&Q~b?<>sfi?${)B?L0SnkO~W6y&pkv0necy+|5^#Jk3xz5D4>^ znF0xN%JPktsYJ)^$fOg-kI0e#+TDtuI)cc> z?3nR2$RwenJu;aZ*gXxN_TS>ga2Z2zd5R5x~^v<>$Dh}5M>5hMXc04N$sLyq>GZj=NL#SYIVP$XVQkSJ}sB+aIxG-LQ^j{yStL`jDdndfHF?TA%ohbCc;hN9U; zV{4(8v}!P9y35>0aNA0|&4^^0v1LM^X&{LRNm1L5kw9<6r-vvm_-2tvf8gvMh*eZX zThf?~g)m}3mXF9JCov_9Ho|23n5|7;MLr4e7USEEPM-{DXGfUZ%_T?r07Bs6@Vbp7 zLSli(Hb_g1Xk=WX8u}7+@@|OdEUKVN%Y#NXMiGI7e1`oLanyXvL6m>tnoN(=%Hog6 z{Odd!!&9omJK9*HIfYR@AYWz&RAHi5mhvWocbS3xtYT?8;D~@0$h00sjspsjF0+F~ zq69w9#HK?5$eTPLNCa#Hq`_V-{1`d0oMo9SFZnYb1mb03hWf$JH+hYYj(PleYwkNQ z!lc{N>*BWAeZy<$Kcoef9tc5@9$xx+bFK>bp@dihT^h734sQPi0cufkd@w{T4o0K} z1%?+P4Di(k_6EAZ6hfek*n(*;C7q*Nh~yYv3dC7#qg0}f$q`%NC}7yok_u`l-mwi7 z-S3c=irXzA)U84SywQt2#dt3VQPgY;J(t2jZ#Rcgful|IM%1ObCV39Zc7cn!{d zI})2D!7YO{>?f}29{Gm0){99oRfc(f6d|NzPoYU&YHXEcH@K^#+mReDIT{O@%JHp? zoyF9;eud2_lE(TPAjG~AB}RzeI8SxR&n3iUmP!?fOQt6{YB2^L4hJgAhP8i7ng9nSIXH_vs741abHtwFJ05*0$6FOl1a$a&r-T4# z81QSK8r=3=0JP5nktPVQ6uO~5$*+Z_~`0zeNL*pAF#I|(fdWC02Zj(uci?*R4? zkjU(Sv&4F{n*{2P4jjD^S_Jns5GbLC`t=sTOFw`3l5^j4{S}|wwsSK>ZjJ35*&AWU zF(s3mPDj$(qrU^MKI|_r(>c{Y{S%EO;#T-09bWz4(_!+HrZniJv*D=YfF_2_!#1H@ zfJhR&W2k{2nO^E|T95-7qJmCfi{e5<(TcGFkO%}rba)2Q!QTDC#J=nQ1n64OhBZQ*$R$&~*PQNwG0@1QSYOzhAMLX(9I`vv~>d)nC%VDSg!XXAjtk@*EXUR)}xOnl%X z&$Z&tY&3XxgQA3wLgNkPj)i$J$xosps=d($AV42p*!3bqn=kyf#fLX1^660=VBbsk zvQXd08{(@GOBes=>#q8w9{Z`kw!^0|-keo9`c|cn(Oc4C=%Sev7w_Q54eLsgd^R4z z(8w!JaS(Z^ktdUafD$fN9H+XQi0#ydi{f06wYWej2c>cRNRTuSeK8}@5iGJU4HRQl z1ETP@)p9Pur2tF7t&E2~4v!@e3)?frsAF0#1H~-kq!eOAC5>2N{+#l43(|sm53126 z-kQ_sWl767_%{_4rS3YHb&mp!VdtEqRV2Jc*Q~M#qsBBy3iD^Hakx!16vW+;i}buX zrICwOiEeewpK1!s3u22M%0?_Re5VxDJhsn@R=anJ1?m6*pyRuYF{0-E$52K-MUd&C zVn0{hNhpG7mKxRQN{z^YopS{0oZSRexUX`V9CgdgCd|uF90@wI;6sOGs*?S$+DoxS zN9I$uSxWa^M^a7=3QG7hN(lMRl#}5AJ#3-r1L(^B#hNR{lLrP~x4x+5#DtBq*f+ z(*sM-XFQ(=x$Sp>V!AX091w?0=Q0b>_!Bpw|C3o<(gVVlj*oMOpfGwc2?`+uSOZdW zgg{VP5dh&iU~RXbTT(ugF7a;Uzy<&D}5U>^6s8hZ_wP$Ns))OWAi3l7B z$VvYZ$orrt2Uqu2wlE^1D#vGMYA z|NVXU&L&2b9b4}aw~gR8dwt$``!rhcVo~}t-lRmGBNTAL-r?WHaa;E$mkD$Zj z*Bqe_nVi%I1RVBm(HJ!JDSi_8vQ~=fLo$H^$#D^Kpy3jB{925G_X<8R2BRoaBBhPS zAX5;}a3_K!DwIj%evH6Q6jhdLbA@;F21TW}ftYcusIv{o32)4cA{aH%FhMiBVDf$j z*Hy1pD&c^003Y)z0UNu}=9!4^bcBnOH|37|{#b(?ht4D5d9+iLS`3=khQW^3x?s$H;qj z4gqx6^h`u$V;U`}OJ;fw=L8@+HuyQO^U4GQv2;sV&7U4XJ= z#5)m_^xm{$N}hxACbCr26)J&zd9KO(4t1^p{@X~xfdU871YHgaiaUD!jfJeXzi2wio=08R1MD(1U|NdU*Ne=e%dfj#t@e;4;sSGKpx9554iY`)1dWF+(~np3=OJ z99?>$02eM!M1b(BP5~2pH*Ofv#+Mb4ZazHC}SWRgWeo36**UDM}?Xi%7xt z%qc2OC>M&ri%TBdYN};7?$Z(iRJ_%4X!8s9MCPN!9*dz%ds^u^w_PlDbHBZT2S(06n@R2>4PJMW&wGHffXcJ_Kcx(1ewTk@$F>Uw1yN zptnsSx1OGpPDnSCb9r@z9Gojtc>GsHQ~=n<-d;Z`*i~V+U^p6$S6s@B3en;fKKCq|>$qT1!8)*%X+ooKt*0tCYr`Id zFQSS`u}ZpXL zcGi79oKp&nibKO}O9*!1edPSaPR46$*yYIGdeTwpuu#j-h4 z2|#`w^)g(XTeC<=jX0Q;`%BxVF^dZ56=dDQxkonM&F+Ts4GoLh%||AN>1iOgn!*jz zsu^99JWGQUFq2M#Vcc}9)2$~Efc!jSq;95prR0!MH#S7KM7j#4B??$tBCI4-`OueM z?Kwy~zl>zVnt0*816)Qqp2(2q2yTZF`S}P~6j7AYBsf#b%1>8l(5exr-V7t%#%#$% z1wX##U{PL+fPe!^rXESh63(DK`TC7czapJ{!UUm&MJhr-SRkW_pd(${Yc8*|5eqb5 z@RWhgg$ZA;`wIKA(4DFFpV#2uvRJ+(LfPv6$lZ$s#(ocUYx(%^IT$DRLh<#dlW zU!Z$rW=)63gE*^0GE*?-bBRX?rM()%8UM-wu^cvDRvc4n5gZB)u=w>=5+&1LNK<}Y z*ddMN9E=Y$8V^KJ1o#Jh0F$r?og5BuXSlc&&J$~DxPeT_lNEKNsN__f4|0m29>_08 z7o>yaI_CN8v>5#WY9vPv=TMT67K58i>IxH4lu~S?XwjT;fPXV7z+Sil^=-8$CfPz1 zbyOSk8w-s_I&xAME|JgCENsNGyj9r=QTmRAp3-vJ7O8^dZboQwk4PXh%?`cqxb6{Y zg#br(rE5F;_90o&O}cJFYm1>q1nE_&xk`GL-tD3*3fTR`GB=%cN;$(@I#20Q$k%`& zWJDaL>DBs;X)h}JQLA8hCj>YgB$VV#5nSr|b?(sMJ-$FbK9X&M(2!3~d?9$A%aU13 zRUN-5mq_U6{p5dkz$7@9XyQG_MKU%|HCooXi5-J3(*DH{O$m%0c~>lPPNkh-dz@# z^!l5>WpPmRkg!`n@hlokP!w5y-@xftT8LhMs4pp_vFjrn2bK1RXR1;*h5LbRX#eb* z*RFbc=N~RP66j0xJ-Q|RlXG(cd6@$Ny&a#@QTGw-+7(0W!Z@bfA!D;(OU;kxA_ReP zd_js|UrLcOjV&5Fg6bY>l9SS+E0=*FV_k3t>}rXYP7dtBy#icybt0J!ri8KG@K}RF zi3kko=k?}*1yO?28U+fCClTFP-2`?r4Z^CF$K;5D7da3F#^+Ya$_#`$z{U6|4Sm`t zs>zX@YJY%~%fjWxY3cn~Vq4giHW!VSYR1k;jy<^%(N0d$Vnz|zS~5e-S;o}mJH)m= zqZwT7ArO$3g>`-bqjG$}La$3YsICr~T?x-F=~0wIwlBRNwL*K?VNuuhrWpMbwB$iq ziV`o<$?4eXy}ER_hl}u0aK0-v1e_c4%O1xI&bW^{xm^Y=xDp3j1`NnKB0uadm9vN- zn{gUb>2WD71bL%@bA)itNZ#~XZbDQfv@?mM8RG%w91Qy-fqW7=yi5ezV+;awC^~o< znR!8$#mXGP#1RDxLE+f`J`$6HtRwlUByf*e12YAJ0{Ngk7)vI}-vX-s{3-POIF7!9 zH^4xB`11<~fB3AwRwVvi`Bu`=h@CcGiah1v+eh!Z{?$1@+WK3n@H-bXd1Uxe`ltOx zBXDmwD-hJd65SG-=7aG>xpSTM%em~xf_FQ65Xqh^FXIq##r6gu-3f+*ToyR8@E`^P zGb^VEAT2DJ3shiFW_U$5w*rnaCjf{s1p^H!VyW|s7#8_KV6oIFKt2RiznMo)x5niE zfA;Py?ysuM6aAaSzUx-OzU=y(7OQW%KYcz&!TOw2eJ;9GtnPE!1yW+9I=rZ=-#Aq72cMk#qN`%^L;`b+6J_P@ z?YC*rWe_uMsn}4F5-3hJ8@D>I<^tDAtNqk^ifWnhdbtP=k5;jd~14>2+a;ihmhDBqY@}W zFMLjjTchKsSwrNW^>jpib#t$*&{ zUGe;uY@+wx{ADUyS)E$@(D-$M`i$Dp5xT)wo7Y*oucecY-L0dwgJ{yD-PH^9vi2Bs zc=ho?ISdq(1};!XF6c=A6_}$n$x>e%dB_*ofFr_iQFECl*D%d3g-l_Nf}#uDnvj$n z`)J_-kdot^GE}}@s)X3-j-RK2w}5nrJmPA{-wmy;obOR!V+2EXRU|PRkX!gzhCESc zIz%W$O~O$b9?DtCGn_pxpiXVBoS_QZppXwOK<2 z#ZZ)3k%Pn4z%*L%kxo-jYc+0F%)uok3(>%!1}Sn1RwLcwbnA6a7NzGqCt7s)=;wa+ zA-R4r`Pmx&Zj>&?sq+;_xWff#MGY?GD3*0+FamrVg^V^RaHIAIg zU_nR7ctLHEBwTiMe#dYt5{7Yyb~?3uLiG23t_*P0UaSh)1x^-w9AcKQDOvxH@&XJ8 z<}iO(CLCq-IbI}=m->X6OK2Ytl6b$86res^K&%>Di0>%u;KzlXzqsVtjX%xj9^R`zT7hPxom4LvIwO2ee<@8#OHS7-7U=HN z;d`D7|3~mCwMs}*m0x!NrBdw>3Rn=L7dyI>1}+LQCV*2B29Rk)%DEgb;_QJT!}Xvf zH#irlMp=bmqoaesLBfbjLON5@`flgm*>x^%^>ou@J%S-nh57ke@SD+x(!E8tTdAXA$Lm4KPSH1MEhv8j}%0SB$sJ)3$xs0e@ z9wBX#{BD_=nF~h~N;k_iV67MIH;;^d&NRqQJNo(4q>F&WFGLLyxE3v4VC)4#hU`WL zf#>b^ktzkKxqL2KNP{y`(eq2gPn_lj1Tk6ZP-G#pm;$>GMqqhmQAvS$=qYx+pGF*t zZUW=%h+2J?^RN+L$Ils(OCntFc2pViXzB0cWLl5V&LWEx+R*68NmyI$Q5sus6zzAE z7aAwS1>m}@2BZ)VlIt2~#tZKDh;x+iclc{-q9~=jQl+cM%3wBZfA#;EdF_Im>uYF5 zF0m~B2mke$h;sk+9os&<_W4EkZ&S8B<$Ls(_E7DRE~MQKePPe;z@V;<)6~%YebUHX zRL>oDgwXdLy}YPb6g0y?UxcCz!2@s8>(4aJdCgG5$ z^A#9^7(F4v{dB99*tbb5bgE*E%+lVK(#8jAt)jQp(9?uUezGY1oM8S$-*$_OLjiKD z_#)@UHEnf|@nU!Lq{5fhRH9;pmKIS(KrszQy>Z(1Q*G%%HK%tOh~MQdLnlT5g1Wb zHrJiDK^PtQ*#e!V)jV1PlOYWcpB69F@NqCd3V$PZ8${KX(l`rOMd&gNAYapyl1r_# z3;37bl7`xd-GE#;zq=!h>>y5O*E#Naom-WvFTk%O(F*@_-TMaYkxM|nj0kd?MCu%F zny`awaoP}Yo=Vs!E$%?JVl}yEoIQiBI8+ z(VO%h;mvPbOdz^`#$~mhDhF7;4j_r-ZhD|rLmW}=$5IG z>xSvMg$&&*tG6cPA0MseB|>?bV$thUzNc9#A34nnxgfAWKuCHz=q^SW;G%L2AWQ(H z5WZ1GI0*rn!j?es__G6petSYEOH>f)jN1}~3 zNb(&Cv5Vc&Dm+34Q3_L4nzFUsF;dS^bp`CHy^)~BZ+*Z8X-Qreo+9Sh!Xo0PiQ?S$6{Rkia{NCy3Jt{NO)&Kri;iq za=yh<>;kj+E9&+2(k6irRU)}Md z5;YUOhxu}Hwoh_sb;S;vG%znbkI$z;AUI!RcHLX`^df?xcT57s*NdH_m=(e&WKnvM z?wKA9K>8>P!kQ^!8;cf}3O7K+Q48G4ihv>xNJV3e*t%$G`ZdY2i)!s6Ks|M=K?gg6 zE}U9Xq~k+2!Y$E)oYIErjC!<#NRrZ%;r7bX>fz_IB~! z9x=)sHYv)GYSH{8#{xB5X4z3SL|b4b;W0H7B6r{41X+k+M#4&)i^6BwrB*GATRfjw zWQ03f{sh>U0lm(sSz~G9d&WdyeHc$shQ$j4iCGLDigc^_OH9c-Q)jo-L)GEbB9W{1 zmLI)fsf*YJsm89f{>jxZiJDJ6Ec$2eO5%B!#+5X0iNgDCQGKa_!ANpqHXV5H)e^jD z)goc;x~^$ygy#{Iv)-maOwsQy!oN{!K<)nFXQRC`=K-Y(RaG;Sdrexv7orVESsW=_ z&5N#7!z~R-861RoDT?Z@L#dh?8m>J~`o+ZCW47n$`4=;(s+)uY%4~t#K(!aUp@Tqu zlR~pb5m0+|LL%XjEa<}#QgucR=E3vgg#rIN4&gN((d^J{0<_~Ys_}(L7{-)y(Hs%X zFP{LvQ-s$_=e{dK;+Uj*=={GsG}lDMapb4ZI%AXGZMB9ZfX@J=djR9_;bjjsjPp^0 z{6!of_w`qeR}apjuuZv=)PT83#RWT`dtv)uoVeq^p1gC*3pD^yG&HFqmPHM2Bp|-5 zJ-O-abTdiP7cjqb+Z2kk?+#$*o@@M`3zQq~4?48BJ&| zRFX$lqPWET@{puuPn6k1$Tk5d&GyP!(qkyURVaP)vlj_K5=w0UbWofasS@J{0GZ9MayN{OaBE6|}`-bJl-Xt$G9CT+!P?;w}`fi_-D!ByG8{s>P7T(g@ zFVu0mJYR2ZzhJyOviNRwE}3XFE~D;TgtULa&z2CfV8x(3W6Z>hgtw0*`f3B>{{e7YpQENhq!j z%P>C$E?jj1jg!1o{h)ds$+{AT=?X=K18U(BvNW(J#4ViV5pwRdOoL?w>A-&!yL|aj zY?Ki$>+muVLb5r&~PCWOSdQ@b7wsLs%!*D(ZYR#GQv)@X%4rbL+-tdDH0S061+ z*0s#7g*dlUOJrQogwh!?G}_Nh+S~BvopJ1K(S8Siw9cG@Z{KN#&rg0HOdjw*VLN@k z8FIQp3;UbX|8kXFo2 zm>mD9za|clzVRPk{^u8O`S8VC{@oSNo$&pwo2_%M3AY2n7d@q%@9>An@*LZD-gxa- zZ3D7l^?$l#^`GB))8ZdKe3=4Bgb}JFR{uqWR*}|NM|F^3xC@Ox?UNx~U85VkpYA%U z8%Fi&K2@YJ(ZmK)=$N`Nl`;-kYMvdT%VrN=>6OQPD0+Lrud^&-q>OzT+CZ)NGz!cE z^#P@HcGqAk+|hl%05JGd80&5juK-*UaFIgxRzLA31=0bIbK`#$^?3X9P%tgH7Xk{@ zkI0{55T(qCQ2KnJwX*9}@e7qti4`D1;JPFPa{Ic*LHz6o1ks6EaiZZbn_9VSunPk6 zWx|M@IK@-WB6~&{MWBZYp|Dd@h6PO}dzOvSBrr}J@sBAvm3+~{1=EX6->nB(62=VU z)Po3A|7}2FbJeR2NGof#!C6FF*S|6-VU8+Qs#SGzBN!}bGPDe|U+P+(O+Y8JMw-W8^p$S6+0rszFj2Qm+Ek=RJ zeYZu4X4Igsdx|W{aTnAEt!+mnez8Njr)p+wO^*G;;e`Nx!7FgT{->`ldEmuEZhh&z zM_-OoZLaGMb3@~A8D=h(V+cY6=V95xtFMa|BZ8P(BJu3Aj|IB7?|SB^_dIyt`5V9e zH#cAV)u*>S)@YnmW=6g9pB-OYncw!}vlg#iy72_S?jzJK-(7Mbxl(n(-bs=|cB$^a z?ZjJdTxgH+8?OD^3(x-3RX%Ke^8ftM{bzfkra93RN&D<}=scO!myR%wQRvrg3AD!r!ci13A8S+;*)chBW=&xf07_!O$@-RAAY)&Lqc770usM*4E4rK{^x zp^1VZw}LzsCl}=vfoAf8SHwyfoG;&q$PI2m`0{UqBQfC;B3ORRhZ@*}qVQ0hX!4^9 zU6|jH+a7**bHU;73lWPxBzMCKRCj@}SHhJ`r&ccgP~;F;fxw&uXwM9hiy8~OP zZQjBs*u!q zaZO&a22&#%%9)r-ThAzhIuR0rJ8HhR;SIJZh3sp>HHI!T$w${va(=?$(jPRcZezqM zj0TzPq#6(5-JHU4rQU#a$D3t@pW3S5LB>O<1aCPMmK&we`I32=Vj!bg;j^QRUBz}_35 z+Wv)`e~u;^{M`zKjz-gKhMM~?Q-lJ)h{gY|V-&OlC%?leq&>I!u}##FwFp$fy ztid>U_@C?(E-?YcBQ|%s=38I-*;Dt)&8mw0x%(^E(!yszMj_0@h=&CFK z>cpiVS$+1OzWU;`p+uQ%-`TEC_ChyTEni=N^ID^>H0e+-+?w>bj@CTVU9Y>$Btl>4 zn4Hq&=&y=l{7k3O>gt+~0k{GOeA{k0cqn9pv$`=*FF5y;;?LFpPGrKd>%aY_ov*z}$aPnL ziG?EMj@y^Nwd*DFpL^zklTZ5BTb{fBrj3Ua3ouE3cJqUwi6Cb{7)pcj*LQ9`@4N&2 zgqatd_o-bwwmrM$flW6a3daO0@RrT@UvTaLiUe{`J#OD;w%q%zD-IHw7f86WHJJb4 z(e=ug63))Owri`$aqGhKKG_~5xhtx`d+u63J3IT@u9xn-VFsPNB~&)&=YDqo ztv4Pa6*#@+hQpuPa?k5KUq1ibPl^l)Z`^c*0$+IEr>Z7q-<+L$@WC@qI&NQO3uu>7 zAT2_?@SIQ2Ry8Zq_Z~X!#AEmMTq@Hrx4SC*nP(nIoiCpH(Ie}hd**&wW4A{hxwM`l zr##x?sq@b{z_ltR?coQ`IevN{q)?b#CK$3e z`dZ7WUkUuqro%5d`+$0i3Y(j|VdIfMeB`pZr1CfW#;&a}{J51L`Ozcm{8oEcUG-&c zQtn=jCvB)_ZlvLrrZE9_jalOXyrG8rB%E7mv|}o1bByC+?xqV2-v=Csqwn@yi;f(b zy2&^O5i_9x-?>R45vp5ZG05D2+=e;N(tzHB;ZWSsBiceq(7C!Pq#v0lR(AkSkh9p_+Tub55L{CX=CsOZ~RAUYGU4~lb5b){0ayyJa ze&7BLc!I_qFT-OFqPSLQ?11vM9Sa}Wy6AxyjWTzf@&ye}q@Z#+g_&;TV;3dnz&rniPPm$S2%xigbr+}5%Ud^Zy5`XD-G9FO*{82enB@2le+n&* zheIEtL~Ly&sN|mUnJ4dGea`=O+VOvcYdoD8nu^AdR#$Df0Y55PQA66Bl|j|ry8GyN zF1pi++@#Pfu;&>pICQ=0xzS7Az4^w&fyU(<{=(dqZ(eo~9Eh5|cnZi|wB|t4T;F-; zibsC94n~<&1H10La~W8;=z`Bu6fa+M;G467kxSPe2xl1Y$CLsLmQO!T?Po5% z=(9U_y>Q~O``mTs%+{?>oO|y6Mj0?gNnZBo4=;n05byb?9}poJ&SO&wc1(uFQxSgA zXO)UJd-2-O%leKxr<1CpZGQH?d+wZBf60OJf}D5VJ^j=Z8>M0hFjY2O{8>+=LWq?d z-K69fe{N=ZUC+*4yynjUZ=ylS**OJ3E31y(_vGU~y7`&=fPDOBi=&DU4z49r_ujek zksoYGITufD*>cYvcg!f^WfvbPWZflyrWkU(cU9XGusqtRW0%*JCp-|4%bQ zjS*GJFK)%cjE<%nx*Dwo-C$T4`QrIuJ66pYXwy7$k>)DwQ~F zfr+8f*M6qhbs&zI38Nc|=PF*QS_j7gWs*`u+&iGo?^SSt=j(to01D6Zy`KER)$Ohi!JcYkR^WFc%XGLV{Ozy-LVaPu+dvwTHR&E9y3i zJytR4$pCb|E)+Wjl_(Ory64st9=i8@c1Pz6?L~X1zj&^au*MH+U3NW!azz?H)#|hU zcUFVX6`>3*!Q1aPsl}!}Yt>Pf(!b(0ZQG&t8A#tLQV=IyxH@Bc;-pJoO3XEV1DY!=($JVX+%uCyTa^>X*74Kxs0@AKIx3ty>4!N)kq?IHfT%)}_{6K8o z#h<(W+h4x*ro-1=@>$WSz9~!n@8oCao-)40R5={Wcr+lhc6H*rRw~aTMEngGeO{5s zcTM0bPqA~`md*D{{mQNvufF^X#Y*$8xZ=O498jFfZ08cTT0T#i$yBQ3mfSz-*Sd>7 z55RZ5_9A2I7jv^f*j_O;jHr@isZH&;lBu%Ui=wFaZUI)FZ-{oGnp<~l9=w|1y7B;4QXBb z?JuhwuT}Dk>Wq;?AHnUZ=9hSrG?J2b>4k|YLMow#1H9JMVLhO!3!0Fc%p)8#@2qn^ zCGsgJ?I%J8osCeGUrS+J7fJ1IoPLHrwCz%9B&jlVNy;PC`{or&Q~Nde#`Xr`hVcx7 z6tnoLvy@w@CKZpQlW4^VxjWfX2CXm_Y6Fc4@<-7cEsFyD`r5*X&yDSbfPK#YQt#6} zn;?n{LIgtaMR0zcLmPJJpW`|U5!yNsdBPo?R>C$7LL8NKGeuT0_$owwg2DCRVyc0O z#x98QPO$TP6CM_z!w)E$=|DY3EExP=_~^F3y?bjg)-nD8+eT~=8h$4dQe)+r5jR5# zH7N*yJ7je4Z72Tl`x`t%QZ!ChK&)p{YP~u8dOY!!ujBKQGjmMA$wlLF360a z=w0b|9~+@SSjrDW}WBGKWG27YyK?!Qlt>mX3@O07sfh^*K4E?qJ$^;>OU9LvrjMl z5Iy1XCpQY@M@m&Eay76BiG87&#cw%jsO1;cu%qXUfyy~))hV+^4#25HrR_k@bc8mF zN7K0B-K};U1zlbD#Egn8Q%7U$NCD|k8b;P-kMIkq1NepNeH|t$BnRWddxW~_iTuQ* zwC^!FBxNWYp(5|Gykf8vYG>3U2oXe{WX%Mi1eQTjd-2sEwZaHI+&6lvgiHpeK@U3j zu)^1loOkHoCgdG(>klOua#GrXs=sp|*>?VqUZU`a0`~SV=EAUXUtoFr&ToI~OKpJc ztt8W2!v(MIy5`C+J@w?hN&YKcQvbC4N`fwWu;Zb7FFaxTUl=RzrkKVy+64o!1OL(E zszdd4_beT@4?p$X4ovrad$hi5%oNmvBWEKES6}l*yrWk}$1bO?E(s#muRXAtMY!>T zzyHBHPMb{|4-XQ)Irrp~8()9zrPEH^ul3Jp$g{JcewezsB>sxvOi=)U6#iC+^ubl33>>JSlgSXs#XhS#PZ3Iq)Mf32&wwHeV-~(sfeDjf8xBdj|D>)B8U-xs* z-mhSaw>JKzIjT6!1au(X1${M~H9H#>y#{=pMO$;xfkFVXn4W@T{EU-64qYk#ue|ug zm6snJURXMhX~V!F$7t`d(P&uD$xNx9@r}Q(l|wmSm9{E-O4&W8sTyuQ_Dbj+f;{@(+Hv4(pLD$Fok2FYc_9 z|9It!eF=oX;xM=bs?Q8VFIv!Y5CjawR%{k;*|nGo;98jx9uM9__n&$5#;>VN1y(a^ zGWvgUjhGi>f!VkCsX}3u7T4z~G$%9~s1J0LCOHF5mk3D3EeVm|D6?aQ}q{m~1%ho0Xd(LWI!W5iz>BPfQzN4|ggL*KuwgZJu;s>R&N#HEY;y496_mqFX>6*VyBh;d5w2OJG%XClCSxS`}C}8)` zawVnzj@lPs*Mt1*PRaz(PY0ARCRo;o$?duiT{Pnh>kk(HoU;zNW#eJGvs?llYd%N! zgKU84)RRB)i&<>&)+wv@GY1APi2ympq+lCaSiAN=f>|h+GSpTKVT!phg))fEkHq!; z^42FWJokVTkNfD&n~rEmJo}W7gKoZ;Gf&n zwh!%=8;{)l?7hdY+UJyGKSp)`-UH_*Z3ZI-L&inm`i%WzTFlMyu0v5-tAQ)O^_NPE zl7wRi_vP5*3m*LV;>WQVL0Ur+3ZQy9pq_sE{_os)7*Tju3AugFJmur^y6esrEVZ!Y z;`x}!Ku@&srFlE+*L>dChnHmpM~-xWRWtjFeB(`r(e{lNWolL>bQS@6%jnpdj~H`T z+O8;K6B&Rno;TPX#z9KQ@R(tWdJ3fDSrW4@1d7}Utg7=C&iVAJ6(7Ch&Sll!LZB{0 z))=(0*5SKBPMRobeVt~kq1Jl*CI}6_8*nG-v+T%m-6Ia621Y@R5d@`coVP=qLkFm& zd7*qwl7}psAlM5QJ`eaDW)VN0B@{4G#>RyY0(Ub)k7&0wQ9hywtO)vSm!W$u;X?YO zoVnO*;<=*yw=IAI+Ee6br@{qT0}U}!j61U(Oi4QIZ{|6_8(nla^!(0W zO}s-qFRr(2d-X4GdEwpEN$KYXuL)r}aO^l=f5n&fwt|A;<=2XwojZ*eo8vT$9qf&) zo-u`D;s`6>)J@m^je!Q*h1R7tYI1lXeWBEN-KYA+0QkGRRrw0~XP|oPf0(7%;4?TFsBR??bMAv^)fXP2nH5gKffBN_hFkcq~GThw& zieq{8IiKXyHk*aw4NOEJAB>!L-lsqiB$RynYg^B&VN*_w*LQ6tol4BH52%45$xl6b zeQEWHEQA|B=TcnJmQ-RozH@U}EZ2*s{^5y@Pd=4Ypmp{620imfTfX+1FUiy}F0QEu z$-{7=Jn_U0&i*`?=|+IGCLK+?0FN9g(o%Zwy<<9pX6Np`YlR2&Ac`bM)rCqFiK_2- z>ZwgnJ$YkTZ?SDHUliF`w!7-V1Dddk>zC2UE^^qR6QSH2y9^M|=3eGml&O zVT+wfc;fMTF8F`Ud}H4?-F#@~`Yw&h_Wb61*;XDQ<(tjJdV_1u<=D?dIlMmeniwX= zWw3_Vq2js_;mSVpH`8<$sT{-3bDl0s-wEiNoPPnWR+X%fa)$TkOz3Ll8i|Vv^H8 z6KzjwU^SF=5Ynme*53q5(y8=ZfZskSV+0)-cBtjme!9-j%ECD%G|yxlan8@t7!eIY zAPc0B(T5}Rf$816Frp0?_u%CoL;fKCE&n_Oe)Sc|{+iKbs|l<)-rHx-xO0Z5<3S>X z`2dsexN*_m%6Vh^>li`u7HDwXl7wfTeQa;#bbOXeyka!~{o}_row)3u(;w1i%5EJx zR4L&)h@T1hr9M@>@Q(w5fKKP!7p~Kh7tI5z(bl5X2kV?cAK`Afh(jP4n0^g2wf{tb zkmUwR*RDNzIt~Z|J4=_vZvb6EbVq}40l)@%FjdHAw<3Vwl2a1$OOwy)Cs+_E@) zq|HzW5Vp8D5Eq5E^>*g~7t9>jAS;06h6BVT+)t^Jd&%d|2ah!8#95xT5}lF4dm&MlswiqMbXoJTHEYDw8rl6s+C ztEsMRBsHw>aJRgLd0%ejNlU&=)w#PZyx*p?+Q`mM-9m~$#YY($n+9W0`nyO!vLS-RO?J!Vk|3uenrUAYM3I0#l9ulRNRkE~3(x>5$uNzaQmQk>G-D7;gQ&ntU?MnuVlEGZC}GgGa)-Kf??ko$ zfe-~|GKiL9q$FKzmDBPIrSmK#ga~Z$6h=r)KjTfRT15xqBtnJsb*HibFu51TswGG$ z(T0hZ91UjneMp{|5Lo2PZ zhQ6gKx=7QF5;8;+Indp+NeigM0yXt0{i5s$ge6d%g=IlRv*MB(1O04#?)Z8ZOb(kI zo$bh4u@4bYy~;h+Btk+sqNX!NV4yx;px}8?Lnmn-Nl1IBO5u-Ks(wv0b*mFLBRIR; zM9{+rLgGZKnW!+|ctp=fnxtx8a5gKcW6~%85Y2=UXJMwp)KCa)E)hWHcd@DIc>mY^ zH`9Tl=f~)fm{cDO+G{BiPDo{(85^(E*3G|eImUQH*1fQzxJJN*VbncYpw^Wl_Z_+^AGZ%LKqUq_vLSu9bEfQRYGP(!eb6 zZ;%JbP+E@1g%0NV+2s@`FbLK>VBu#<3oQ%JgLMK6;aAQSdN=k~e2O=q=9US?umY51 zdg|Djfq;}j);MA*?Y)ZUT7*R7J}QJ40g=J^#4Mh2tLT8h(1(%;cQ@r^T*Rd_6lvvj zy%by{q=34$^yHD)3+RAV2%;bkP6AIM;sB*6;ZP2m6+Q>{rxRY< zezI`45vj#WE-?Cb7fD*KA;lO=Ncg}7sI90+{D$jfR9HRTs9O-)@EhG*;TO#}<48#q zRl($y$^oP`;!G1xA*-mK&P6J)1&UwP;6cz0Q7+gu%EsX88jE}cmrV0?Xo7Ib-OaUi z97w0CC{LY>WD)zKitTQ)sL8`!JY`7jRmmf>4k3Ao^+xK=reWk6>y40~i=wj*gz8GD zX1r*85s;79DA+E-!rE0M@3)#tnS`;S`dw%qVTV>Q1R65-;FiOb->LV-B}yY{;MGbs z;E+o-)CT#4&?3q;D%zgSIGBtnYQEDDUX46_QKk?&&@}@1aNCzat8K?*Xal570Nn>r zvtNL7y3&aGQUQM*{gZWV{tC_Z7I}U@;!~lc z>PI;*lOc|v+6QSb9}lxg(fl&VgvNW%3$@@b0A3s7MP{M;UY4e3G!?-OhC?1M!xox~ zLv!jz+peVUQD?|>Z_Kqg=gMN1updy!lyCp>{AGw8%RSQgh!u)VbL zXz%5dkvqwdFi8wXG=nsilqN4kk-%(ogeRhu201}r?R3{Af#gj?(6z(Cb!3*(m`Qvd4B}HHr%=iMOJt0;2ro~i9p?&bSWaiNddu1AkHqw#844-U}D%a$c<4A-= zOV#CFfaNZH&d|HETUA3C$)!w#+$wh&389`k2qZaF;?e3AfK7FtKsDCqDq+{sr2bPg zRi&uJ8a&k11rbY7mt_qhHWr$#2Q|<~Wjz|kB?$%Zv z4e~ezs)xlEWssM*KMsGH9@RExa5zjMVfmwM?leg&ch!Zw#0T%f^_2MkYf;Z)91#c9 zykp8hfc6&Yhy%~RVeY7%hkXpXY=Kh93-C*^mjqk~$qU27R&8a}#1E;A`DP(cEcaY2 z{=1S>j6;DZt@)x^0GqhTxyBcoIQ)e9>DQIZXfg!q-Ks7h7T`f5uqmU@x%IUL!qG~* zk770aUfT=N{4rkrO;DB2Jh{%r-s3i}PG}V5zV>oow47uIc_%2`!asO~n}$?sMzzB< z>>Q;I4eC?%wRF-}kZMawKfBusY;e$XT?|LTTEn=I$f-Rp20ZVjsp-!1!E!(VGL$C@ zV!(&=_cAfa4B#LO5z46!H$ax3!UJ&?*pXoc@NZ`eWD}={S%Z+qRWl?3finUdWD7XQ zn2+#SD8O6nIR$* zSjvBDFOM11hBQYe04QE<9xGhryt|@+qz#X>`A{rYToWNGvphK}T;bc@R0#))6oItn zvb^*Gr~}t^E0vRkWgVy@uegmbFU8nXzxrx&p|(V*_ntQ9{k9FrOn{R${Gl*08&&By z=4CWlvy8DYeEBHNQW>Nzh2Mqv0rpbenZ zF+w)?!w8(5)_%&Abx^6??E_!9NMUNAL5D&~zAL1EL2~86DRLyFV1M4%Ks|rX5wS8P z>}Vk`BM#-rg5j|Xlwou$WfY)3;ua#PZd>>tmI>gGfo|nNDnheBV|%ke84=+HN8KHm zqAdtne63b7FcUKLP`uzGu=acO*T@g0+il{>`hu$5p8J6s3fteEp=7!dUk?Vz+J|hc z9fuEpO{iha#m~wyAs?u}o{yB~S9`y-l}%C66ybSrzIu8yMVft$O%LHs1R~T76J0Xl zW0L4#!IR)adaKn>&>ln&dx4sI6oeN74d4%a)2D#5tviDi1p=^F9Jlmth!IGK%mwB^ z3^v9oA;;?eSVqi@{6=Ouc6jD16=PvQOvW#kU_moHt=jL_0t z3+zLY`iO+G4xBxpiY?7KMw7D1F`060u{+o`dGM4l+*FUI4CEw9JEo?mjyK+c5vn%& zZpr||m(I)66|i>}@K9B&htOyqE%U*K?8>B4HW9pHw=g@S&r6S8RuA4B;l-&X@04WM zA)S&YrXf^qjGdUL@LHW)Z7BDk;@UY@E_FmRZ=nAA-z^~7<4ct_bm+huha(oiWaV+I?GBw(1cAm5 zTqrP^u?Tv~>$v^JaH}TG()z?l1Z)s_F#&$bI+#RgvIq+lUvMk+G$eWbUfK%{&c6i3 z0~d@O@k@92>L)q?`0?78hbL})e`R;bCB{T=b|kR8`nmf-`Wx(pn85iEa{#;=xr@ov zKEUf|A39*-l$}0M0863Al!0^g^p5WwEwN~V+kCiHSb-5hC4^~MZ~^n-QukR|P)(zE zm6{F8+=3+SP=eLOLIdF@?Ko$jYGn|)+%k0UcH=-Q@>exnqk|rRuCY-W1zJn5rcRrW z0u?_b)dx|@t0=5XmeIz?wO8eI zSDeJh4(hXtO0s8
      =zdZ=(04#|~7Do+$M#FMIL7e%jCwpbiurgEC9O3BY4MeM$? zZC+ndq!&$8YNG->eoClOng3Z{BMl}MUTs79yM;tf9ne1Q5ET1Pkh@U~+UjYFoSHF^ zUE>$pPe_4LP;K?fs}He)yD1?0Kw9hqafA#FJELhK1#}<~ASb+>OA3a7dHnFAvCJn%{wfi;S)4CEx$*XG&lLH`VK6q~dVT+k6(Ebb^LQpTEHe0^L2 z-I&>MI>FBm5|X`}viuS|_zAI1+H`nEKjC`DbhF4{@BWgYSi#tV>qyH#VF!i-$q2)` zX~!E@sD1GKNYN8YKZ(Xt8^sK;Uj*Sgz+OjRcgNHVhNEV2h|v8@H%zArFyz~@4|$}7XQ!SJ#v!X^~pf;+_<(6WYx1KqHclc)UuQM?PflSSQ962hTnF5;YT zE{JU+p>u4I&_p5}&tEiC#)!NiWKDk}O5s;d*VL?9XmHz2M_RO1kQ+FaJY|?3E>ZyT z83pD42@!Ou^${StEHzVkQ<(}A2CVC$It zMRgap=Pif(Qmf=t$pz+Cd{dFxkCr#C66zMRs5x4x@IEvHH$iBo{U0x@ud6cl?A?V^}oh)r>mf0n6g(iOsAJyJtN5=q0A84Q(~7k%o;Lz;*mW z$IRnjq7)H@9nuS;{|v4nqY@c1P{N~X--)kJEw9mv*6pn<<|YEOeuCUcs|9P&KBk0E zA&~6bJ*8{8_HhL09aqoSVrz)ZB4BGAQ1HI#PtFkh>V|J3h>kJm6{v9*lR{*lGx&G|iX}PXI z=yBoMpL*?$pUXnu7df~`ooi%RHnr>ZmuzRz?g-x+*tP40pKX5NRx5MOoUCsLJpJ6g zryl>YzkhUtO?WJhzTuj$fDRu=5Ms4#FTVwDR_|8MSwd^oFi5dj*#4+c2w>V?)#_#- zf8U+UmGQNmFWh(c5^x9l*eTX(@zq0Gdi90YJCjlCaJOy!2`%)wEq0u?;ZoqpHWW69 zPz-CX1L@N?Wjb6&Yc8jdnHK=QFBh66!zAI zyIDJIpOD$v?>=syZMOBhFuo)voRDtlH{>RH$1!LzT+{%@?6WMvYNHO z2R2!I-v0R+IpbFw3Y=l=@!{^c`n@&#TEDvMB}qNh8K-YEPEJbix)tIvv`du|wCH zXYJqC#JOjE$}5%-n+OSm9Fq~@?|)xC*R~~Ai0{~@JLpOqHy*n6r6(2B##|PF!+8Ql zvIIWch95O$Z(Gmc!Sf`ubJt&c*rIPN0MX~1`NjjSH0vGL2n*1D?qIJBYjE+B~n&^tr05c6K;Xr=b)I}HD?=CxeLJ6Ci+V>#+bkY2a zS7(3Jgclq^NT3akVF>fYEjy>>OU(NX#y!JrQ4JUh7QoHWhq0UA_Cm;W@ z&6^*zy5LN2xWYI96l)X!OxB?K50BcW}M~=ZY5zt4Wc0e zJc1gU7s3%W@u>(Rca1(D`Zu;nnramNy&;7O3{Re@AUY^LIr@<&G578Q`qvJ7tG>Ns z(KmN2xqPQDK5zWqAS14%*bJ}jdNu!k0PO{@KH5dim(~ucH`<}Ltq18Wf%IC%kdSir z>Txb6UZX_Q@5bQ<3S@dH1S`);Z2579AqPSs#;jfs>wq?`IDD~W3RqE};*F`FapsqE zNdfdbU?ReGS0ADW^}hY9&-%1Z+IZ_?_1Op1pm6*olzHK{1`V7RxaFG#Z_S~J0J8l^ zX9H`wUN#>v+H?-$edm_L1iFQ)>~Y#$K+%2mrN`r6LRf>}Ny+DlDT}yerssh^TIlNY zJ}s@1kGgi8MTW^l1tZphKmX6%1hSn zwx!SoZi1MEY`7@Q=;;)|mlS|=1E9eC)i)Yc<88Mbs(K%LY`qdj4wGl{>=xE7g~Okx z*|vY_ifk+Hs+K}h)AQ_xYCkI>-iXRn<_&AI8@9rz2e~Ostf$VnHilY#?w{C{ zy8}G+O*bB?d61?hpkT#Qa>XM-h-eqD{XFCsr*#9jLJdn|t7f-7-#LQz~gcZ%bC>WK=p2OQFeyxvGt2D1mi+3~AYc zu$N)lh3WFk4$?0G?`0bfeqpPPVY4r~4~Vc_`g<0^WDcSlF((_?xTSV%C99b5k_;vt^V1DgX;>B-R`aS3^5%3)p?o@-M3i z%0T$S$vghj^!ER}V&@-h;kRAW(|J?bNvtRz<&jtc|!x!Opy#6la?`3iJIyzk< zyinr>)dSl8*H;V^ z7$T-yc3{&luDkZjlsDKY1a5%M#t`6OA2uCUpW1ls7yaZw=GM6W>c6&cw$B;BQmE_8 zGEY8!gS$SUuvETFLe^-C`mcE9b*uzaaE7ky3A6rKk9=Xjy7DWhJnhN_G^1(kV}U9Z&J9 zJnpAre=+yw`DgygWEWP@9d+fHd102aDZI^4!_cC{_ZL#;=P@+ExnyfZLEd{$C2i`7 zKGVce4Y*r%LNs+uv3RO><;`B-8b5h{@)Q|gR+8)=I6HUsmH8wGZo2BfeZ_^LWTeB7 z6v+k!YL1g{$clj%2!X?*U3$UibtRbZnXD>V#0}d_9&(lub zzXuu?St3WDuLTPb8~ziBP^6uVG6(~D@!nSE-{KErOd5cu)pXDu$2mQ* zEZIp{(xaom7Yl?DGQ614y@X5-3{qWhh*=1)*Fy$cTx296nMd=a0P}i_N5md|Ly~)`wTI+hHeFm_)i{rZ2b$*-p`X`=z;5-@A~#lN5Wp1 zDdD-NeVocCNq`6{@|Kq$hP37drP2p|65@e}&fqWFy6q>rFb&x!&p;?ty!y&7y!_JR zoHGq;T^OmdXZ2jl0NzPB^R!R61r;CtQT+M7WdIW8f)o^h<+A?LeB-Qz7{WFvfUU@Z z$^2$St-tusQ|y{G>YU{aH9VbqEBYWGwuc~=&D*f9Fnrm3@D6wxAPk>dZ~iLN;JF%T zKtN}m{>M1#sjV?MZ0bs=KzT zVBE<%fPeKNO-$?sA?w!uIaKt3tQC?kx%5A(C<7?Q3ox}o0S^^#Oj$Hur7Vz+gvNi1 z(PA(7nF(WMFK{h(`#})w7lGQ9y3(TX3ps6>`bo*p{^ZdLhHX-q62%lr1^Fdp7M*hP zC)j8{80{zGh-pyvY(@}9UU02dCqnPAp~cqhpo`+Cig!rMfAOUsU$*`W$jA_|-O;K2 z-nH*aeD}UHd@;&hHEbvu2y3VTd2pRYaG5Jhh|di1+raRjzx0y~bD*|`3l`QG9H!o| z?qIi!Ci5@(dEq(bm9jZfI$bST$S8Ium$pwy!hkvauSL+obNYYHbW(sEeYZv;V15RR zYw1CE?SVLY=`Y4%o5d~CF@1hnmus|(s?yjMchZIIG=&qFhRn!nhsE*b)LK8$#y(R$$^h)7}#;q!g%$cln z30cke`_`PHMsN*3?ffs{D_eg8=-h3fkRAL%5Ln~&*Ioc|Cm;7=`gwW9=bv@PetMt3 zGs;19?rEQJZB+W?6Fz#+UCZF75eFfZd4mOp9>5)J1WFXMwHF=8WlcX9f&avp0!}!7 z-|XHzJIA3!_db2q$4*|gFIE5H@16m3dej3F#xb>YUVrb5G_HGPSaZPv$IZ+~L!^;# z_L-kJKAL%k5wE`DFQGRY5)CXXm13N*>Laggdjb=!S`jV)hAfNXg$!g&78HPMc_sH^ zNeLUDE}g@BAkA@$Iq7(&N}Vih-M>hs=IKh489vlPul5xr4$P%uVwQt z55K(S!sC17+iyDbgeqZ>S>+TXBVWvT{`sF)8_jo@TcL zYQrUo1Cz^bGKZd&M0nmlR4;RqQRCH%=0kEMP%z5NG#hVKE&WjE{83(gw=Sg;9=irp zl;RN(HU^RJM9&6wtCbprI{noDiHA{3IAP^JzRfM6abzleH`i|1nmQpe^`y$Fo}IN@ zYP=ExcE>F@9R9P-_xsSp@yqx1-M|O#KhrHnu8cBfO1;4i8_H9N~k#P}YI) zj>$miB@76{@w;m;fLWOOkJ+KMbfoa}7q>R(LUNx)c&`AM=HJ$bjM`*C4Xg(8GYNLb zYxh02>tFppul(u4SS$i_!yhUsHt8V;A9U{E-~KtRqV$kGd7(Rw7Ov|}06(3mRIFU= zK@E(0omVtRG`)th=)=PS6JU{_7o77a+P}`OPZ^x0iUStYQBGdDFL3zQ#ywCHJ>!Qi3vcDMQRfIk2mS>AB{`FA2*1} zGfW9%$E#|g8Fpw=Xgnkkuwx(R3opp0jb-icdk>v)`icK?<%+2jXQrO^#fqnHz%?>b zjdb|qihME#uiseUe0%fIB+n0fnp zJ!uM1g@5viO?dOBM`As)QuD}0-yi@b3$if{N>ixTUK19`D^hVtH zg;8+lt!5^W{gx?D%5&}+#>=W<)U%ia0E$6$Yzp0z@ecoMETf4a6fpXNTu;>grhs(k z@(edk^iLs;{|)=$jtndg%i=n)DWlJ}QO(Fg?S_FAlxKjZ_uId*w+Pw1Fky#IZbTUT zUVqCy&p*E{A@xv5Axi#x_m@m-h@pvqaEGr<#^9F0s){hocm*zSf~~p%;`g+5M}#Rda;916kClqCQ*DozC0QT36S# z%`%Ff=3GpH8iL^#z}7~l;)|2C#X+W^lyaL{jt-)rR@6%u1sALdK$JQ8=JQatmn{$i zsAbnNEowV`d=9c@-T@7kZN!3}+Wqk|84@5PNy{{qTU27BecAR6Q3RU|DTa(Gw3UCTU#{))%KdZ4uD4i#KKJ$k4Vr zsnVv3x;!OTVAq)01>Q^RBxz%nJ1?T-TA);EKh@-z4~u18qs&0ttv#D-OXwDhBPce; z0z`wrnK(@X|I$$l((K(W;y^315ShyK4P(JzxrX6{7-&xKsA*1Sw?boN6#Ip_Z6a%p zN;5#RuFcs1bXgWhnYnb-0x?OD_0f_8e@mo$maM%pzOg_Sk^^l=@V|6^@_Xb09fT~( zh&ZZ8oXZ3VJB+I*ay^bdggz>~1-8)@si&X$?!$kd(S^#t{rP?Uo)l;|q!%^Bjg;0Tj|4J-1+D~q!^}bmU$e>!)Y{BtqH?UHp>3plF1^e{j_3K~05ejjrwnd-|U^3+cX>AEmHs(xQ{=>)M+jO@w;$j|tK3dzfGI95g}&wNL2 z6(5l={z>-A@R8^uFBcvsmArIbdy0lS^!PQJ>|GQIrp^I1nE`oFoI>WhYAMf~!q>yP zt0Xy>8I{^oxA~e+kxo^Y+ICm+t_@;kl=$`f5UvXe6*AOc)f?AYHvpqcwNhKLnT^A> z$Vb;=z`}oz6Ot`Of`rs$DI4Be=+8Q67+x!y6H={Ik#ba>J9lFS_+S=;(EM${f)@;h z#E8HMnI9XDh`CV<+$2c|XDKrT^%Tx$Nm@Cwgz#vQX6##%940%V1D0>oiVKD?{l5yP z$Ayou1E{ZJIOnc}JxR5U0)~UBu|k39i4>exzT5#0fiWy7itY^V@etNAJJ)l=9jIG|WI9 zO#nFo|6xoyn_I^;__s&C^8c>c$;G53BQ>Nz?1vtP9*Xzd{U=&PH8a$(n-|7yign58 z%=V6;gtbj&fPy=4bO!8)d zI;Zca0D;hG!ZSgSz>B*D3&8>Y>Vo9xig zR+qmhk(&-!2Z8sZ2`!%qAS4npa*;s|7fcC+66i(&Wo3wF#;nfR-H`mK_m-N*NGY|y z2Aw@rjv7j6Jcr~~XS8dmLlnu-1_NY53MpiPzq6Q=XwtgXY|__#3*)$O{#_q;{v|X8 z#i)VL|IT~An^ERs1qj@u0KXLZH~c9h5}JuJ)-dvp5I=E*k2_nxuFxxo-?M^Oo zz?m}7MyT)gw5E+p7!*w?U^7@$j-Wty4NOS5qA)+)r&KesPy*w1-PF+Z5nixmL}Pv_ z>f$(>$YQqChllcLVWni$nzP3jt)GSIB*M({Op%4m0spejR1SAsYQr8NN;1~N3Y9RE zMP#{E+|m9h$vr|azl8XiEWDtlalxf>$_61{3u(K+8)SfVnbRct1r^aph@I6-e?Uh0 z>AEZkG?7gz!o0-`Xrc%r)R6coo2rgJFN75krJ``a=Ofe*B3ipWcEOUN4{i?&0Pd0)DS@ zBqugNiK}7gVTf6P2}s#wl}~BsYa1Wh`H?SgH-*(iR#ros44rBqi1)BSzqY7>6xvx@ z+sm{%lpfK2s+gj+EIlHTcG(juKEt z;&YXR7lK!b*+*;X<$IA!6w&giv^1h5X;oS7L7~bb>*HYHOq6ig*!Vx-Rq+&v2x=*9 zIbOmG*wI^Ql>42`lNI%Xg`c$-wB354X%awJ4#Pg)mYHy2_SZU@gr z1MGRg*6_m}<--Z!hq;Oo;-*XFitn0`rUN0ePAyePDw%`=dAT&&s}P*x)x@}{mlX=A zT?AQwUQPBYEC(0(^`adaY-%6T@?jg^hUM4}!bgJ8NOF{`2T;RUO-S>j8^r%po8}25 zRvfLxS^REh9pV}Z0(>wI+e>?2KwVEGuZb2B>sF6Y6IFBYDo}*nYIw&8%@NMIsFgmP zLU7)JJM6y^U8~L^i0WmMhutuRv4a{TNEvFB!MQw0wad3@R0$k5WtA7`7T;26!mZtw zJa$A;Mk9QGX93_Nk&wkZ9ix9q{KOgTg}9`OBN74@9F|U)@7jJOm^y&UdkvnyHdg(n z5%UPOdFgi_e&jcm^={Jx{MZh@=~MgWr93;gf8>x?Z+xg&BRta9M9%LvllLHIM_bh=S_OYiGG*a#2&t2+xU>pOas9-)s@bmKA_UBpcF z_$c(&>cv1Ff;n8k-gp=!K?;Qi{Mc?~7Erim099b4F;<@I zLV&I^qSZr;b{4_jfH@eHs)lz9;^xo5?Uh9q@t*{B9iTN+fD#gae$?*~E#1W$%~gs791 zVG6h{P!`1$$(ppFx<@E{&NGToTtj}M6$0x*|Q za+ZklrvbRIJ8M0!eU{u39#>2mdTQ8RFS;vGoww#sptQVR?wULhBT-9OoaJvKr?ELo zL-5uj5J!O43Y3s#(dxQ$glJb~z)BUwu5asL0nrGQsk>kqsG9IbGf{?!DmQ7`e{l^! z2H?H++1=1hCgT|zNH?*>BL$O0m$8RN?I&hrIW2r~Yy_D?d(zyJQ94&Sofr`UF%IP% z&SyZO1Pbg6KrJx5QxlMyR)h>Oi?Ct{d_55ah5`!4yB7~!U@64t1n9A5%6}UIf9E@H zjFj>om!~K(UD!93oGg5(y|`nWd_BD2mT_m_Ya0~bc82`UQUk;Uygz+rufK!*9zbo0 zU?o6&M!_%#b^9*%i#2YK{w&j?ayMS4aD-_~@8h5Mu02%ijjp17ru}R7-C0Iz5YmTU z&~Ze_Q5_`uc^x`kAC0%c5aE2PJhzrg6&k1SXSPp}oQkNwJH&_oA&WqCzPV5Wqlh;6 zCl&au$j&6BI|pZ?(+*CF>F`Bxul7*Qutn*^z%AM=UYLyMg3uy}#@<8&beWA432=!J z2g$J4j(OnPt;h+OM}7)cc0qoY&L2i7UVx}c+oKQV5Cdhwc`?hH@UR)fEl~m9W{1j7 z+Jr;(G8qVuvhA!q%jO{_wvTi0ys@{KuChxVhEtl<>WM-S@-0xn`%-d#VA3h%%o*}NAdrIw^sV|dVocNe0mnDH7_!Maw(7tQNS zkxI?T`$~i~Au+WU(}CnDFbyn2+i3ui=@!5*B}DSvYK|y&jx}1auM*q^>1lZNkv{f9 zuA3luEW;NOB}b$K(p|?2E^9*b?#3^7B{bFmw`u$OMU05@|0tn8f-IUf3|q^BOi6;? zix(`+rrAjq$HPya(hfP__ zfERY-D6krbPY)Z+8u>O317~~UMRN{c1Ww%wDHP5tiJ}7Y{4ABe z@V2lWlCKDBaQ-yZqXRKd>Jr0P;7TIu}#L?TpyD@oWYgYur2gydD!)*FnRP#&akO@v2CFuZEJ?UyWV zDBkQEK5k{IF6JI-qSc|IlvdgT|I{{V;tS8)EXSSP6HQ3*h1o=MiEdCx4)Dc<+l}+y zJFwM|w9-Utv!ZnS;JG$g^XN2AL(f{2cNfGyI^S1~KuqCWqsi`C9Bnul_@jWl_wr;W zuf_A>`QXB8Pmz^zov*xVh>h*g;|TfbLvrRC)uf|r(>fX>16dFyRqxstqafQ^prqIx zaK6|f!wNOf;4W+r{@3ut!Wv)bK-(+%sfQvFcNq?5rG_1P-f0bRhZ<^Rp~+$b`>`fQ zyI@aiqSLldsUyUXR12K#iQyVGIM@r>2cvb@-yKGHKau}emvM>%hI-zr{kEB#c=x6_2c z!h~U}x&0P=oR0K-n25W*6%#uQ*ulHnO%-)lNir-?KdKo#}aQ$>^!4HmzFqF_F)+ z!q@Mt-p!u}A>T%(HrWtjrSQ3D?iXa9wo~-}b|Pwa%g}%!=^8R1wg(6-e7^10BThT% z!^(gAcMi9@`HeiO?W6OZ1?BniV;k~}wJKL7q&%2t>6Deoo-qtX`3K`OQsmeZTJL_* z_G_}OqI}Ogd;bP@A!_*CbNBy63L9Y$J#d!&DB@5`YtI?K(AAHf-seY;Z|r&zN`vc6WLh-u+;a}_DUHR*V&R8yN0j_;eCV#*W;}#7 z?|0rY%}sRnnV+^+8l)dPoxN5zZ1~FzG<;*~j59x_YzPib_#%S`f1^sM40dG7HyWzU z_E&k}{bxFQd+i*!n+uD%r5s4NIZ7>LzgPXEIA8g z`m-lD@#H^n$IP?OKXCd<`u?Pk0LgA7`XsMchY#SCX}PLw_JD|H!$tN8eKYZw&1ZdJt8?g{Atyo?Aek1NcsDT+;LIsVv>LeyI~9jU2!-R&I`Q!~5!j%gnuQWD`_ zkR~Ta5 zwt?~q1{(nGc>To_R(x#DnghLve26XcSK|b`@WRh(#_=^#`;9&yl@A*!>Z+N2k6ZE4 zXP>_B#!W|DYPap|&Nu%%w=aA0@r~ld-E{QS>ebo#%9sUhzrV;pf@_R}dVJ79?sn%} zIrA}P#uT{BEZH^?ngvA8XC}&Vq)1H@ne|kQ6o5ZcXd9uIFozm?N>UL=IHkBF^ifHe z46e}!YS;}-6x~*OcL#mYul6K@JqhA{DEH1+WXf28{7OVlyRU3fZkx)cUX*EgCR_wX3fD3xJO- zT-eYRI74F~`K>Ds5?5XuF3k?oAT;pK^D{H$+XspocToA4kb*!g(@b;{Mi_-;+`P~p zw94JnDM0VV`lCnI7mwsgDUG+@dRVBW1|5Xh_%1d`Gtr8vZ>|gIm8dL6Q1Wlq&Z~+f zPIH9#!ugt9bp6E3P^a5u45 zI$@xoX3tK{3iyB&IF|;z_+4X!R>(8cr~U^0e0Z4m;h#1H3>*y8PWQKWWRU@d z!H&p?^ZQuZC;KOx?4K_1^I9fF#Oq5Hvm1@B`|BO8i5O1fnIO%jV9m*Ilj>bnYoPQ zW$S6ud?nKv0&}NByh7Kx9>C?XDH55Z@R$5I;e$+NwD$aLZ3iB~Z&ddhCiWo2Ubdn1 z_VV5X$p-lvO^B6o>vs;f_nlo*332_@6PsYWCzWv14PPSj%#-&e6G_QP?nVI+oS%ee zf7Dn6$wdV!USW8?)F27gAk)!>Tyr6J(41o1dr3@JUn2^GFP*aU zt*_lBWNr3(MFDolWmk5z2rB={+VehLdvWJWd5V|2b~~?`uA1D^V?LBs2DJt)-@>@& z%RH7oDk?-Y_QDfS+~8+3LP>rr>-ZMWzjRI7QtLAsya5iQ!xVKR5g5V#ev)5%)fdrI z?-Op-v+R@#Q2WS&2SpzW=1Gs6*>~OQv^29=-HmH*dS5Zut@#|-cdWbc&r?P9y&>8@ z;voFEnU5)?0cg>r)fv&j+VlH;<+Ha~#y5tt$N1cAuXG55%+BTd==t<{INulga;f?m zbl?u^aO~iMSiB%Sb6icDGNY*g|ERAmaKY{nf(v@IIu|t8uZCg9gk+k4vY)F(1sh(n{}9^s_*6$9U| zfhXzp+($KQG&<+fDVn5Dr{N3EA1XRkGb{j|YP?csp^jd%F4|PIdVmJsR+i+SZltYo zV$kRHFJRKzHCSUB@ZlIfmK^B$$wnZy(MC}LtSlgBG}|i=A{M${dBwpBBe}Gad~B; zXMDVPEgF7EylT~T*txhY@ztu)kT{)UFQ7tlV6WttT@35qltnX0dwU2sD#6zT2EAGQ zD^=Q0eVGEA8(Fyix-ZAaXt;{9v2=>cosf!?)-^q~?$ZC5RNh*-6E&pTRXZ(&QF-k( zhwwYax=b^hPxlbh_n4?RHnL`F*}~LEF-&`&dn@)A-aqr?{VusUZ7c{sn=b?%d(1p~ zes8YJ<^}#rk|l3TrhNGI7dv0%_R%9ix*`=a&t=_2bInDcG({W_Otpz*5xmZK42dYD3zgPAm3k$gYcyBP)ROA7|J4rqgf(p zY(0PJx~sp$jD_A3##!WCqY^rDBRPV|Q4~{O zjw7ag^qJGi-l}g~zwoZ0@BdHqD{5fC{GIkhs!x25s~9>7dqRY82QH|u`6S$>_^)Od z0&`>pWi*RA)o*gv66gjRPq#pA-l1RUBaZ)%y?2ZHtT@j*f%aX*VP6a}ok14myC45FiUA&XSQLL4zzI zOPn+UgrK{DZo2#XzJG&ai}^jzQ}yop|G)2R4r)+q>~HO^uKm_qwd=ok)$`Q*R@L5$ zV@-F!r+4H)64)oqL5E6Glj9JGRn8}Lu|DYC#+)lI`6n8-{_JHw!3@~aFBqTSbmOrM zQ;i;f10aCO9L#(2(rNI`#>IUXZ~BK9Z#jz3kbUp3F4x%jZ(jyi?cDy^Bw zN0VU0*ht9?-oJRmZv%1HU#Bku2<3n{kDtL4PGJ-3!a!XF@w1mNzx1D`WOxBkC5ids zzx?X^nPp~v;esuP=jvb}cn*>WY(e|a``els{FB7-jl16mp)kw*(JlYu-CzAPu>-3^ z?J1%rC}f-uDd1C*y>RX!n>HTGYr}EKLla!$nydLX=-*!Vd9ed`BZLCSQGaUAZ-Y2c z%SHLgOcqFUqvkj$lO){}ySccWf6m_!5hV%a#d;h!-*7CYsc_#P_=4L-DhG0o&;*nx z*0WV2{$=6Qx&ITu`?b5@4<`cta35UEv*QkXenD*eX{-``pW-)-=du?Ns^-`Ho3DQD zy~{7|yy>R@z=PnkeZ1tH4?rNf`TF06DXzZiH<@LIrg;Q#2V_C>paKvIIE-Y==A*be zIt&$PS7Hhdk3&%76AVA~ujA7Xut|RD_1FIg+Os`B`YbFwPOb|*OF{z!SMl?Jz_itj zYA)KWxt@<-`p-9SJo4+`I0HJkPG5`r85{wm^Sdw>Y(ePj57*!t`q|4DUHFglOWC9# zV1M~<_}KLAcfJ?r6(4b6ih=g??X4GnM!fJcOp#AcTy()d5!!!v!>9f2w$vmX#7b0# z_uAn6kwd6C1{sxPLj0MZ+<*6Ne@YTBSpv+V5)L96UYu*9g;8!DmO+U+<VJIz zZh7C5wmfi3$a>e98{|7sf3hDvw7{`RzkmoB*w8y-`65wg(f%XhJYA--fX#vGiFC%X zV{$4tRge z(v|3yTfezcnpbIU286rZ&ZL;#~}JW;F{LWEz4)kFY(Q*h0Rf9jL}oVq~@U^T=6W>VTsUpyAZIqzId zj63D^>2k^#tJhp@%>3tMFr~nF0qcj!~y z{!5k%&ijXIoD5pL;F-n=gUD%l#vyzU;_=77OdRm%DSY!=r%Ua`U*Th~EX490gs#Iq z{O(_UZ1XusF;k4=NOplO4p@NJ&WB=o1gP;H2aKAJUHZRbSpd;MH?8aPOaBF{k5V6u z5xyBp_D_5)E2i2iCQTWCbe*Uc3M`a4l8OMuaQ~*2D(nI~`yb zWE_ZG?C_z(a5oVNDYTF*A378}B6;D6_>m^SIdIO3sm#CgF@SwU^{1a-x#F&sV=q|w zjrXqngX30?JZ{FjGR`^mn2XLm`j#6`cK<-C$hsPVTS{9FKH)gTFi@i zsb2FVWhx0hW&=_&J;eCP$Rk=JxO+ld@`a2uXjy^9SSB3gru@PL1p@t zp6JUG@vJWILtyyIXdGb4+Dk<|h!sY$){&Q5!#HqGM~E<`JtOPdZ_Ff0fyo@YPb++DfyYwCnA2y)-YF(j0NnfPj-Zz zbI64@TR0_)O#p2`K$&S@>P8{tN=AG{2pQx{Lai9CI}3w<-l2y>Mh1m9FX&hJcJULa z9=nP4LtG9KiX~Kb$59r@V?o$Tg1<=O_mV0<7?Ip4twcQxiS8I1A50CRV>^`ei_8?d z^y%6&h`%d!pd5tM1WQ4cbQU>;nJo zgUYP5W(0g_!rCjKh@cMzc-SweP&zoU9|?f3#i(A(N}TNIqeHELxOMaxx0S(2z?kLZl1|weRo7&X8yT7O-ygQwH zkn93e1D%>qN;pM2k{~BzLI6MAUrrvtKNAz)bOs=(@7uwmJ`TzWJ?xG!n+O(!OLG3O zQW5GA0vN}53lMSO1%L(0#13||gM>c(mTAl5HVO5_2?I=VQD9Ao3bGk0Q3IAq0!WqQ z%>^K{0GSH7aIVELUNC6qj{p|ex8adoD%O}R+~Zdh_b{6*!D>P7xrb~ySJc1(6bt_; zO)PLIl3aSrMy+3^G=8ZZJ6JbV=^Vdj^-R$R*Cm&(zEN?}nSV7`q_&*Gp2(>-uC`p7 z(+hDfnO~?|esXw(B(AGM#31bmFXXOD!B93*gc4GzsMe z@+0F`oW{!)(|F7gN9X#PIqe(!*$mY0_w`|--#Pn_{_&pRH(e9#)I(I}WP zwLDvX?2+59zv^9^Pd#Gu=|_Eg>lLtxrkj~}Sb1r*IQDzBS59P=f*OW>n2aagv= zs4t`-=a1JWaZ10VC#o~jwJRQi=%k>eeuP9=h9q$I0!TvosfLK^p(@R%0zmC<^N>>D z;ITa>0C_uNB%}#b}pYI{6`--kqpR*ke71e>K8|BJWOSVV)!BW zhz#1mJ_pP~Dvl$Ol=(u+!eP}=Mv$>rQ8_2iO+p|<1&H;?)}E*kDYh)d8Z%#<(p3MGFR`CK5#C+Gy~0HWh%kD zd+2={8reOYPC+**BA_1y@D)i%bH5{3#!htAmSifSo2d7h4%E~A#tCph=72$-ZBsx5 zqg;hL-0_W0oPtqcyEMTfk5NS*N|m!hXk#H4B_sMmC!vrifKw?@rr!bt5(e}{CFf|E zO#FjQAh_*cmy7c2NWxr_DM4wrbV#DwL2o?ys=Sl93Tmp4 zl~uSc6uHG*XQ~Z)b2ItLx|#jXQvt3{IXrsgdMd|{^*lxP|d@A6Nx3hBusT7XB#xP!_#3`Kun}T;DVMM5d*p(#1!4by) z4C6%*Ijj#{>%A8K9vpaEFT?|Z5i$&uUC_ZFz7Px8O#~6Z5vTFaC5#S}4*D|cu_n;z zFGmtL`2|Xh?$8I}qpfkoqTD2*uwc!7*s zw#2?R{Mh+BaNzU05cL0_nYQnoz5OH0zkAt?NyEKM`|?50jQ_p&P2MDYO-QgHvK#K{ zC-1%F++%Pn;a|do!~}Fqj019m(u!ysYISbv^aXVaFCYxOt83V^L=Pp2UYYKbRCU#U z(4!MiM19SjOZ}JO98QD4g~zwVqej>cLk$>Ga?l3!08)fq!!aR`jSFE^AJ|W&bwi_) zhzQ#a=>VDzmrPh!k;GPm5l;$9!d^(6Q{o`1Ky@fV@#dvB2$Q<;(9P$HLAIQuQ2H($ z|BqQiYUqeHoGKlr9{gM6O(J_P;risXs-dEMOuv_^+K$Mza=D79s5<3Va3SF8HuX!C_dY zLV1>KJJ7Z90)61Udd*SHgt}G~+STJ|@)9PpaD)-S2U!ijwENnCN-&er_=~LW*9xAz zi>PlX_M|O9+FoH-+;>2*b*(}I_1S2k2?5T{vnO9ad*@k!eFU0E_KKsmim;5Igf;P# zA8oz(ykj<|X{_wqLpmjAwjzZyZ?RrMTtB-c$Rft^3T|_4#I>l5E~@eW%2T`c;z8Q_h>GD0ZoFRA z-*;=(TeI+e`$Tj6J@xqg@WPhUj?^kviro9(h3>K(ltr%Y=OrOdr9Vbvv=uXtYKd03hm8F{!V~oO;W`oRR2|f!Oy)q}&SPh#c$xu1jD{moo zsVzu2jRd$ZorR(|T#@V1ArV;R`isvxAEQ=RIVy<=e2`urGq>2X9iH?I7X|%c0{uvLKa@6)JVZ`7(up!tqf2-cwQU<5ioy|@jsyy{3=v>w>GX~1+Zqx zCO}A`cp)sHxn}Q=CKWo!kqyz!k5u+TgOXpK|CV2b4GfV&zu=xw(B6AR44yLzwURN|Gv-2!Im=lZSlZg_KDc3`|7f0EPwZ@#myu z;uVQPIebb#3IS5Wl(`y~M|ogBpdHOfMxDf?Gr~J^opuw3t5-a~XyMW+d7=Ci9y&;A zh5QsE-0nA4kDy-*O&&o29|ht$zs_GgQA^9(`$jpu zVg-}oo;yz#m3Y`MW5cBf@YgBE#L<5{<%Eg$%$8{ew2-n`)qp)N8hN30GdHq)Av4#V zo2JTm9crQd{VqXByvSOk_lgkBRXG=65+6G8JD`BWfpe^i+d#Rch!oQ4IYi3Q>BN`w?RCBoD*2Zn%5 zh7R(9da;8imlte`*b%WQ$cQp*@?j4P1p<9ZEpcoIIE872@B-eX#qaX0hcXAJoVN_c zxE}TD7FHM5u&zsN#-eP5}t}7LzPBe5Gk-c)gzy@aQX$^r;WJM ziH089I;Oi@5T39Jt(HL@lB6c7G=&d_h~NV_qa)>e$`WL#(Jb+RNlCyW;=!oGB_b(} zFiC`oSTccUgS$RLt`f?%vY;QZjauoz4ydgLN)r4rD4ET-PS_R4mitq?6HelYszccI#Y>)szuqhSlI;`vSt7QGd~p(U^-- zD~{i}diVX0ngX;%p}p*g)cxBFXp&cd@;fcF5BiD=-uj~_?q@|4bJqM={Vx9%LA3MX zzkFs|6D!&Qv2XXv(jzAz7JL0zy<7mQWzXiS9PuejQ|chq?V94l0x!@)RGe+ivf+W0dm|y6Y%!&aOKkA znOycY0i*@6t0PH8nWRAO1sckiw(CP+Fa$8MJTrA(X^@?i(ditO|?~(L>+i=B5*p+fraMTDNg{WcBP4k>*f1 z_{?52Ej)G>Ld`h$_?Q=AT1YOWmf8G8&1=-!n}tbDqiUh`HHi=kuyK(FUo#6~jo>+m z&Cs2=^kpxY3;MuG#Nl~_msLK7ow$f# zn1D{LB(YnmmM{VJ+56mxz;pmFfc8jO*Tf`6jEGPJAz2cK8l>r!u24gKQ23o)en&QhD524M&_E$&=6hTo_#APZQV)5 zI9F}^?bWb<{VK#iMmhS#8%5X%QsHtyi2+r(?V$C`P)aO|rUNs~mhXOI>4?v4P8W0n z6iFfr$U|7*lTnvV?%( zqnyU?#HDk3{(0K7pkpv1lB95O3URGmsHHl~D5+{hb1Ed2@#edBA53|rAI+RnJt~|# zGu@UB>|s?jbqUW_SFI|k7^H45j|OEC&Pusx}SAiPk$FBg|x5Yxlc3R$hBEFigG#7%@F z5=CM`oaC2mm<3{G2<`14Vw*#Q1F zi>8k#>=prWx>f6U<;!)d{6$_LQhhm^bZ2^5KtN80u7gB0F~Lql4=@2F0Z&316%q+t z1kVFOl!j2aV&#mzXv=y0J#C`VnxGYc8*gZ3g6^Glqr zf$@K{#(okiW5mcfD!q z0pw>}ocBIx@b6`-+Rnz?knCB?RJ8_#e)%?h5i3K0;MJ<|f~^L9({0XP5PTeTe*hn- z9+V5H#tDNkzN271Nl!mFd&h}yc>M*$jN=*n1Ku5_C1WRr>;ln~L-E2_p4|P3hjyn< z=(qaojj7_RRu?a%@8@*H@Wd0M!%?X@ ztq^%&za#~=L@Jlm2G2~vBe}qnAe#`fV|C)3jz=IaUX1qAsqUizy%ssa=^R+t}Kw^Vm&;MvF4fP508*Mlg^z)Xv1o>V1DL4 z`V2^Glk<$wlw=f0}M!_8Ca;GYjDba%J8j4kg3>oGlQ$fL`C2!W-ErM?5m@Yd~SN z7Y=@20A}@*7`JQmPQLaY3@QV5GX)U4Kuov(I;Hh$&V_V)R`zifF4As} z$&_OE?h}Qf!sNpL@R=R|^}b!=1cR~5-L+e1>>u zZ)j%sNb-VXWA=&@$!J;ck?8z_khpX})Oq=salLrUYYV03ougdEHd~-`!NO^%fh_3f zXF^rPlf9V$ya&m)2@1K@bz>_MT1+|QQ|LpK4u@HPc9?#jxLcY2Cp~@&Qlc74Gt4((jog7dY>v@Xv&=zMmZVjgK_5)i8(+d z9a9E~sYe*z7p8*bT#=WXVN*Z^&7kmdR^p^8mpn+?`^=%qL@#Y^6wuco!4Z+P*#{E@ z$}t&M&pWRNKEFsgK+3SU@XkYD>Jtpico-;t_W9Y{KC-;+`8U3%p0EImU)%>IxAz)} zygDRPF-}?k6RY9G2X@~1q-swV{g7@QAPHiVW~x|WrdS5WYyF$;&EzuIoSV0>9l?6n z(9mz)_WWBuv+a>*m*hgERe0OJMmrwN5DNDDP@hQaW$r9WYC|dMBq^bKTt-~TfqL_T zLLc;Tx-*y{0Q3mItbXJifR9p56FH5(gkDw@I0ANB#AFc|iKBx!CIq^9M%Q zJQSYP{L(5UB}|p?c}szt$G$Gk3s-96L6~Q4P)#d2k z@{G7v?k!2FNrnx&?cKUenS=;+bl4{n)p3`OU)UThOM@3{BJGUQxX$3n0Ll;ej80e1gGe=sKEGYUO+!b5drMO0cZ=iy<07| zhKBu~ZU`?#fm|U4nFDx%JZk{X({syw;1t_|1059T*FZhOltYArZq8|ph@3Wp;G53g z$iQhNc#z^mV(R&qf)_NRW?;@7fycc9SOA&02ipQ%|NZXeE1Pf8xcv?P^OQ1R%V=DX z?vEpAwUG%6Hx4|8oO42`fmc|Z@Qs~MFYWy)ze0A8Y7YKWRn`cNlM%1G^4de^wO7ny zP%D)K3HjDg4bIMgaL?h_ZhP;yb`e*5a5KxuB(0ZTK-Zvy(B0CBsvAmPBexFtNYs@W z=?yQtkXrB5>b5==EcDSn@z%lnAiv>;fvdnF`e-6i+-O!1ROnH>KvF~RdBmige|0of&XCHl9&L&wQN!E~BDwj-bKh{YZ4?M|Bv&+fE)h~f)DlTt&7dFc z=||1alq6aXg8`-t(zH@$!emt3ex$MOA&H^d*uiU4X~4AJv|ELC79|(q++n~M3uq)m zSW^|s1!ah0z;lmt#5h@%_5pmb11!bap6p0q~e9lO5iI10YU-t9d&C0#zCGW z_AlsrZS`lct+Rt9BJ5pW;w2KmUGvW)@xa^2r&0IsethXTUQB0Xe#5J6{}t%(3JB6`oxc<_EW}!+rN5HJVNf#f_>ZOZFIMaFX+E5 zOOib3QkS>gk={)RdduP92%SCh!h!HYhOZGe80k!*Q$tEnNVwYlKPuUfRRFkNBzY@D zE$|gdP7?<_gN>ZF(hSi{G}5vC!zi zHihK24%B(G!`kn=&dkv+(cGV&y zSq1ZMpGI58d{-6~nH7NZ{m(4F`_7#Y?OfvL*jNx|>*sX05mp@MXyZ9 z#8e0B)tBeVB2$4SB$A$@L!+v|8PjCj*B71`uM~W9(-T};` z7NlA;9`}1-GYW*GzJ#mbx^SDwh|gmQLD48kXMPQmuDC!Pp%`B)<)`GP=3#%ylf-Fi z8y)H?g>&_u?Z}DOb@LnZ)6fqulrTIff;Ob*hmeZAbdrn0c~~i@UEOs{mPjgev3iJk z&^*v&tW!!OjsnjVE=cpputALh7oTUQB1yARyf?YV7qLn(PVMT9H6fcd~W+upL+JcZruq%q*f%!a`cRq8l-)m=>qB< z9_p+6bjMNeT-^$Q8tBXB1$t=uX}TEwv~rO|*Gtb07l0DQ2rbf`O96@iS__>NKv1d& zpOg^yP9aahktCyE#i*OqLbl}MG&Kv=$XfPi}5YGGHLLfXao{&i3 zT}FiEEeXEp2l6DL8KK7fS)OX|ljPWQt5 znBv&6Kc|regL(Uu`2NDf&n>Yi=#TE*2|=)-;HvL0o%HQpHZ-)$pcVdFeh68VePx9` zYj3^bSzJbM`SkX~ui1Xor?>s~-Ot_eq!DAVSoKc|`X{mwR`(=RepkRF!~MVQm3 z;9fTNwWQ)D_My(mkscjXNq;9y5~1QI6e2PT(tj-nQZhZA66o?o&;evfD!>W;Bn-Y) ze1tW=K~t%Lubhs;Ch4f2?35qbCkAy5ag87809`%o8ETl<{ z@TE^AI*HV;ORXw9)DZ7h$Rf&wbrT{djHLZ8a|cCnN|lH-%c9?eDqolD)RQ_SOP(o| z!5S^*J~$2aM~5e)e}raCD;=frly?G5N)a2STz;3A2*w7LbSpMGEBk+F_88CZSA&h!oH3o`h@ZnWfEDogKcV0J!HrfZ<1U|<%Y6XDp?Q$ z^#X9_4#FvY)70bAylX zKz!ePp+vIPon|12RE3cn@ z;l-`n_h`5n1>3dtN6 zZH#tE>!szVN2D{3M1VZP3X!0|X>}svbYz+Q9;5{{#*ogQ(?sakbjQ(h^yHjA|Ebnp2?gmPu)22_;FS!m2-#=&#mHu~8r#f0s@>FH|+6kXyRu4{sG4?@4D9^trL2?BvZ8 zB%T8ED0zHD@|YqYL*%4}2zuLSCPRc`0Utqr8W?ZPpy6?fLK;tNgz;yZHL6WkD`lud zd~B|hj*W{L5it{#WN&IQg1Uz1qk(-GAu|y~N`dU*g)%hgP!WbrWC9rZ)7J=N1)wHg z=+3J~872<&CriOgl~M>{4sf0>j1j?+h+IspO|n@*c5R5ur$6I;>hIY=AzmZEpI0HB z^nO_%Nb+(d?SSJ2dha__Z%HyQ9L%I3Fw6vRTVErATq3{NzgPsRgLf0-Q`)}$2Vc42 zc)pnM$;;pI%=YhNF2H5`;V*s#ZYV4WblPMw1$M90tN(rSRLP{$N~C0$OKoKdQpk;3 zc)n>%YI|;(Y5eq^vHMg!k)&2=``s_e^zDQb#3^6{}2$M(v zXGD@U<5KGwUQmXniVfzkQV4iPIgpDa1LpWfC{vPtpjq)TvL87~xjOo}(5OuOf=$i7 zh&-H{mhtM9nn)F1g*3URB7 z|Mo%6W$)|Lsy!@3G@kDx2N@K0T2f~0S(F(mnpX%!xslIyb`Z+bdj^@QoG#fkROaDdv3C}fYAlD6$%Nkmy4~PTr%#T0*#QmTA z*gHP9@gF_;#QkXMJ8SFwp$~HZbl*~~GL_cgs}l+!E)owUEGu~vbR zL$%(_tfeHmfM`HbL7bi5C9Fu~L9ppsILU+C1NsHr3O2{F`kF9Xn!38Fq{>hA zN+H=3mU2=9_@idU^%MHf9EFLGlKQbkib^6Z<&uvX$2iv->%VZvRzCt15ay;ux#GZh zo*B)>_?rnK3iSRe{?8IEW|3R&P9UI6M9!4T-^t&Y}Bh6v|Kp|4g7Uufj- zspNLrGZr0$GOElL$#SD^M8FH$*TxicrWHJ=oHeMh!>K23@Fm*&F|ZM2HT2i(Wr~6C zFSK>42Qe=I!UD7`zx0eVYd`lh4(}-VM*T0m?e8fH(&FAH!m1EbHErEs{B=Bt%vS3=aoReaxrY4s1xq19nhnj5CYtuyI!Nyq=_B+t zbwdxys^29-h*2*VNb0}~VGRL(_Z>wCD44_lzCzNP8eP{BXaWja7NZBL0hy*Y%#F_B zq01R1i6_^HPJyoiv9VZil3WP^(!l0IxcU;MPZkcQHjoq!r@*lgxL_Rrqrgl-DR7!{ zB)4%{o+f%pi#k4e4j&;h9)uqrtq8 zsf0NLA;vh@Ej7cSs}TPNv%sNX=0N;xiC^dJVhanQBFLQ z2(lVFvk+OBUk3lRaYdSCvj+SwD36~*C>K!v z`h!?A%bG&ZXk#+SGQoI>^&u)<$MleO8Na3dNHlUS=1xaZZ)TjK?AF~igjwVN`C1ahA8KaMc2-jTz z`SI3qds9O0vrfY%$ryGi8zv}(DNrLdeY|;! z4EV$$0=-_ZO;5;7XlqtaI)n-6OgiIAf+^sIwodi6!_k@;^+pH*T_5tAgLa{b!sIvN zimyO_=eL=#u93Uh&N9wRCBJ zT3YIBt#@tLYV*!+vBe99`f<=Tfq#Ef0sV*$%OEhF3xyc$kVxbtEp*KE&GcV7W?&>x zkilg11Nc+w;E@i71a_i8JlGXzY9JP9GSpXTav$}Pe*myDwo@yloJcC3jFpQBI60n< z@yZpUp;*e1k5G&xr(KiNR%}I*F$%9WY**Z<1yRJu>^WVi3^$Xznky!6g$Q%=QHWYS z3q?#Ec_NA%%ySy$mNZy`B^ed;um)a;4yLH5tD3QpMnZ@w5efkLN3w9zv{MG1I!H?S zNE$DYYDO4&fySH$KSo9cxS%WrMYM!T2jCyd5Lp=c0eK{nXTKZ7q2=G&N!_R+tkGwj zMF$W^-n{C~ac#_&^{dQHm>?MZwFgDP*Fd69jTzpqiye*aCumve;e# z{3Y?HJY_OqBbW}_Cg=|yTD%Zs`$dB7`si0&AQdo~!8HTy=2Krk+Z&cLLN|AeIRXa! zshj*ZwrHr~i%ZL&|Kz)Fxb{D;SCRa#lz>i73%%FNwOX!=>c+_KfE`%o6dH}@SldWw zOKL)W@=I+#{bKMMjh#Xrfu$h+$g;rS!>#lq)Ho34NVlaYGEk&Trh5``&z*QdCV&rj zaP@a9^bw|c0rV&UQ6wYSjL$PVje>nj4FFfoFOUnM%c{{JUL*^ENm5CoR;MFemoSmS zSWXj9;aNV)Mc34iu&z=xQ5Q;tuq0%uY7hF&6Sc4=7UhdJ=|~00m@8JKs+~KWdz(8@ zwIU1g!ueQUXlAAcL!fE;hEp<{63#y|oz6e6Do}LbRw0RPiq<6JadP{qNvJd@6v72* znQ2tjw9ZX@W_6VS0B=&-_n?`Vi!z-c@`KzghL zxsp05#JCXn_ZKT+EHqQX)DVKmeuqp$YX>y@p#3%s@xuFu_h76Bor6OH&;|X#y^P6U z2Yw!?pQ6fiR!XhPW25&tfzmc00Oap%{RH3N+|PAGD2-NP7QJ4>4JHz4+IEP|vgUQE z70c3?VxV1NsNy4~P13eGs^cV*{za(1Q*RIODVU1+FB6M24k=^!C{ zlBy1>*m-MMRrT9_Y26tfnQl?1uoem0_iE_5m|m00Z>iHNFiFTMkh+=WyK~78OV{#_ z!rGar)>2y=(qP;?}Wyl3@CYUY2aA#3d_NM%3AD-$&RZ+|B?53P%X{)Y8n8>F=sxyd6WdAW>mwA(d++pU9{rvFX zqH1`8KI>O=S5aUHk#}&>_Gs{GwEf)|>TCU=ceG9v@b3;Kgy)^TL0P=IL)|Syvd#-g zs#B*kwtn4tA*Vv5d4$htu%)sDyEu&;oq%D15^yBI9Pod8h^6z^P-vms8$@a}G1XlOI> zBcYJNMw(X90jG+4EtA@<>3|6BnHJt!b;tbGywIq`jDT{eAz{m#l}mgTsKa=BIl!6v z@(#!hDR|~ukr)dyC~ONvE?(%G6e1m`mZ71NGg;uNgiu49Ww4w$P!FC@O!0wcEFg(o z)Zpwuy&Om0p&;wPI~v;72Ad4nAW=3i$k8PG!Y^4WKhl0im?P92kT|ci(2yI5>)#6i zHlc|p9>0$z|M9uEac@W319IIrp^(|iOT6YN7b1cou<4AqJpAaL_`U@4hVk$n2)|x^ z0C4{77t(*k$@uVddrcL3O*eOslA}g^y+1Air|_q1nR#<*^MaaI@j^PnLU+jUsrq0d zbiI<420S zqmO};q*Ut&h=wGDBWxO1>V}0C2Q{9MH znG59(2F| z#Cg7w#DegSX+3ei7G(KkEwU_OV{17|!dS>xN8QY1SN1h;w6P=+p$&uZ;sqPI4cI+{ zOdJJT@6^t_DG_DlNh*a%GImOw5O}BK2-rQQiZ_W8N3E5lMbQ} z`<)miasUfDiWlB|KN8cdyt!fJB@c?d4rjLav!DF;mz;adt{0vWb^(UVdOdYeaXs8S z=;U_eG`L=#@ycuBKYa9#J-eP^|3%w$#!vGa*YZTI{uP4yd^&-M=L@d-!5%)H@X!l8 zcP%ad_vP$^Yx#1y)rBBwS2P*HEd1T^-92wPKK4T61)4F37JGM-Azi8Upum2278UZ7 zx^PrdBZvX~A%*fD2vSxb9sesS2qOoAlQ=CX6Ik^Unwmi-I*~Mj=x~?3gh8i7Cb%ODZ`PEd4|Xd#+qm zw<{%=;ot&Eouh{2;x{Hj$mI(2`7QB)5z#O0_MKq7-?ZrSM3mZZT}6tiE0qY@b5s-R zLk@v|cp;BC3dEyNLxKxvX{ZsB%CICDPCSJq3vF01-YpA(Ig63(CQ{f9;mB3O@FA+? zZ6%l~g5E07htS^Mq-7M*a{1x#C$Ogb`#l_{A4dNM3TuLzOpg_X+4W zzu-aXn%MG22sMWFbS(e~tTFIqRp(u|{4uL{zH#Tt8QE$ho7q8Q>|dTgei861JIH<{ zRouRyNHlKupGN-c5A^N-@y0p5PH*3i7na|8)3)Qj@!U&Z2dg5X&R6j#Pm~B)2lfTI zwtQuF#BnpV8K#~+3uX(~`#Klq7kVeEuBU!iQ_u6=kj_}WwFn}Ro(`U&UE+j=Fo`RR z$BGLIttg{VAgjSq2dIT|8hn9lAr2cC5t2QS*h=UK7CbB*Lq`UJef|sB>k@*x3Pk}O z*i#50*W##C>1;kUgZVK_;=%0-5iVQ;NrYpaBV16<6(bz4o=R5s3x>M69MJ*40=0f= zNlKsJ5?X{E5QdQj^`0xK3n|GroJfR;5b{_vPSArcDlvmlTApu^oY1f@v=Fqktw2kOxgahN6=DOe($?_Ud*QP3x{%VdZ-AcXg()R0JW5kKt| zFM0Lmh3s4g{Du5&CPLE(G6%3A%nsraPdCKr#Ef$mBgvJ-TQWXy2%qK=0>8 zv3P+&3r(6foQ}}?MejAOXZ3Y`q{ed_`50DT7DRZ|M=ErXp&fmh4%pCRa2k)mUa0~Q zBR^pi8gK$E1xF)-k(ASfqb*SS6E0afG*K{H5uyEN1E!rbB_~ov5f9{s8U}gu=O97n z;?0MmMkMSIWx`}nDhfFerW_(UQb^?W+bb1G#Y?j!L~`j!Q0V&2G(euqEri^bMxaLT zx@jVX?mJq@lG949lF6ILN2mvCW=Vl$QKTaqy5taLh!oX#R%=!OH4*bep! zwO!AeH3;%&<}Tm*$);wbbhrb80O4gC_+WEfB8B!M6k8?85yo)9gH(nC5)Pdj`9mL3 zi-c}|>WB0>IoSwaj9OdRupPKMa#F(%+RNaN^5`u|ygJXHYES;nJ9a$3e9U`Re*1zI)+f*&XgoAI z8M7yAs6)#idrEZ~MfyIV^q}f)^wtdC2-8VXkk@GFcf||H8$NWj7ZmtVuQ!`Cg@G3s zoi+>^#-czfXo0l19Eq#p zX|XhJC@-8I_P(WMg5het-ZxDoZCH}d1#18CJ`J#6!b&QMtcDz2AGcBfyn2>as~YwJ z?HnYbQ-B}vKkL*DICD@4HK+yFRgs*>zkOU{qjvGFv8srJ|&K@U@A$4 zgh{GGh=9X&8gzBooaA^;aG{J~PAaFPo2b`8!d#95QImCGQW$w5#)3+)XQ>!ryfoP< zvm~vwEzM!YB`Rr*P}Fo?Y@E(S2y;+os+!Z3Q>jFR=Y6t1Ab;WJiH;ZY%HF}hBuZ)= zfr9S9JiI4Yg;Qi`20Y?CO`1gNKt!$1m@i=-sHYWZOC-q@4d#2rQ8lx)IyJ4c`!43w zHOtIsq;wJ~ga_3D?Tm6!A|WGFXb*4bBF`lOhT!QEzfS)d(xO(mY0uc(DJbFxODMTIw z3$RptKc}P4s1|vkJ}6CSd}~l#850??cZ|0Z0*1!MvCI@m31lhouLwetqR*%v;s|$i zkCTu#bsnz>)XM-t-;SwdC#=(43x!+=Bc-yBza??PN+rT+xPVCAuE_ISN?A88GY)1| zPSLL{L_*5-pnajnv(e0jN+Efb(KE})lSC^@e6$+Uw2&v2u-aR)hXO`yueREh-BvTU zA%p_d;Nv4rlC23aUxj$c#Dp?ObdbnLWcN;^hD=!7UWh*MF8tS;RePMg4yv(3AA@n) zj%|sRZ^y>voJ|qqpb%%#2ub`mRZ3epFkpQ(UG_SVGb$a zO7bglh-5ty`=dn)yk~pi`_7B7^6Jh#z-6?rL9E88+M7Vm7zM;K07fD_)PGXj^}^Hl z-LnOY0G|@XB+T>}n*sLCHy*#`^uuqx;XirKRyimng-fS{E%UIz9`Bv=_X|`8#qxb) zWmxAg*N1E5z^c~0tuwCr{N z7jAx@FAqy!O5(Zn<;27h>LK=)khW26MtDBIAYp7vG`XaXMnS`QH{*qdnl_x~O+0o% z!ZdrrI>HX;z!aWqkecla*#hn|nOP9IcrBB8q3tg#n*t$FAC9n@V7F3tF2zO&*JzNI z7j*ZD28@MJL$Fz4o&|)RE3l@D%$Bhi za422<@qe{#+au1qm+us0(Y`TUo^}o`v*CYd*Ir}d>yN{eM8!Z(XNQVdKH3N2eP}JV zQI+5DKdvq^+b0^;t?^Cbo?QRKy+?m;2gbrPRIcB;{nv&PU|4Ph^`<0#9e-M<`r_=i z?=1h?AFdqpUzTtGPJ1FW07edJT^Y=%ZF`v1`h^)GbdY|O_?o;x7eh`brO&3H;xeME z5?|==IaTO!CXqly;Js1<4oznmk%B^_u;M`{P7_%jCTWDY5nV_5LOf`UFp9DyH4dS1W+)OOpg~r1 zMY0AvbQ8G7LPZB-`zOvDTtRO|rX@xm?R!d^UX!FYvdT0uqkV>3_D6**@_LPQv{(di z1S}BPSA;n}j0nt!zNl3o-=`tyr*KDL9}MSIARk|ohjyWWOi4!}I$*xcfs=<%!X3$6hXtIBq)FhiqgqSdQJhAs(w>{72IQhya z%TuWaHwT;I75I+4#bhXpYV_azkIs(!*wT^5?}Hb<`KW51S0}|@(0o?6ZPOi~2Bw%Z z%d7!XU;Z6xh<7*C5J{tn{5GY^6gMcWQ?aK<2^~8kyEAo-YS+z>Yp&D6|dBiCwoGK zIr5|`sXe&YFx6=5d@u|pVPY@dlI zjLDEmF+w%+J{)Su-*@rQ3rLdijHaed2gB?`%j*G^G-F5Dz6b@xSYS>e_5$32BuSzG zNMtx-JA@a+2;Fu7=NaL1nj>@|H8VoK0Tdk^K@ddZL_`ht8Y#|umioFQj0o8XIknXQ z8ZZH&4|;mpQf8kI2rmFi0wWJo8MuP396?SU8gEb^&a zci;NsJx}k^AXyNoPu^>&43H8L0Y(v;^4;*t4{AnrmSKpu&=sR#ju)zX zc6)*Tp01iMpYBhnQxkzYyIlvu2N2s{CJ;mN@;D8U9;_(XS%|1iE6*XJNnxZ0asgri z)e+@_Y=!DXK+yuyQJ#2`2$L~kU^xm6sJ#p+4x*%@Su@edD|;$dr&Gj^qdKjcjJ&X# z4yuwA+iy#=O|&d(b7O&GpWIBYQj%P8p;l4r!pY+l`S=LhQ?AF?pJ{0lNyVh;Ll3>b z*)K&ArX^I9s7T~Aurx&mDr@pW+ASOr{jnJnmjzKDfa|kkaVr7Z43MVPcX2D7!AmWs znTSRQSQk%(zuh7VDJld}*1^aN)w6d>4$^o=biDE&s_R;;&*@fPhQyd6W~tXZ-?@t*aYB|rAM3u)-W8P z3_wnhD3ss#+|v(3E}y;n-~Qj9y7cf*?l|Jw9Y=h6JA-PzYtLGpJAb^J5>zt(Y1pjt z*Zc!uk%vJxopvN|F1qR3-}&~|Pvp{eBei^x!v0NyPp?crmC)Yc2-77A)2SyAD2_0Cpn(3E8onqb35*n;1pikH zBOlqJAdb8Ruww);43HuW-d1V@`93KeP;IE&$N5nf_;(hFT1hxfe08XKqL!eP~gHZ%_Rs^V1;$*QYf8K5@F$aB2@?x zNirnpE~BI(9|s1l0eGl@LYhs>*cl}43Lz~`jNqo2_ESyHhQs7IDtQ`q+Vg^2X-oq1 z#S7^LHkN7RWlATN(@=v#Pt3&xXzYLoDKFnP{x_)iLesNP_k4kUO&a!lnt(`5fM7cz zS(K|U96|zdj5?ZkFh_v-f_giY6o(i5Z3V%;4FN8Jt(pd-c0ufzMt2&Trp$)wXSqQK}#gtYbAkQ@TK$JmKEI zU<3nx!xU(GU~IMkmO*+=CnFP>?>5?#7sLi3x9wiK;fH&U|N0BR_POo6AOhbDu6S*Q zGwH1NQv$8Q*%w~e@xAYT?$(=*+kE;_AHVRQ{qW)2<=)5ikhx=fXT4GmoSd5Qb5dun z3JL1k<^o~6iAuB}OJKi$m|Lp*K5hWHQVw~Da4wU|MaYy}dXbw?8-N#R60|yOTbgtl zf9p7BZ^)1-rwP*y2}2NcOu9_sYfAsw3J3oQcopJxPbwaT$cghq$eUxVBykDn zU))-yMYB%xWOG_iFcCq$C!}ZwC`hwY3GvocYLi^zu{iRaqZ{C}-c>hk>5ycA(pXfLmM1>%1BWV0zk#0T&_G2kv8VJn0t3SwJ zJ|(i}5cs2GXD>RT16d88Tp$&M=O81gIFXo22n8Sv>3ETngyMqE3oT+^(BRO-LC9F~ z#1F`D;LwsJf0}0mo#5~&dF8mFjJMwLf}<_B@4KUGU@0h*u!oZakobVrcV9!yX-p)kr)@_=<)~NZF z{xDB8jK+ht^3t|#4_$ZlyRaR;dgqC%SjO9S;Ji{XKX1BjHIpeOKFZp=&v%J>f#xgw za3VpDN##dPH)_>o!)S=%U;nPXA`^<`G&kI~SZ)qFu@`6|v@Y=i!=%BWR+kwT@6kI( zQV1{bLX31qbyXkLF&82uFC;0wm3W~9aY2F6U__FNua1n^wPtDw(Jc54xFJbukW(-o z2nz=IU#DXDOw#uAM?DBEe2J1 z>QEIUQY8~dtty{OjHkMs@jnrg>Oel0nPMwdNq(JRA$%nfA#a^Vfm1ykb<$j+6>JvEl|y`uSZI|N*G>9dyYiB;IDy@6c|rx#zJZ8#RWNS_A&%P-TJ=i z^ouAYZ)^m5P$mv^im~9qe6U?^B~r7Op?i=>3eF3?F$e5HB6K<0QW0U$9-R~zjvy6> z6-f;EfqkX2#~~)eiTbFh%Ixn%LWikj97GGG01JdNL<)TCIqQ*lFYAXlU^oB{j2p2R z*xI%VXkDD>M-T)r11};20YA zs1Q0;jwZpF9T^v?mNI`W%{sAsk^gK)3AS?KW+$S7gWp-S@tXmKS6hx z!u@lUuWk$Wf_JshoMHkK#$-sxQJeQ@ic|HAzB8!UL6NoSAe}dSA&{?8U-vW9Pn$fc z4iT=29wj6)P8Jlbl>+XgQ5ZNHNtwh;ry^W86&vfRO71HC(K_;Np>EH+xK{65+_y^}X&9I*&Sb$SyC*Elyz93HN z#lXJk0J7-rDa}okkg1BiMzv2p7fr~Hkl~=0sgi|xXLuyM@R1K4s`n!qsDmWyV2{Oy zWqEiET%eHtfUKR{ue$8*%p){X=tJ(LuN`_|pUKcD2#3uM_&Ta&Fn>SzjNzc+v}<+m zGb@K*v;FN~dRCd`Y2t8n6)&WD(^lye8A_#h#2!!QLL$H)M{B(UR!XZnQf{TC# zVIm_Up);V(gj9tv3#knf`*>cU7x?wqJAf8g4zxCiHdZ(EGix**!#i@FCXS8ZqNHq3 zQRISg%c&SwY;;hR;asVz069nJj+8){T*U~HRB9rm!cB_zYJ}QSc>M&W_6l>wLUPn} z8jGe^fL!BkOyOgeR&3`5l=EC9@9!Z;lE;@vQ}IOVG-{kSUKj=vWl)GO206;@=pza> zr>;{?D~*bfMjKLasCkF6Nry_tA&~W@gHXtCQ(Aq&hJ%D>3erOs$~ew7biR;|=^%{I zc)?3Mvaf;E;)OOa^VD;=fRLjhJd9wvFoesK?+(J<7zaj^mz#0GWmx$C4$&i&PAo_Sb= zkrA&(s#B>8G2n^3U~FC-L?JN&2$jU)HLJX9NEr?L3nk=K6AHPU))cLrbpP{5erCrf z9^S=jOtHySzSZ~h(`+(uxxc#i6X&0C_}zD%tm^r?rlrP%`>DyA)jJr3s(M@Sr8x-Q z+vOQ!1DTMS4s#n;3-90J%-n&xL+@7Uahzs67GpsiF;GM6zuh#b(~4+X!6UW zd?+XgnCvGE7OhrjK-Yai1&p92*vM(%F>#$5Ff&Nbsj`GV%m|!n7;Ny2nV>8kW+^SW zjatL!j;?`I>a!j%w3@`|7-lz+rvjx=k&z=JM1pctaK-W~>IdrQ_-CTovUgKx{1tVH z%2;T%6iql1NAUuY&KG1? zyYkqBuo^9M7GoO@DGW3b%4oC9fP9n_j+ie=f9Hm%p-(qUhfUKM0<2;(Wn=<^eiXD9 z{+GRwC4b%-*LgvvL{>4OFTc}ihw}D3dSy*O5CQX{h8PR69YhDFhRirLvM@VHa*E!D z5egu$Fh2xg^TAvo)?h^<_-{JEQfO~p%GS25O2Uuy>h~{b`Dh~C1Zrnln zP!=_mlb!N)q5Qv2joM0A{TvoPv5e~RNA92kOqP>DTs8dZioZmEzB#(bnYi)&keMlO zq!u>Fw)ONG?H`L^;h>{67Vs8kbm&LIrpBoS^3H&8IXWaL*NZoC+E7e|;p^ zh5E=pks$Dd(wWrgVT_KXRvkv=^(bQkc2`V!UGw_+Q^x$F&S+Cc%XN!fLfxy}%<+!Z ztfAv7dsPiv`W#%Y=;+uULf z79^j=fjwX)1Z3RI1xwUc0Tv&;3n_8d$I!}UL$ax$atK4<_3gj z2WAcASrQk-S>zeLoFb1-cqDPUq&UJf5h740?H(i|G9_$NG)p1&f=veKfKE*~SreXs z;51CJ5P}04BEm<|2OcC2c!5_W;Y6BvVLu3LBm@!xSVrj}E?yd~7Ft?)^n0J*a{60% z{T;|tE+#Y=;BiIRoC)Z~@!(n6+R;3-c*Q_{LI^0vwL zK`>iRdzkD6Z&je>FOY(IRxARW1~t}-5amV$(dka$^lpWNeC+b+*JBtQ7<+vrph_hz zL^|LIk&+Q!5Y+dhN(huipSTVW)I$o2gZZpBa%mRL9%cf zPY&S%FHk+Q7o?M`N=qaPqfX>w*s_}nKf2|xN@}Hz6uDqo_|oHT2@B*lA}3O}nxx$1 zdZx)3wLGAbM2Ax%5mv5}G=31i+Vg1C(i%(+;JLjt7xb@z;6&~ZB+C;a%_qKxQM_T;xzd8;Fh_ASN_xG zL;?1qi>kIhv&`0pD<66uD@L_tYgo4w=12XDW%FAkTGG}wHq(7{<1t^m^Arh-3+#;{ zRnYoN^2bjuvR2JKU%zMhMKu+_`<>=T1Eyi8zf8Q)T}Hz8-d|!VND?E$v(Gs#f|%>D zwh>zA9qffsk~lb!(LSfagn&s<=+%dXR78@i5kYq@HKB*-IDZ<{56GVq3O^I6Vhh6) zp@c*N^%Yk30`rm(0jr}q3XxoL!C_TGMWRy_FlI>{&DFw^v}{u z*{U#TUv>wy$PM(vn&Sn^$$id4tf!erNosQCbPVfpkC8Nq7McQxrNO=2;R`m}}I7#yG-EJ=CjKJ3BxM zMF+AP+yOHm9U}r3$oeJNp(vo@aw`q{7i#=ZcLw>x2ngjn>a!2T0ep&*p<&|!>!38l z9d;;b`-8v2piew&J<^|Vcnvt0tp}hnfC((mmU+kB4WB*Mt{VYndpRm7v_24%mqdtz z5p1xF#i-ydb_8MID?m+Zh-1wfDpf^!7XapfIfDIeCqA%?m8g`7K|yvQxvRQnAqagH zZL)}s!+&4D>y(Q(9nC8{vRH_cT`$jEhbh)8>tK@eyY$0-xA|$@4SoeTgi%3;A8CrT zVcLIMxW`c%Wwpb5h|)*Yo7^#5N9){CeNd^dnhVs~2S3sX7sLxmg5(J!Cq4n%syNt{ zRMa|HwVBx}O7hINdcZ6zVE#x(3A@WB@LO;_qH%$CQVWw8PD>vBlu$Z~Trf>HM?QvQ z_6s}0m#}^gzdd$A7y+(9CmY-@f*m~3K&XuUMxoy%aF*|9ij~khb>DL5bFa{hJP$4z z$A?HNr;!tmNn?C0yyRosh@(p3kbdJeHQE#WK#R7E9}GywJ_q;sunO zrBDN&Zds&L0Q<}uq)g(#c)CpH9io%Tg+>RV2|JgRxBHP2hZpi0=;DREK#5b50B{)# z!<+)p4YmjBTdLKB|DG=vSs=+vx%-kNkRKsQs7)-8Sq6*?&puOf^CP)@=AGdMrXI52 znRTj+DjS}?66k3+fyd|4H#PjrKim5I7e5;O0a1aT6xCcgt=zf)xEv6UGCFX9kbky( z<0SBj0{yOslw>Uh@Pk8*%+vj+}Gh(#o*1R=? zAex{e9hdKzkkBUf_kXs1&$nSWui)<+?!Q`7!&b zQ*099m_#n|f-#)2ef_%93C$6XH=*20sFh~jpO`|bTfc`XgleQF+)^ZUll36_yF2O$ zN~cdxBSbl29*V>EfFkJ`5gwZLuC$P}L-{c0M-e3DP}}SAv^^RyjTsOYlAke9Lm$;h z-#h8j)WLi)$hb?+Hgbrg>G;)Q1^ci7<+D_^=9+ay&lgCih@eM;`CN!34w%IeeH9u5 zAr=JjjOQn6@TcDd`*?>u)Cc4>!uN_1&>mjUL}8~1;eV99g(199q(CHj(P?DC*BoqR zgkr=CycWZ6!(cs9)~Oak?jPdt)#yD!piPF^%d@xs+3bkpg{p#0;k-xb`{x%1_-wtE zKk7v??p~SU%;yEFCtN1%6-cxF!6RE;$k$r4R$0+Xl|XWVzZXHV;hN3MoK6{SM+6wx zET>&XqiJf^Gja-Ng%tR8%u#qAd+ibqN-6h+Cqft>9jm|iIk$fKj~FD-NYwo7ARS4e z*rBLF_&?a@4fZ`Mqsz=03l^p~F}h1PANwrvCNzB{snCE(TowV4I;{ne1u}^c#&I#Y zFB({d2nr7~oSwq&58)C)q=u!LeK5dA62Po55SlP}o^X^aZW6ip{I+0e)D(DjXAbhp zD4lMeR0%|qH(3_+D{msC3b76T(S*@*q>!f2Eua!gUS>kb#aln5(5WQPh4ORcrqyGr z(-c+mJXykvHyuU^b+=2Q+5<^rydG$4Y%vYI*a=O{%-99AJt4pCRy1>{xq2{zoHE54 zZk9wiJV$09)H&^|3aDk`Eagxp+3N@?q*D|rgmEPF?glc7BRtwqhvHN^-Idgma1dox zl2cpUG?BNqq85kJnZUcdXEG9234(O*fDRXkEUb(*A#At%2(kd%HTE;+iycf8;*@^G z&_F>eTCGV4DP-R?CWF?gzV{FT>#O(^_JY76h3qw0p1t#|l_O4A`ut(E!+(3`M2e?BY*Pr4;lHBqO1o!nDe{z8(q~z4q#}`^rLYM{{7lrC#fZ1QTk%6>QlL#GML9HOILL**{nT3Hq$ViqET?>8%dY%g|98Q6y zuo&_9f7Hn(5=Qxw3+Ji9br{PTl_Os$FhIQ^tW$n_l~zI|#0%v0qfAK%iHvuiQ*LtZ zh9a&W`rPf@@I10I98_kvBa)Pd0<(_~tCCVqg@W;J6hx>-)yEP@aym-#J?OMdHNu5v z={8xZL<0LnXxnM<8r$@l>!O2-&>c|IEOTFpBm==-ri3yaY!qnx4?B3iKtiwjh8l$S zS+Ht42xZtBg@ReLoVN09UQk-dh4R1m z-7oMW4oD%pC-yh@eE`AtU@Z#f)w?zL!|L&~d+57g`2KglK+B<#(Du~iXnwRwkGs@g z>hSa&9fTf)Fr97;OH)GkU&08ZMjuZ%ua2BZDd$ws(bm1U(Yqwwb^uRSA3>Y2Kmepk zOa~!_kq$1|d@S;1IK-F40oE zV`r>D%}S5TsZ4%ClvC`QmyYt7J(nvpx2yrm=N1`w@R}UDaHcD5)qhVCiGX3g*!qeWK2Y3ejD#*0k381Qw-{%!y&#f ziPTKAa6U$aXA>w2D?kV4gDnW0101m(nzCmZiWj6AbHgTs{74@bFUQlJTy@OS9X zcg3Gw@U6J@#^2|Oh!^aZq{+qFUEqZbt1@Z|FVN8>>|KmgI$FXJ=Mz^~?MzW!c%f65 zX5b=fMFGH((4!j;B~Jw9$ut*E;fM3X8k;222CdBKuKm$oTf`H=8+e#QD z?}%L`j2R;wF}c)6q2igX7{cIr>5s(*u92jWM54L6*pU}1OQ~@O>L!$sNJ?v=fj#$x zux=5yRk9}|$~p~SbYcx7HwJMzJO~~ZYCK9Je%6y=nAB<*X%e;^=g1=RYA|UkIc)Z@l+nbz7iOXK_SKG9&&F{f@Raj|w2dDveA-0g1_{wpY zX^Mf*$}cUw1U`F;A!M+`h#e%)(jzVb#@p?qnAu_Zi=R7|b)o2JTb!ny_kI4}l|TAq zb{v53Ttu%1Vk$vtsCWqzg#`0sILKaDp8e?I+b=%%S9vK17fQzVqij|j%)C&pB;BI! zR%(_1dH%CwjRLsUFzKU@-Ts~XKF$M*Q;~*Iyg*Z=or?l&9gHkMJf{m0PSw%6r9XDw z5{_LMVNTZzL5ToJ#;7?BE=7_!Ate}L6qb-k2@^pwhj<;nN{H=HI9Wm>6K)$bg@nQI zffq(x9phs;iI1tof$khBvraW1!Bu<-LRF)OC0w)`%vL3AYQ2z@P(;#Vt6x)P$B?bQp zH=lc$sKKj}!Ws!TpS>YM>0mpYy#Z3-(172Dvz$xiEIL!;A5KYy0@`6sFdRlmE&yMU ze!6zU>5D&pOHwQaC2{bnXh-ODq!Q*(9A5BDL2LnXImwgAVwOe<(&-08P?iF@!XT%6 z@p+PX8%9_oui6eZ5Qj1nCkv<00mRYli%dHA^+?mcwpRf`AaihrkR_=ih~?Q||9JTy zy=TTJU_O4g$ij#4O}2rT8{z!Nx5F8r6k~x?782cY%bz~=`28+Y69E(s{$o#E`PG#x z?|O+0o!f4>_IJ2@tgp&8mheK_Y7LWU|LHOf_B|4-4!9T@jDmE`sfVt`0)}g? zHd(-jB7(?K8_|A(raqK)Ig6x#TKP9p!Z>hU4Tgcig3Sq31Ge__MA!!59d9Si0mFl( zBvmA8<5iSo@L!V`*1XC>X+<2Z*sxW?&RMBLt7NZXgkg~6P01CHLJ5h87y8f1-CHPA zI$@1YxU$rkShs-?TO))Lc8G*WQ%{*l9wialPa4MPdyG&`%YdJZQBIgvN0_8&j!n0W zhzJR3-mxIkm@^h?uy3@dFQgYp(`2DScq427f}5zJYo_B6(vM^{m_ESyc6#QaS#cVJ zf-v1p^x-|xjT*csLarpcj{tT?{v>sR&}lm|#bDnQYII?ATCQPFG;+YdmX`qg#RVim zD4fb_=wD%ouZd9m7x*I2d)t2JIb7O5igqVbU>w*1%<%$!{@&e(pRn(U<7Vf7NyB^j zj+7*1W+Rn0m%#}}cEkA!TLb>$+GC%3@?K^gC<<(+95Mp^F-ESqb9Vft)2y+QeJ!dQ zBZbl;A$;nItyf+8zuj`<@5ycDKZIfjlR{_$$=|iV+(~{l%WnVTrr+a}6M7=r@9e24 zzfYBhs)0~ON@vV>8CwKxh&5OZ8fE#kdgVf7?6c{x2}cm9zD!b$Cj%OU!H|(11X! zGGxTQwyvL|Mi?KfSL`t9ld(Zk#@xVTJNjK9Vk8q5HBOBbIr z8A2ZD^iX`y!xyc5zwsNL8XmY+3}gQJ!3LVVP>V*Wb~wyRmmM)SB(=kCGBntCLsgu- zP6`De$tjT(P8VRk1fl4lf6y(gK?vXn+XL)O7Q+sr13Q|+0_j8nf6du-$I&?h9Yj-w z^miqN0z?pgFRO6-q{a(j4H1NCA{2uHh5+AjBNA#zn2eL7$*v@<14%sHFsWrjgx4(L zIsgqg!V&NSn-{WwVbPDYUtS2R3vWXZ{x)&2{j$4Pj``1gO?c&YqaBmwp>YIB6%SmXk!!Jgp! zefMm+XyY-redUkHj-Cmmd1J^Gor5{#7eS5Ogt|)ysx*!Sl!rVpSUNdHbKyWCz+33uvUI#HSdN0i zzGqo^f!2=CP1Bb^hby*gRZ-sW9DT}ZU_L^<3#|Gm(kmSONOIk%tr2##XHq^(mD(@L zg+j8qP%nH=VRcfnbT)}{thV;-%rcqxAyv29i-FSyVn?J^%(oNKg!w}B0WZ+%m^H}q z)U-Vms)4mO*_)r_-kwm%pTa38$e;Cci|xFvVx4BC=?*#=GWp{>;e~`?HH%cic8(B1 z;|l}0NaTz*A1#95qtBTU=&#F=qOf;~;xvT~xXlj8g^9$bZ%u-e1{Hyt6QlM)xT>t;r zd-GsDkL$b>i~ox((v^RvQkE3YU*jYbFZ0L5%0!ZrDwk6=nHkTFmsF~pc#%9#Dt08< zNgPSsData%1q8SQ;3A5YxPg>KisCMbCJF9TnFK{iq__Yeu><#Bf|Pjg{GR9OK40JO z;zA$=+KSCvFB<3VK5xJ8_kG>Z)90M-ejWUcJI6RYGxzXQul(|-4-jieB>E$tojdF1 z+1nqRS%2s3)6dQB-Sgr@4_wBm{?W}F1@&`!k8|D!t)*$qEMe)Yh?XP>?AzOSFT@tptp!nHsB^i#L;JONk@lKqF7@FQ+1gtmBW zNMX;ueWBMQ`5lf>=gG&eVKq80&cl4;i^W05z4)5sRMe0|EtUpZFF@CjEL_l2PRU$j zYA7xkE&Z{3rk|$ES6bt`8Xu;J(~%~~jQ;^V2`_Y55IzKC8q^qADpO3+--%FY8GuR( z0IprLVx57s^5Y55sQ}!FM`pmb)B>%O(+S^fh8ggwiOTOUj zw!SR+7p&7Q@&FN}>GjKb+VL5pHg(5jlIHo*zhJRlqZ+6Xd#3~YAt^FXsIM_@BH-Oy zEw!5#S$FP^8eE{?=!}&tUQqp=Vp%t&i>=rx+393(rSY_?Rez*TLmN z>da}V0R@>4ebWpwD?bruz3BP4mB%w5>4$%hCpeXjwJ{=Q<~H6r^RLg@|BeqT7>)UG z?1$!l{Db>HeAby?yX|CN-&4$Tgy0snFWf)(UoL;;V`u!%nok~k=4tO>%hQY2{iB;U z{oWIgUr(q4GX&_<#uo!~y`lOKsT@NFEY&mjI6ImnXQtUsk79xch^fW8n2e-O-8(C`pi%MIPZPA zFl7D+wS@1uOAa#0QRdvtwp}x<`}oKevtRn+$?SRlwcAf(9}kvL^|S zE;8n%D77i469>2<6Tkz}AY|m=KcIxra?BT;0)QNK$&`@NL!=|r`*Kipax4aIK(f%g z06B=7v~WJB(J2L;8m0A|wlXMMT2DP>l~xTUaMeVvI{kI!E~zA6g5+~nWMhpnpHfaI zI>Q%8zVMu%zz(#jFEgk73i=`VacxVEzbu*jg0U1-kB@2tD(Zeke)_bcsrFO(X-L(a zlHUd`1f#ttMp1^EvDf1W_WKsxVT9PrBQ=CXqMr+qV^hl(Fu#z`k<-)=;-F7~=P?s1 zhs-bwW&)+MH4Oe@2OA5d*b5wG9WdPpO;|>DLAMB!lSs6N`goi?mkBO_7i1&&X!<9w zBC5eLWap&ys zT{QbokDvRa&(7WYnA~mTb7_u9cwyv%_5SqM+KYT(n2M*4>3V?%1LLuWJt|7a3 zybCKhNv4OpZ}bj^wZ2O>mDtfFcCe8G+9CSB!c4*VQTp?+ANcj!>baTwzP9F~)8Ea0 z9ox5mlcIb~O7)3t8U(Jnc{b=E)Y0(V%k-XmX7j~sf0}ny++co^o5~!- z=kdf%T+E%k>f9G8Koee|ov1b1ye;roPw7E7$20{@oBxzncdpJJ z%@H4h24gxRN$~}g5nLiI>tHEUluijFxK(BetwLcS3G zF&%g98SlF6qWA9G^Wy%w7BT0NayRASIi>!S9@=&672Dr&<<_$v+Y7L(692Ui$eiDq zncrQ1;MdNX#VE^T=;xc!`%h&Q@GxdiQt^T}AyGDeit5ZIzCgoBYowuOL=+YX9i#`P zD`~fZ-lwW_sap+zUvh?goK_g3iqeb6ZXQekSOn~LDS#m(j1;zj6)4A!C^(9BP^Se@ z1%1;+2JkU+ykr85R^zn6Y(J&i_>r3CVysqXN7`U@A@+DW%DJS}ng^)|uk!${)HRpp zSV?8Jr|M5j{#XO2^8CPARey-%P#<&ZE6S-pU1m4=!s6ogsr$E4$`br*k_zg_6^Q;R z`Ee;q8%V1lM8@Hvok+xjCr=^Lv1@XFkd`iYeT z>AQDtCzg@h%UbZg+vUbvLfo2e1Pz$$R5NZf1q^E=$T8HxJEB!9ikS;m)-x2V~eB|Eu zrv-{exF~99LU&~}n5u|#ACWSqof_5_C;?W;Q^YYrPM40#DGJ73ux{d_PfpSTKrXDR zN+CHFM=0MB`K41rT^=r|(tBi;C@L9Y(W!?tlhVZ_ zoHXXCU<6zsB5*u9q_CJ5T3CUi@Ir7tATK}C0QS(f zPLngaI2b!QfAf+Ifti^bZ~R>#9Z~>Ph4}{F`iwk`u-#Dr?u0<9&!{}hGY4*=GD`K&f80@93;Jh@ABlF7p-y;#zMv7&;wec*4eDq^hk`89^(sZk zK?h{cDicvM_6u1o#|uG*#sVHyB7+`EV?+!gW&w_emo-v71O)l2g0cv>gIZ1>O9PcH zbI2L-vw(D|P?e8G0p!#W`7pe2;W;ZfN`ZO`=c}Fwxkbqc%qbf0yHrL;7Qr%~s~#Sq zeR~dbOMe*fM;je*w-C;kZ>?7d7ax+WbG!W3X)5(wSM!KjL22bwyBafHx`-f3 zE%?uAnkYgIVtKX6RQgGa~Xz<3O6YN56J|< zCPhbFIhAN+3=jrvJ0zxp04(>l$H%XeJMx+jtI3l;^x!3giCKl$DxaOxDr|q2utqRh zXzx)v531+U$CM8Tap$%z%s6^<^QC<7MCA2xcpGY1L!Zl_?{5ixkpnlLJ@DG>D_{QZ zUc5=~zUHO-x2h`gH{P}X*Uz>o;5%}!;6HcQePxMgb3#9%Eo$BA0}#*2HWcv ztrA~2>WC56h!;u$p?IN$@sh|Cap8C^`E|Q|1ay>Rh$iKzdYW<{*r!x!KD+Y^((A(1 z)|7`Yfv=R`OTT8f-N=CsvQ%Npj^pzBfx-px2j+KI8?Xzpe*H9lkrblL$Qnat#19gwfXi*A(06V-)k`EeqF?c#+Vfab!`fyPk|7KA230mv{y zjkw5EskdDX_{-hY7epWf`{ISnO7hIr2H@nMyb7GxJSDRUbxN>9NrQZcp+h1R(VgQ2 zh!KIzwN#aGvy>gMXavDjrQ_OGNM6?_b^sRgQXcWb#}~~<5_G(|zYh4IlS06TL?8%P zkLvR&`56qq(K|pZ+D5~Ff=&LY(6gSVSghf5RDbA{jhon8<6B#9)2Q39U%bX+Kmn6K zmug6Fd=D}Xgqi$HE2or z%;TTdSsXY>*GNu!O_>tuboAl~>7*^wAfKYx3*^UkK&U=GUPxx}p{#(QO2d|c2&C4{ z2cRYjKv_^Lmm>!W1!x^1<5!PbI3n_>*k>qU?l*zCZV%IgwNQx$0edu2v>yrh&T zHP~@9UQ$&hQ>5S(4O96kJ(t))zjakocS%k@a=xFI6Gj-)$@BD2)M}erH{qpu^;?Wz zDfNc}N+?E%ke`X45<=H6Xag{*nu>p7k&E-)X0pbut#nH(N;=h?$OQItAaelPG~jSS zFq~8Jld1eH#*Cw>o5L9w1?mOz0d`vl#FX=9XMml)!yqcWAVzQwDHK3vID*qA#E!7f zmL4Z%2OWgfhV*QU7j_^M0UcPNslg8HlGoR!aft|`ALtA12a}}b45k4*0#nE|z|gRl z>6A~biXSO~1{HbYE2pqSLeIh$BSt{xZ(AzZ1grV8JtFWI#?F4>vR}UKrjH_UG+%wj z^UHiesDZ{(h8(?~f>YiT^p!7vgq#4i6aatz4)cYZ6igjb{N-DA9CO8vjZg0VrB7>- zV6LSeoKAAnz41SOdJQVPxQ2F{E<@TzO&(&E3>}n=pkt9Cpix}9VbYBHk{QjcXht42 zk=tm$uXri|3E*oW+9{((lBEOeOz{FmWO9@nJg3X11N2Q{DW``#3TfjAO1hk5XR6Lz zTi9MzEhiKaq#i;Z74=9ZdBUm7>#E8}EwPCfKIoqsg+o4JT^NO2t9$vo&G(O7-}cnk zTMFbi3a~*jk%!+s;7>~^76@OElY3;Oi_RhgfvL;!noU> z*#u458oluc&*2431`Y}Fva*oDQ}|GbaoreXn3xn^5T_)#?1%%WP)R8xgWf`ZPf{(A zS59n)K6w?jM3d>TIbuPOpBlJCA%@{JG!bI~dm+9iu5HNQXDFed3Ns1TQ+0>lajtkPc7aOF zEXsLx@lhe9ZsQ-~nl8JV6-XOBSlM~Iw! z;lQEd2!at`2zNw?W+77W2WkjFi3_@WCrC8{REnb6aI7PLU~nly7JwHB7hq120@s#u z>6DNn(mJM!>ej;E0klW8kV5eSMawDIRiiLg8#!qnDODw{tJ-OgQ?xsF)2zP9%3u2B z7ZK!#s8jup{WlHxlhQCk4f(A{Y>!SUa9Zsrg44FZA#%07QL{LL%*G35jWpeAz>OD} zfaf`Qa;`ecKuS@g24!k8lDdqyPRhCirP-Rh`;Ib;HC98+gzy5L4m!v&%3%jFg^h^X zYJ+~-h{N%Pq60F)_6G0v6d}ibM9Lv9$O;JKKNJ9EkSTXTryB&&AnZVX*$c=0$%>4V z<=^<*`DZr{m~T%^17zkPv2YkVARC}RpfG?IVg!v3{XKb`4p|!Th$(=LJ_s&6z2!E! z)&lpe{8Oc}Q4U>+a}y>|O=go1-m~l2%eQUbny9ig5|wCXjxRa#1YV#S&}_mBVT5uM zH5TXtr*x?5K6ERN>PI;pbLf@fh3dj|b`;XVOKAAu!DL4M!F*r@3@KBh2~!i3`e_6C zj#pJf0oPIm<#YrdA+a(G8xJD{?V~WthqPESc(<(ZoVDy%;P{&fWP}S1>shr&F z5D(n(zaAz6-&NH>PTX;m@77PA{CxBEZHEg=vrg-0<=4js95Fx0AIgY<8K#I1X`GX3 zZ;O#%7Hc&~imI(5M4wa!0xO{HJDOy6zf3BqA1X~l~g`~v`Qs`WOkR!DzwaZA$-?{}6Art`4FFJ@vn?5iE zfc=@YA1yrA{YeNxSlW6R$tO=B-W?LEEQOjJIgtpml=L2?H9 z8NkbMSmuu+kUVb-qBeK{yMs*m{Oy?1bvUU^AIsFCY~5mp&7(v~n!D9DNFkaO6)&Vy0|F6pRb>hU z94`njWbm(nWp_0oNbW2UArKVIDKjCbV^Lw$C^WSn1jPl0Q$oRJf$G5!jJ0TD!7Ln65d&^LmV`bG*DtBcL-L`g;3ZSp*f09;E(YBVXhP z&39hE7cRj`Ji40(G=m9E#Mji@2|qebCGDirG=^$IQ6MEBou1ze(wvG5dK4_99a0+k zBr|P$FpaAVl>2Bzdt`V4KTzkl#H^fIJ+b)0(gp|C0Sh6vdPX zS&$Yx5CM#7khHOb!wTd8>B0XJVjzI+8vnbL9CXYhtHlB~4X_C4{!;KZO0g7*8Wes0 zxYj$Dy>Nu6@;6V-ZXX!}5m+hkn$DW_#27u}_NJETz@fecE688;8kaiC44VMp=mOxX zuwUDv=ZC69dEqJ9FP??f)fD&;Ysk>R5()3R1QMMdzF)(OXo$I%ye+l!j13(r?C`*Ejoo4dZ4+K15G(qnq7MO-1||j zG!cqHBoA0O%7Mhn;k0CgxN!j00^taC)nV|K%cp8{h3!eWu&ym3x6TBlCU=t|r=)!L z$axavT%Jcd%pG%8?mMdK!ft%=O;$Y-xC()rPQKXi$Z*N{{YT~(EZz@6G@9^4vi!cJ z5mJ;3V=vHPXf_pKqDhc5MHbM#r9RT%( z=K=rN6j4J?CaJiXyyzXw|TC9dFUt(4&1QF$Si31JHL}ES?q)_(48$_4CIqF&<=5R2O z%Lu>z1i6BD&*#BvFjD}>hxt=rKx>wT-Y7~pE6m7%6wC_(bgFW6;LugsxY)0?(0b3c z4zmniEY=C~%4RNyA0M&C;)N_Jq zoCXppN=~pbnMuc-4AIH&WAzp$7^wm1&NE2O6Hu%4P!%4Il`dSn;-Yo!ppv>gPdhxu zqUVWPDI*N}hrV6tqb?jz>x*4#ER=q})u4CM_B{^-Q8}Yp;eXv_N(h5Ac5vIEsl?+1 zO{D!)OQTU$PPIvzpBvj?DRc@Lbw*j(-rqceoB>j>UA^OUzx1tdwWo*!R)d%M#KolE zVTV#97t34@u-DPNN!_xbw5*9KLBR;`;0+6ufuOK*tw+=J^1g|8pOPe7Ak$W35p;(D z`Jxp^rIE)2?vU5W#znD1-s1@`;7SrN82XmQk-zB$1e&3Suz&~Y_>Jtw0ek(5YWZpy zy9^x+MWQmvFt2mIe=BKg}EH7x(fwQcGt_tlO_!hi7>lySfz>=+J5@H|Uz zLSvv+WDr8rrIn_Ir?nR~jJ%M}5(*#{_}eZRO@r_P12(;m!P{sjhtBJ++5(Qk|85#I zuW~;{Go=ko4#f+NL>4bAXgF`!4@ws{7QQ7vu!$TzHwzTZYP=ng%;h6sav^g;3mZ!) z9sD^{M|6r@I4z^2E+2&=1q3)EMHikcXO16ie9p)dL!;`MeDDdK>GFL1v9vC^@Z7eF zft>s_BG6jhY@(?PRZqwNDIvdFM*dYqJ;|>egt|+LN+Am<#15SWg8bnNjW_y&%Gd>2 zK^Yx4xyc#_S-68ADMUcKO(UlW1?kY3kqzb&5?1T+1$Pa-B$`8DZgZe@kkcpgl!!-@ z$@oe`EN?!EW?2D2eo`H-gd&c}e}=K(S*eLfs8{;^3vwcPwvr47?~GCYB2mC-L?AoF z40p(UV9O&P8BIo6-O)!(t5x1WFL&f3}2Tb%ipXVhWCmCd%>|R z2fJ|u=7~qkVDLsmHY>yq%l);1y^RISQUuAv3x2_b>Ll~9-!}Sj8DUwVtq^vmGue(1 zp&)ocN4RXc$5}2v_5uw?gA+H=H0eo~u_-<2IGO3aq?ZNlYv@(NS=bI%rlX;Ap4UE~ zYlA`@0kAL#JbT5Ovo+WBe9vP?4RBb)x~;QUoo^2kr>sqjG8hhDfJkSvDKvsmgY>-QtMs{R<)>z-*)AZ3DH2=={>$zlrz1S3L{_)8NVLPyFhba& z;k<*$C⋘GEtPBG2(G*lmVMyMEGSruu05^Q;%PjeYQXGF~yyi!;l{`9}E->f{sF6 zEqN2BX;qfJ?p~vu5%}_G`$Im$+m}y&1H}t57HGg4FZBsX)h{%Z>NdDI!V2k|H8$&~ zvIrv6RWT*bi$THKqCO`C=Yab7nLj2&qxX790geCxB8Vx-F({p#*nu!XFE|7I1tVvn zxq|oXfb&5Qy@<_7D=@d5SMUh#5_&K`i*7ss24fMp1r)#$#53$SmkT7KQbG61bAj%4 zf;ehJGxkD2m75k?g3kc##pfBu*RF&|xZE|Y;BthE)~pHwt0)uz6AA5CKvITx-AfwW?&EJEFM##|e2sAX%uHUR6B(w!Ve&R3Oau2@e6IOOZe8I8T6Jso z_L?HAvvfSKew57WcT)=0=|m9ewr8wfcgAYEEkwYQXKaCWwg^NVV7_}j;ExO`oPz+2 zpDB=E2LeaOYLeHe^a7a0j*cMGg=g7JFr)}rY-@n4P)jLkh$hu?J1P6fhb7;qVu2jw2i~pRC@;#+nebgQ zqfA<-r92k#6O8$MY7jnLP->GL`>9%~DFdA6^+2TP(1bw#krz0nfzeddoIE@bFLb^L zYlH<*>w^}V0BVh82=qY?IR*7&Q>RI%Bg9f5&1v${9C@K?kWP;&z$T#vgdCxUq{`{R z=-BB>yUiXsbU-FT(g+}bYzI+5M|DQ~oMK8)luYr(CpGVgv@wO89)G( zNg)FADMip+R`Y^F&ue{@&)rXej5%l;Q}sK@1_?=f%J^k@)5c3<6NTS$Dw3u5Ln6c z06sI?JhRya7sP7dD&v1su#*Q!6c>mNWMu$KlnR799RRa%1h7fIFxl1t_^;tK!|%a& z#I*ps2KH-L!b*(cbrq);G&qM@Y;LF?9|g-DnT5u>qwht9fG|biKkOhpHrbOapQx2=*7ZTQz3C z*0Z^ZY!}erb#%KJL1^#rF+C!KC2|@sSh?Ivp@4K26zakl4y54%h@fHqVs;qGVvI6` z2)G2qX21`0w+MpeR2Aa*GeQysqirS>HE>G5=7@7CM#SW$pW1eHb;TDbg$Tqfszfj7 z!B`MAbU)Ht$_oi45H@}C>1SWsxtAz|9Y>yuGXyp4ZaUojoWCl_{9AD!)-Nz}pw+1z zy2TbRw9c|P#9V!co;b1tve8~WwDQwiIh7NsTl(=M$v^-fPzET167*uDy3T1791nctgvhgGz6e1C92zGM*eG7BLnryu{8m@ zUVOnjzj*!o|9sQ?$uDZ4azLI_JV+c%u(x1VjG)1MBa4+A*R>f$LTqTlAWzMpeej%H zQyU1+DaL|j2Otdo8`mFmSuyWYRj4Svt$*PpR>Xh{5eCioiG_24i79r%@nHkvxGug8vz5 zj0Qq-XqMG75kd;dthAVd23g9;B8Y($(t61WeN2pt@Jkq^Z@V4tNJuW!059n9e56Wa zAOPkdc@Y7Ej`AIOy&F0$-=(=k-IHnLj!L%;F@~UnbaIq+U_&6V4;OT@uz?VhAr#R4 zNO+mrJSA_|t>p}!wpy=v7B9R-e@6aSUy&tn>s|MM;xilm%O}sh`L4}GpEZZmk!Xr= zC^1+6Eqa8vt6lmH6fe-a5+GwBM6XeUj}z5{sOntmFE-K({|o$+pKeu|AU`HQu>(@N z@Y!drxc-_CEG#U%&cFGE@6XSlvxdN;&_J+%=8BuX_$w-zpQm(T;ro|u_$ko%!TXQ5 z`s?$rFCdEu7|frwV#|{o$wyo1!u;*G{5!Dd#%ulsGWF{pRjBlP`}RKf@(Xv~{q;Zm z+@*gXHUWg85&$j8$yHZe@}8%*Tr&5{uGIEDUApk{j>jI@e1ZUwxU)bQ57LT)N96o#Xmqf?3Kqe@31 z#8ElRsg(jk7@>G!%qiGM2puFRuuo1qwFe2ghybDK*g&AQ$U3kel6+bnEt5to!OIpR zq}?L-K}$0t$f={|QA`?nH!)On`ie7&8e|shKn?VcNzj2?drE4BmBSPv2+5wm1hA`@ zIouFJNe-C}^Lr?Po+z|;lo=v`7vwSu7dV0-E5K8g$W#b>n6`xNK;WPa{y}~W4ZDeA zci4PLgxZk^Q-hRyd?A*C%m)@V9KR~FRTW=&YyLC?$$ttTXszzd_8oh!xc-*^eCoP; z?t3(*1bl!i366N17~Yy6;SjaL3s{b{77iMxt!DMCn8~6BIHGqV@@dbcH6BZkb2{m0 zIW6L#+tP&@{*gn+$CdQzD|;Gj&o6Ac?tMTsI`J4k_Q)9`1^?}M`O%=n=KD?rLJg7& zo9{m!w?Don5I(qS44;4D`P((HT(k0q>wYnp2at1}IpX`ypMUs)6Yy3A-!#}>yW+Yl zfBt(1_j2FdqN8zpjNLmPx#Xf_QNvOI)gQS3BxD*PJkW%6>M_p`eSy#{1~Mw;t|?YkRh)8=98E3BpcVQT-Smi z`XE>z+>3nDZYH$TWcHa(QYJ@ktL&K3<0Ik-X9DI^s6Is~BQHeGC1c7h4ponCE#&tt zN+HU$jS0@19l{z(u^BlabQzC6Xk*g1bCRYNx!Pe)(*lF`6sh%k_GxiMt$@HN&|w|a z5PAU=J{>Q51aUL1hVTe|E) z@>_mRY;Wgz3wfcp&l9sVPR9c_QxEx9__Jh4pIprLJA(N^`MrdmO z0_zfCJ{NXUNGO3*4$1Z)O{H-tkr}k70q7VslfN{jX%+aZnfV|G&9O})r&-oWMhM^I z2-T9^nB_R)h(fWz56Uan>=2n+|< z0gi}H-e|d;{@eLS@f)BS&}P&=y)BHWq5F{{&}GKK(&DZ(p$0L6F+Z!GtE;M8C5S-J z#i8Vg^OtY@72&zD^x!MIUU=@V=U%vL>vzBU!gF^vG*sd1S6%rt8C5=ry|D28pyfmN zpM(?Xk_-RtD+e(;_?u@?4VE%h6=mi)ZMy!SDbqzjJ@oPLy(c~Woojoh3MjqulAmJy z55Qi1)juwLh8v#y_9nUXH+|rlZ(Xk^ed(V!Cd*=LW2X{9NcQipbEYNPi9sw30ADPD}YA9eA2ladej#i=Z zKS(~6=7$*5LnZ|z6gAXoF+$}u?l2LgHCAKu*h6h{IrD+mSU7Jk zkaBC`-4H0^$UrEm`a&WOqna%UxPV^Mtbjhd8#;g&Vl^PtL?gLs?IQ{!1pkW^LJiSL z5%xkXg}e_sOhIP3l_-tjK!wO!6LFfXfYVNNsM_)9vK=INR#M9`BUFSMI*=2|Zv{oh zgT%@OrX-QF4@NnBejxY|I0pO^8o)KgOD+Avnu8LwhmVR-(3;%k|B5nhx$FM3H(bLd z(jUUm%U}9-$x-+QXa@L^)Y2UADqd)vq6Zf=G_s3zF)y@U))>L|0t8Y06oH~Rh*;xH zABPv{*Xz$aHg0^uqu7De5Zv*ctbO~QVLB+|OoFO#K>(DY{=@g3NIh}pDAPmq8?XCk zLKv?v*mTXmkdr6XE-WxArU*#y?zsK8Rg2*G_19k6zu}@| z!GElSOD_Bg@>3O;Tzlou0^J%#pSJ=Z&bjAn+7c9yXCU3W^^Wt`{g{K!E6+byv12sF z3+-?I!3RzRenDwiAdlTX^}PH}_=14zhc}X?84TseDd;6zfw8NLE zQ#gk?OB(GDyg*lqPYHn{0k}tBumH-Og8v9mM(BWi(1n0Puns z!CN8+fHV{>#yE;)s4F72kkp_$g1AXd=uY*?1erb zNdpyIvSUY3H}Skax(Tw@BOJ;MPe)6du9_aIer-Rn{KKY+ylVN|-`dn5TG3|^ufa7j z;vg-722EjQg38&e?z{iQ_=_AwMl;aq@%HNrPdvFX3km>j@cjDg@!wv5TNVWRFt{b43SOC_6 zc}dr!jDjM|o?N6c`P!9VyivTM8elYd;oKEpy#9TKq_#>Im&&0;5t#scd~wJ*l24l2_!`3whv5i{n#ZZnRtgam3zV>kAcpCw z!FHNi;e4>YSYS#ROCyt}dB>XIluJ@1%CH43n&2p27z7juH&7&@sG(0u!fFWehdW{& zge*i5-Gd|@(*g7W5#*5D2f#Op0RCEr&;tr70?!dnKSfgtku_n}^Z{9<29c3>)sPbj zUf>lBt`mykLi#?3SinR87qpyaJFLc!)ZXuWguGyL0OaSD^wR3gfLC8sfnz%GITBZ{3l>s zv--i!C+pPJN`7x1(K3kG$Em@QOapuf)FJ4M`+0JQptRWAbb>ZN$MHsKst4ZIM%e# zb}>Q({hU#S6jnYM<3C1NAi2(efS5gf#eE zK2}mWlOEwt0R_-sbbP8UTbSYnisVo-@`n^i!v$x2ia533wlMGl9;XK8efKqyPZfkCbUeMkFH^5IHUMOQW1D>%9(Aqhwe--Rkf2kt69z7HVC?HGQkZhk9MiPo(A+~U6M|VIzlQ(%x3IvX10fId zkv9Ev)X0nB0r;>|OoQrkRxr;~s{R9$!6H}y_!U0;zM4m*#RXn0u&zU~*@u}$6hRGK zg<}Vx23oNfdTq8Bw2)03tYPkG$Zro)u}sy2fI_$+*dDY`tDrRAq_GHv=p-F^A!@X=;6J25 zjz=IZxDazSr6to)3;fkG`+GoQCRC9cwx=gm3s+H(FC>#wdJ4n-Kz-Q}^pqG5bSRIq zG__BB(PI!Tf8d2sMo~T_FQ4Oz`VFd(c^2;IIYMAPVs0RrIxxp;j^2xDXv= z*&5p>_o7x?W-FN~vYbuJ7LL~ztfY0Y-ucM=NJ1(b2(c98DVmwvJF7zmK(`u@rMCPZ zl#wM23M)7&K@9qHo4&$nEQPo2h2_7zqfo-HPqV@NbQ{)SMl3Ld5{e+wchb>94S+v= zBsnE;TEroCaC{+|^zM)X_`uvDMVVh0dV`bXeEsYH2}m@|&p-O;St13HghAt3D={U6 zr4YdD3)^0}r$Ie8UMts4{V{e0)9^2WRCnG=D1kp; zwy=OcD91{HmT9~tNAP9+Hbm#%^9w`@s3dFCKv8@<>(5(-Ybe*Eg0h@QT)1|{25{JW zV&8N<4+E0xjy(3vfoF=&uDaqqF?J{d=c$t=4Ddo|j!a?=vIVHnOQd6HxT^2J_~PB% z2vXqSMj&~Jpy)sXWWnXv-c`SyP6%}b%ws7ab1g-wA!$)VN|UMI zi?7s{#c*x21@x_&ZV+^I%nvUl6NQl%9rtW^D1`zb2n>Xb$CUOxF@X0R zVvs~7yy{togI~u`27*ex+bWKxV1DC>J|8KhAlDFq1LJ>KgR07cpa=wLntt8$$=;ef zrUV=TFYwm3BgY!q1^l&$v$l+8dus1ct?_@X2Fjb-a`oz^1}@XP3SOl~jE+A(bbkn!6+cmF5wR)CL}=DPPe;vfVjht+LBgyyfZ+0i+xhIy*<4?LhLrucBc zpDj;Z!cbpV%sWnP*uiz9I*t7l061V2utq)I?V~buKuGRFCbBNU7xcni!oam!#l|hR zzHoOmDPmp_^$>j^1*nD9=dZv7@SS9CaIG@E36jR==L-gJy5W6!JnCniS_lH=r~dL1 zl=YB)P8?P|3{D|1P`?*l19P%CM zKpqlXwm z1cy(jC?m=cgj!lRT4{=s2k%oy`$LRpXsFe~)6S!u%n$_fM8^RIb(vubE7TB+JA5I) zALb@W{%WlvFgv~8xRvv$h@KsfEhRf;=gD~#h;9Qiy|&|7aG^Gn2#F%!V`uikbd z2Lh*7@LQ5ukKo|)atH_hLkHLesPO_Cc3A!H3)^?@+_{|o+xbWF8<2O=@8zMf+2^T& z(0Ir|_CmJU3uC{Ctbv&$2%-Z=nW2LvvsKj%VTT-qbUD3b<9iIp8X#}Jugy3D_LzW5 zmq!5xK|mQ^5FDB^upPh_Kmd5L9g)>)Drb-n_~TGQmg|Or`oinLJ7CT@ALx5>i{j1- zNzczSbO(pQ!04=l*!~{=7@rru0ehIk@OSrb&)ny?_9uX z9sDAxXOd$ze#G`W9*PUN>JX&Rtqc_nGL0ndN*+s&tc>;`B;3&yOcs z+Nak|3-*^R>MsjAMbg3C==<-{UpR#h4Evs#l=t%3eH5c$5l!@P^MoV3z>Pi#9f16? z2uL-s@4IJHL}0qPiOde+2+@IK3KIGhRs@9!diWrdj#QPY0aDXmDtf#{Hq6dlc^icFFdhj{aPS*ov~e6ssx`u;E6IAh~ObQ^QEM(_yxNX z0m6PE0s_PJHc!~nV%StPa2`*kr&9E%1;hW zsETM0v@1^22%|3rZ>tr|*NEk;RcXv=lT&Rtr?mO8l*|b)bnjE^8dwT8uAzpQ2Bh&C zG4kig5{3-4=vFBr6GoVjf?xD01EDO1E(gYOAEmTSSYpg?i(n)IQAyunHS7?J0Gx*) z+GNyW8@;ebTud+mY87J0C2$J7ke55>J)RQ?D~_0CH7Rt!iC%&{=dkh2%th<|(euwd zNG5bJ;R5-V-u4JB`38S9GO!tG0G$`ylI=L9FBB;tq`%PPN;W}Ex({7a{ZSiedrup~ ze!3(nMe3pBY!hCNbJmJ`?*0Q%)6gzt=8w~xZ~j+n&-^jG0jkqG6=gry{Jts^>>HpNK&b1uoya|2xnG76bu{yYKiNlsUe1 zLyIruaj56ut9#k$!mAZlHKbqAx;*Rx<`-Rg*-zCc;;!F$=Gq%?{J^bW{=d3^sTE#! z(Xr404+-^LaDk#BLVHs{pj#G=kL0V!CJX`}Ax9Mutscv%X|^FmNf4x+gkQNzp}jBwuRKPe8-I}{Xq$Xkh}`Ge~D(ZI;RjZYDKf#yV$ z)7YkaN2?1&pa)?B(7DEORJv6ZWC9FUgSuxwV%m!uoI@8zVCz(RtJfL}s|}k%V4O%) zg_)qJ>=A;Ugp$n7dx-f1lITpep7USj#Mg zv`@PS9i+bPxx0gSkOIQi7ru%%7n1(@_5YkqZt>7V#1t6y=N9cTiawOTp!Lg;4kUGh z_QBBS(%rpJAW;JxP_ZV+@gpu^F9>xF&fj?KEZ3oA@t^KRZBK2vIIn5IWPo3^;htSd zq{z^L_{*Ly@I1zX(&*TB;Is2VNOM3Fd{{kz!`vu`0;Y%no$<)EV-9kD0=_7SAac^i z3*D!*D04A=P=xZt%5aFeV1J4os*NpGps3npc!3reNLORF%g8Nu(e~Qa+KHWUh!?u~ z45SO>`xq(t0(|oYdx*(X)ZItn0%oY9&w)-Zm%b0^ppMK(N*b~N>SG|Z)Z1u84o3*s zaXQ(KATo%&m?IP~;E=iD;02{Xy%sMtlfj$jIiMi-kp zX#*V4hVD(h;k?ftd*n>v2`^K|UeLaI0`tjV2j=7`=6lgGkOIgCbZ1dRz@L3k1$VX! zNHHI{gkUiTacA&z!+GqFbbRXZa=eJ7Au0XO-wVW%?QZ(gjqeX5SOM42Gs0&)KkHV3 z>S(4E{}oKZt%2f=7ykqup5r;#{ zMFgc>LfTAPQ8gr>KFx`AJW%E|YVbn2F=Ir~Xe+0haiBZxnUs8~`6W|2J!5r&xq3(E zg=DI;82PomWO%_AL0v*=$)gbbhYQMBD4IwSEYR4&HpNH^qbWf?n4hCjfG49SSkQ{h z4gq}SI5rW2AXCPIc|pVxQo!}(pmPEcY^|xdb06Cuo)=J?%pjecdHSiZoPWko?%Mq# z9;A95r|7|dV#TUs*10$t!F$Fzby~b&p*fcr?Z+q}Gi(3L9h5;-Y=NZG z@pi2ApeQ3WJHqo+<2wTUwd;kKKNKTWQK%sbNhdVn(8EX*4C>-OD(e7(5a7p%$htO+ z0;a06vw>$NF=v(0AhOip5i-4RUS^>H2vHC_oS^r$9rv-74edKSEQ?|@au#6@@b}!a z_u)Z$^08|YWj;8g>86o&`P*^lWxvF~{({UykiEc~&Aw?K4Z8+H$l(I|4oBpX4oarq zWmJ|vm>w57{WP5#nS;Ksx92$WNU#`XO)rc=;g~X~DJ9jm*t~EVmx8AQajjm30Cs5| zmZ~zg{Mf~8ro^i-UAo?TfwBpP7B#RFHKTVE4UnY)wxY%w-*RYHOeX@S!5Gst*mkDZ z2pHvvP+xGq2KmBCmy+r*Su|Ka4}(IN1eu8%3&1bP?F8n)HKlB$1ZyyrXuXH%4UoNl zfy-SX1f&CSCPKl%^VrbP1Cvi&TUA}Kg3rY>P%{S#5h8~gRNEMFPCeaUL@8=K0M&9t zCMBO^qy`oBBg#q9AwSoW^5t`g(7P$&pQhzUp>o9L{_T>IpUXooRiw8JG#`ETofKL3>|t zIx<4~FUA4_-XzUCVkHzf@2)LNVa>|3F&S(uAmd6>DQFKh*c|~-Xg(6ifWI0v$SPgl zrxpb-WDA(62!H}bA&3tC0z-2Fhzh0&*+eA*H;xD{(iVBbC`R}IuNi?H9wYcB*bHSD z%+VA=8nJOtU7V5pfYque3(!m-P?-Gx25PEZf39BN8A#`LJS2Ak>Q$lhQKnP|f=e&H zKtzK}ic+ch;l&+3C_+s~ANd#5H=Th0KukQmZtB}1Cr%-r_vTfXw;k3b3!Kd^CjZh!Dq=7yFn%W?E7*2K&KlE2;1 z`y>77X$G=4dH;f6!Jz4L#K`PK4K>iz;}oKQF}PCy>O-mYsTy%fvw{nD9|_g%2d*Jp z>(_mPVx0yY(#J{l{!M$6NTuV2Y{G#AF4@%7gVG>_UWjb`Co?&yAp^N!IOyc@r*=sS z)+G(NAp>`Xdy+e}CZAKm@1UboAl)f4N9Z6zE>9^}0ouWB@H|DR@l;j0{5HKdJV>h9 z7~2&3^W;YCo>v#5narfRkZL>5S0*`C70;=oKaz_2(Sf}U{wqI%9*Liz!qc4~$j{eE zn!D8L1ho6Wo*$9S`lTdzO++ehKV1ESUC6KBYIE!WIqf6^j1UBBoN^R>w9+1ZK!L_d zlTPamU#LCjrBMiOy~Po<`G9m>zlVewdFPpgnREf^ZKiPs9oM4p3hsnpsG44Na#?H0&+?xk1>2vtrD9vd}*BK z(1Z0#$eF@7Sdk^O+HP99FEZ_NDgV* z8?86IKy`*V7`-t*Bn;Qvv9T7b}P`7z;g+SEht{!CkK} zf`AL;)XlybeZp$<6yYO^?cj+<;f17Ogo!K33ON;J81sF)N@>kpb#Niqj=TVggcqQO zPyDxOUI5_sA3Ok{JpSONpTG2%uf6P-uDbk}Zrb$0`@VkWwyj&R4w#Ip)Y}<_L4Ih= zQw8x;H4xLgJ!c48?Ai6=!w+ou>_xwD=BdYganlFEIu=J;`Ka9U%~S%??wy%^ZEp6y zub+MIZ71Dw^M}8F`zd$b{Lx1qxNPt4t<2!%gYfMf^&pGFHz57&0ZcRgIOXq_$7X-+ zoY{B&?%YrO?(DHwY(M6zZO4B0CCWKV*n;bT z^g_RjS<^TH9BcvJj|`sR&oTH5(v3EHMW#2k(S@{@}-jy!(uw1Yv*trA<<_?A3Q6y#TvBJ zzQ%_fi7a3&Fkio~V>&w@F=r6zVg?)Rr>9)b$4403_P2Xr8qD667BS$U=csZW` z?)`V%{E@HScIxik+hMmpT=zHmM(?qVz8Hae9mIL+`PqN@r?c<;(Eh*w2d{i+{r>C! zV(#|u9=Q3reWV-ya_>hs@BD{1zWmNBx4!eUFMss@UD>*7FZ-Cx&GA+yS^|xVCdxtE zZq0ibBV{O+9)wJXO2;APNNEv4GV63vr(VJljU8ed*oXkVLHm|ZSiuo$ae<8mP$EbI z)I`RVKp@;8uTdYS2FC=;!YJWz04?y9(nIn>VO?n!w2pw8OzI~yxGL!LELlhamk|+X z;5R{JqQk@jF21lKF!~^Ws~>8MWx*R3OdQn12&6Kj@gIG)+i2ZIikZ$18JGX9ciUWY1kH;f_FaE?;FN3U zUfk7wFCJj;+`il{-_M3EyWf4yOYgX1`!C$IecN6U8IOI+iT(Wx9^NQ41~p^}gAE5@ zzj$HnO&M;1|0!)FvF>FmUa0LbX5*5B8cMx;=pO+*{1=zL#A9F*hD}Wz94d-C#V4- zYD5G8d=Uf_hp-4dK99?Y)20&zS4H%h`-0x70fjp10ZK?wPN&plAo!`sAN5OK`T<*Q zp#w8%pq_7gVn=kc;PQSnUdZ=Z-(D}Be4ojwpN3Oppnl3&D20?N^?-qflpLKptQ^3v zl>Eq3j6f!D6;E7@w#q3A#RwsVH0Gok7cFh-lvdG{zPd~uJ-8sl!Exu96~zlsfT9U_ zhuTaeQAv1&)V$E%^|`0|t5+OsPi5tY+(8?x_8cDb<2z z5YT~L+b_HDf5S|8_L7U%F(`h>JHENg<-ai-vMZDxPd7bV)NG8Ix+`9heCR-h0CA!`~$K&&~Cv@l?=|{`TwDNG+Ej(cS~UaMMf2 zT(RR9zO(~9^2=Ueut7U)tv9scVBn6$E7h*O>K}tMT*ZV^ zRy4@l@693vam-d)h8HN!k&$rHmbpAHT&y(+;tmW4*$&pkIsnp1F&Q>p{j;~;@~_w~ ztK2rOKSq`X(@ihh{&6!_}&0QuDZS_1g(+vqt3@u)e@3hQa)#>X@BGLKEVPWM)>9*Dt8TK3l2DHVVo?)OaC0 zVqVC6q%2;*a9~zaj0N%&bUx*T)!~Ju`>EPA*bl(OaL`bF_LXdWAs$2=`Vzx^zb5krC>&b@@KV zlaBq#ntkedt%@utBCvuNF5i9YZ$JI*>v=nK7LjRnn^t;dC)K3d5hFWJeDC01_E5U_ zYahAt^7m-0%PVrcr~!@$76s@E?hw!k?nNPJc1RShOy1zkuph|P*xu{WHlDA2gy4cl z?mvNbYCNQ#k>zh%0q1uLW$z9zd}|wSFD%ULf0j+N@45YVF4=gDoIKso80lb?3$zzy zE+MZ8urFbaTv#6jp-4pX$O~pY?#LIyH&fqRa`G+Z8zUtXg%R*eE*)~5*?fS;e-T9G zhYmPRV?aniVUj;Kn8=PegeIz`l@MOgBqawRXsBWbnq}s%c3vPq0~>?}?}I}%WvGb`+SY=+Km$ngW=5TOf#YrERvC!5#Pa&6~UifBA z6VAkE!^E4peSi`9AP;~DaWs&es^LwYz9!Gi?B(m7}Rc`0^hf&8M81yZZk9@BHBGKRID; z8(Wg|bIcxKf)?KrcM*qbdvnoJeiWXH9JAES)_t@8!__YlYj|qMe%e6d3$!%a9+Olv z&Ph8jz_0!S+E*un7Z`x0s5qi{0bu8V2$l}*m^c(DNdHY*XuxS+%5%$2|HrqUxfWE- zieJ%z|G@tcL<8Qwn}qa)!wrkk-Tm@ocir*t*f@evHTVN?B@M(0V~u9vg=7ZARAj&; z1xS-dh)i6d71mKTgK$k{8@A=HuEKHd~^xxqj?xv5X%vS zx64@Wsiv;t6QMbEL?<4t6k+Vd>7>(8Fhf4eWqbnBeqPXL}ARGBgn$2|)*Tenl|Y%?A&F$K6x8=4ku@^|#;rN9UjZlQ`!= zGk}g-^)Ma?w>tpEcC!bQkH&4^a27Jz3g(m{OWeCEvkMI}= z6G#~%^1fzX-MFeu$i;vyvHg0$)=m&zq5!eoK0R^wX z!w3RW17pB5=|$(QM!*~h5~2*OA>ui714w^ zaw@=Ie2|}<2#JMdJd=h^>#ZRT4LgT&`a4A25n4WSY=@$QDW4Lh0en)r5^C9Jb<8YC zk09>wge3?9HPMRwOJxxN^_j40{MTrop+485fM#~yJ{^lA)0S9;q#01Ctzx_vvWT&u z(6gtfx}Iq}0v+iSbjHPWs!WC;L!t~spEdS2tc~nofO%^Y?j@=*?B~7!>Fg|PC76u* z=;lkY8!{O+p!e21#Nt|KFoeMRws4!Wz$ssdg9oyh8WZ){_u}hy#wClar@5K!+n(C| z^)r`h{=ghQxERNJ`fdFEF#G735598mH~l(1e}VZ9m#r;}7ZkFQvx(>bdsn|i7#f3- z#*h&RLlm`Vz~7Ux)A*~iAWY?On$p&}YJSMT3+b@cH`6^ywFwd)055h9BgjTLYvqmC z{Nk>c9t+HpexIF7eG=TPG`7QHu&qJ~{h|P_U>W}sZ^i`oh%yj;2nGu4#1x*KlcDnB z0W+|PPQa5(#3mfp$41cm7s5?#8>L0~pNCXdBPsuvC(CpBLCH{F%W41NiMsl;?_uUD z?4YrI9x|ZqSOyVoo+>b&$J2v#U@y7oBg&;PbxHF1fYBd8K13NX*B9j_*rM0LUWiU1 zKSI7zu0p8VqL8Wz;Src@mQ#ex6X29qK?-q%7eWomSA%gddMd>}t(tlYhjUEJ^D_MDUgE!&TvbB@5lla&;Y-Mm|JNPhj^hTvc?uL z)I$W|IlvBp8~MWvt2FNjbHH36*O0*<1&#kb?^LAV2}_*HG{`;}whk~55^E-n9nqFT zpS%iE02yEk1nh+tU#Q{kvM6)Z3K(EFFOXM+0eT7<_*D+fL29>gF!!M1q;L5jw*X+x z0J4dI(0T@i4;(T8rCZVRUla_Ic(mpziTe&RkM~R0f2db?r2hlp0({-sW{98Yn)~P8 z@xj>>uhj!3j=*CQ^x;LQhpQK|GpFcloSXUeJ9o3jf!`foP?K|u%^-(nPYb6DgbM=x z8D)`Xpp{-$V=W2h1v+N+#OlA*NmH86t9s7XxKyQHs_^h5pDBC{eD)y11UHea1AZDp z8;-W@ewB3O!&fQr+yvyEJ07|A3qQ-OA@C|N1ww*U0>+USkb|-KSUl-ejDXq&ieCfN zw|wP)Co~`*keN~&N2itcFQlk*g7zVWnsD3gtfB@q`Bn|=ivklUgcqDPyFdf} zm>8WGa?0g7(qKXgia7LWRPq<4j#5>_tD-bowcc(}r0H^+c8id9PD&9eltEgg;O-z3 zU_3$xq;we_L)1W~w;4g{r!^T>c0pGF=i@1IWI+}|6xen^f+sA=P2`|MtOG@#%@myG z&}j=UHx}SDI;17YKybJjUI-Cj5fmdx_6rvjYw+e6#GMtAK56ACkOEUxKc=@Z06~D> z;o#+{mVvQtplfFokOiVX{NTlBpL)!W?cdBaCxJ833=i9n>dg;TnIFR|sU6Ee#onO| z{sCblu;Lu%hGpZ(;5m$-H66A)_*{IJuibItp>BD&JTBx$)Wd!C3^HV1{RjW`08XTB zyNvVkVp$=uzg%^Dc?5r)e3LAQ{_)T4h`m6|p%u~C)NcF8XXJ%q0gdqcxGOzNeT^KG zLUl2E8C_Bxxzj}T&{cMDIF_Jk$7RS4&>ePDPJ8$JXkrM#I4=vD2@n0&=?TV9C%@3CfK2*rO>e# ziWh|N!vQIzX-zU?BUmTxt(qK~?dVZvCal)zWlAk!h!6qBg2zRTDMAF{g{4eEJ_-{X zp^OC!DzbAa4x%sx^5`a_-?eDMpRTej*iV;44LN-?I>}L{-}M|@Ae1rTf_h)WuyqoY zArf(9AqGNm1a<)|K$=pylDvvR6O(K&zzcxJUnwtW-cCa@&@VHcSi<(T+fUN?+&BzX zd5F6oVL8w{n)%#?J8Fl?*64)c9gx4 zwnvMNjZ9-s*GM{204z{`+K>V{lRnrxWAHz{ujCTg5IbuS=;_y7l{ah(5HqJJAPHt> zs2{&huPBM}JpJqMu30x&N>5={a* zr;|BI120tjnGi?k1?u^MyZ|YTLzil_nLCGp5F24J_)qKz`oehB2#U-AzStq&q^=pA zW;+WCY7#c!r%VyjBYw0|Nm% zgcuacS>A7Rv>ub2!c)W-QXvnpZQEaNyzav%KfIeF4Y@lv5!*f7_rqA;gcRuA*M9EjUU_wwfv`bilN-hg zA#FQUa8?ESGmf@2IW2`246Rb|fV>UeT^s~g`RhA&Ju074$Nc4xkjQV)Z_^k4m+!s0 z8>6GWt!*F!<>YztU+&)#UN@eU2Jn>!BdEZp0nZ$-RPvIR(RA?DJ)5rnIdM~Chvw$W zY0^XD{74O>8uEA=Y8VM33N5u2uqdZrUIdB|b_fw9Ka>&gQ#?!XLSoG$Szts2)k6wX zypW>Mgc?uq-+rfw)i82LxeRMQ3#YhLau+s4= zWb$K2;3mpwKlH)Si#bc=#EBHZ4~B;(NE?!Odx6uy_ypd~3%d4CSMg@{x_nAO^>|sF zggUzSNzn%#-i!N_Ugm5MQd~(%C-YQ0DlQK*N4bRIZZI~3-Zs+KiU8lpqjX?U3#;Gi zzt|?+#qkc(n{W8g-aRk=@qN3$wWIs_%~?U(DT|mCoaD7OMGE*aPb)2i$C$^a!-TK0jp6D>H3w277_A0Wm2?9W>OD z7w8M=4x=E`VDKZA+&Y?<9w4XpRhrU8yx@(pufFo2i(*LKn*IwOo{pWw)laz$CBeX_NCV>3aJO1t5 zYkOR;9Yl2>-p;9rfGX8<^8fJXF@H<|`;Jtq%b^spN_!IhXMdDSyT40HEPTi%3-dDv zp84$hV;c^4Sgwc6a|XJXeu||zOEs&8QCVt(flx)cyexv~a9VDna71zz@j?$ezzfNj z(0L*Hqyc}@Apdw;ys(HA7PCM#JcOcv%B&m-ZqI4wv4R8gY4fBEdn`|wqIjWLAg&}X zY*B{3pHdVOcL?AUJ4hS>h$aUx4?zgk9T7-otO?1^Bvq_|ZSZxuSb)=ZcsdiaRa->c z5$8#i!B#_~2ABmiAy$JU)bbbESda(F5r;UAzyz&p^M0zx+6^P?o&Rci0YD2WWM~bL zzSc*+AQ(^v=&=wWw!`wscl1iQl}G#3_|0!zbMM#A;xV@EnftXnU)DWhFLX!KVeZQ3 z%AtY2A-l|w!(6qrsKw=HXCM8>)Boo8kN?e&{^`!0JK6n1nxs6cqtC=IL>9~Z;X$H= zf0zt1Jq{=ij%k+o0kUv5viAe;uDaV;roVb!opVNvg z(6-6XDJg9p)}Z|Z_|1Yy>|pQ|g%LoJH(VEw%R*g>l9!C#$d{1wvudz zW=)V8B49-Wov{w|kwJb%t{tIHPSOxf_tAfb#Wl9OzcR~~cf7PZ#tIL1^|$w&{n%beN*H@2)aekmG^0E-#|L@Cf1#nd znU{9%{hg1T`Wye@gumGQ2>36?IdG6?i{ZfIg@cx>A5#T|*cFb}_$!^;&j=x-2wE3S zF7^VAJorDA(oIs7Q5I0LaG?#@}U<7I$R&}aM(mUi&Y zVA^RM_+b9+xBkwC3;!-#s@f4DuXP*_fJ0CPcscK!AG_t|UwP`u_0$>02}*mt@dSJu z(l*>(IQZJ`>#zRD%=rNf1z(>015JR3OhfwG9lxW2yC1Xpi*zCX`t><$)z9PeVMPNA zpV{3)`0QoTAZml$jun2>6E-{PRtI z%K9~f`AHX<2Av&BVJuaf%>LU^^9CBAeWtLc5ps%vT9ALL-6qO_Ln%#~Q}cq9h4!9h zq_;Wu2m+;N4Wxk1B7*QLhUPx<#3U3rg%kw!CW}fDq8UaocPOlokbzjk1Oe3JQ^H;- zYeE)*@t>ULHDY?9Coi=i0^93xU=(UE5&+x$ce6CqK03ny2@cH38BB z%Q6R0(2P-#zqxnb+;>17sZ*3lrHSv%&Ft8<`;Sgp_pAT@_%-XVMxp&Wu~`6o?_0>n z;VK@U$3Q@**Qc&Mne6&&r^5|l%wBvuqW2D3p2r;w4 zk#bna#Il98t9f0*=KD_SNN7kD1;pPXcr_N{cv0@wt#{mT{rk1zfSryUwKQ}Hn#iF- z&>Q)DPAzEcdftkwF8is+9yldnZiE$#cXVyz`ThX9qZ~?D4d<^S#H_vVpayC?Z`F0z z{LCu{cl&t)+*}J&@n9Xt8=7v`6c!9bl_t;HI~%tHQTiZa3i znH}dgP!faD*+1WpB$A<=6|^0lv5V$(V-@ z()x&*0{kBtA>B%8O3e%Di6R1X0mcHIG%{gFCLn$Os-Fel8rTM3=jVmtLco9@>Fci@ z+VRlI+_4eA@9Ir2q13$$S13nkVKK9V@RdangwtDo4<{u?c*rn@_$wRUVTSkpx zzv>JrkXFu8p>A45Pn}0fhO7-q-mn$2()m(Klq;}ozo$0@ddbpOf$%;hWrNe5J%`>B71sLs6oVG zH&iTwq?)|yBXm%wMFAQ7E0oZtEp?{IZrk=O1^-7DsGQ=02`}^o4yT>8LT`UNZ57>L zhZi`I$wo=|mpHNy1}1~vzfkYxF_6UVzbvNw_gr9D6?!LrK~R`KP?Q{O1T2N`KKlTt z}_n~u{s!>dA4uni^*u;#+txfab!K-mZzWj5C82m zzy9x!|Kn5E?%B1A96gF%YI*{mP1K=>*D7rL-ykNYu<~H!$cQjyEcZy|N5Wo^E6M8@ zhVIZEM4b*~7sLkd+u||S(qY%rRPU3fH@l$sVKP7v+7@r^imlJxDQxvT9KmwI?67QM z-~Q*Wz7n@Tu{#9h`+u7f8F}!|MOBH+PBfuv zE@qraOylGg3`Xx1B@KG(5_80b7pw&2Z~Ec~a6|b!F-2O!KGD~eM<-Uk@cPqFZRA2$ zL#rz6jtj*^sWxdnR@6sKPzdDDcG<4{O(hff$^9IR%$3P+o3s;stpeuAxpvSImQAlzcp`#47@Ob zH&U53g7(!l(%?e`u^Nzb2|{AcA_Ck>{Z(!e6g%!#0}5e;&_u~Nl*(8DsK$ZsrIjKcQv@YdM&%E+8F=nvTLngZI=hS)@oOo)kf8(8p_6YS9h1ukOG03 zG@v;prBY}>^MC+JAX1E)6Ad;RC`ky=kjhL+IeeKZL8bb6-#22Pxc7eFr<9yPS*cG) zk^Ah36X)D}Bi=Xm-Vt%+re9h*;htxBMdo9>{3?pXskODW5E?X=H|eGSaU<$xziz?# z-lZqEK6B1R*TM+I6iz<-QVsn1+pYB!l#Hn&*oP@}UDHquSuQOX+oybIukbwl`m_p~ zjiOf{(~!o^dm28;;(zJ6Agu1dF4y=X)_s~+5WAI&woq#b6|lDodwRN z9v%kJwx35>x`4WHfouvH7ors$P*+^~OV2+a&sAUC*F8((uI9hmfAIzX@Ep6LiWI^H zBSDPvvUlf>hLrq`nzJ#aUWS@lc8;$r&Z71D@g%pFMMXJ?KU521q^v5sWr6r9(MqzIAZr6 z-VplvtNxF>ZreZ>5F8waI2e0#&H*EM5H5V?^nq==n7qoW;Rm(}iXjf@AhiXYU)tBz zNA*u`+kWMBU-{4}7yiMY9EtDfUGM+!S8lmm6?)MhXfFkgLWE|03D8KM#L;N*eDPYgcr(>q|@xM*J99X@EuV&yn>HQ$r7yhy!Mm>nNCT>TCIm*~vKJr(^8%XZm;&U#AU~Q1 zx9mLO?q}cq^=)5#l#sDT=Ni=840)+vj7^xOJMVq)U%lr8zxyZa-ur=1UU230Ted$P zBA`w{9%BTsmnNjP^)P=kF+>0gzm4^HWcYl+;Yhe8S$DY6i(%gp?m)o=V`a^~KhxQ{f^2oiA| z0sII2F&sEma5-)zubHLqXNL-vGz9=w!Ki3>%Nt{0z{*&(hMn%VZ@p7w;74fi-kwJf zkgOfM9(sP?cIT=j!hi0o3;M&WFw2l31rTz>nJtt6&SN7GS-=Y5NyhPZ@V_+Va_HKC zxg9~W9e92RAg;gew{;J(P$R57kDf647xrrrw2cw0n_`3rLGn?KX4$l!irmO~wz2S> zCeP0oTV{~ zZG2}t*PASM8th1fc;{%EVY`EMT7!upgr! z<1X=tryg+{YJeT0DPHKOtz*Vs2rne!EK&gEi4k<$Ar?W9UxU5Adny`uA#nucG8|xp z6vM5gTyK#9BgBIgClYe(1!2yi@CVA;f)wpOWSN)eJt~o{O~LOqTvO#x4s^qR?S$5on+KgrYPIA zML<}iQp%J=X`+K}zq4CFEblAqTUk5et06k>jr$`dM>dzFN z(OBTz*hFP?@zdKjpL_PtD!A;ibiiByICy4cHE4tG!_gOh;x!6Kpo#1$SoFmp`IiK>a-qj6v#~h2?qJ(aw_tL0=%bR zip3}p7x*v{x2sgLbHONw9r(#;A*6MH_K`CWIYc0w*VusHy5&Yw*QV`NB>{Xfg_|O$ zX|eGIW!OVje4A+eM6ivoUNx6#1LgZGad`F(P8vJi8(0xkfHHuz5 z;?)gMLr8%FrU_9)Y=nmDc!a#mWt~C|&7qX}NPTK6dIglTZz_?6b=o3{&JGJ;AVj8& zqT3(V8aKVolNAlN6hH>OwChmgg&=jVD1N zQt+QLb4Q>|xMXKYfQXT8;uO%thI8IvFO8lcz$@v+|9INQ9L!ugpS|qPcufZCBbFk| zcf`A-?K>g_FjXv$+5hBYo8S({`IHQ#%iNHxzyuDZVvP&VeLYiTbGa`??3;o3L2!%d z0WeHlTOMPP3+nL#;B~r+uxK^pTji2vD~Xbn?-{ZPDdcwvO^~AGs2?l83#T#wO$z+z zhJF3$sX@QHkCe%^GrTanEe0%*218XjIE_H_Q_JiwO&T!mS-jvQr)Ur$g_F9yEED4d z2Mf9_0Qk$A@OY__LgW+dBkINIJ|PH%ieDq=APWkhuLaE0>Cn&tp@5J=vSL0YmC4ZO zog(NIOTkl>2o7>8z<1CYAtgf!umBW*X~68$lp)pO5JR&pysI9vLed{R?1Sq>3ao3x zl{D?My&QlXBDw&n?1JI1q4c0>;>vg{0FN0G>hT3Ll`ht{oJQ;;Q)OrPB7=WHJ*1)4 zb-Q>yDBE|Rzy6=(1xCx&G3g+(JOji*4Ll^jyPA!8A?-6xQ4O5BLn(k?-GT0-!xK3I z{_0=W6e%FIo`@5P{>U!+C#|{k!e1_A)1bEn8Eh;V{x;qCZ`5=9(6L~_f(DA<18ASq z>~uO?MtCBx5#w92#^GGja0w-d?NKgeuKdhD>YG~V#yw!*hnP*8-=-@Wx-(zs;tStG z6u>ru;l08KHWmO?z*+2Y#%oyGCP>d9-=P8a>jPaWu;ENuG-d>mh>mVAU^_q@e2RPS zI5rR9O8OUN*H$=6t|V?3mRYbDRzd!`tkIP;W)(pc@*|7|Mtx)+J3k6AUSB?0?RV+a zP`nVd4}g!qCYs^YvyuBap)kLiN;R7ZDNt#eVs0U2HO}INZcV@o&4Xl)pphH=*RYDM zARSbX(l$bywnXn}mV!$r+>ms

      c|E=&|M)3!w?EW#(OPnWW?$GlcxhE@3c10RB2s zrc(w?L3d78!+*0t*dct;^yUKeq6SO`(*$;eJV->Gp@yRlJN8Jue}PpDLW}MmFJVHK zSGts)y#v1SW_aPFZH@%37o4AX!F?oSq#9Y#Wpbp`6;p@>#>4w*)7R*gcinuf zF;WBX7zsf*o$lYiW5*-d2>A|UhC>DfS zhogc=HKURl7-}tj}HZ_6^>`Is^N{$q47JZ%h$j2kp6<|H2N)tfv5M z<$*B^h+l%|;H_sRkxQT<)bQyIZ{&_#tBF*8j1Yvw9nyU1`rpp1q!FuQGUV~l`*g+V za~^x-g}QL86Pc3Zhb_5^r9jfg0=}d)GL-T|_!q1n4uM}YzbwC0e)pjbG{;diEminQ zC3C7K*KLHc2`SgEq?YzzbWsB>69a)(Ek^KINbMXW*Zaw%30jN}2*=+H3@m`K#+maD3sBhc62}_VKyBAr18Q z-*xx#!TG?#mjBn9D@eBJOe{9p)XU-T1oCb9P z=hTVe05y=3_2?s4wg)qp=4n$M@?EzdeI|unVc|2C)KN-=0{;j}^;PtkNaS2w$_V-P zmQ^OJ3Pf164#dW>#xSo7Lj+{y zmCz7G42S3;1-fU=P(sdWfxK7%XOW``$n330iXlMMAovdvumh5c#e9HP`n1)LePnGG zvdNF6Vsa%Z0L^?PzkgxQURVxbUg0{SHz4c%DEL?JP0%{x_NfE%2mTcZ&Ev5#XlvEm zOZ@}DJGmgh3(QJFz=rw8jq9)!n1Cea@buJGcwx$NB{Dt4C3{C+X!|35Tw|m~{7;Wa zpHgZM?MM*mYxKT0ax1IB137Y^+R6($%>_=7gL2mG|Lhg-Al3{-6ed)EM|rk_*N4Lk z$XfF3Ff;`?P;7t-_vGv?(hcTPz`8_-INR6PDV}?G| zr`V3|cN3(b2An@ZNFWq<5a}FX5+*>yZWW}ee5!x{V~>61?DcEpKH@5b&IPK$c#eso zYR}MGhBHn-?CUqZE5<@+2mV!c`s()Yf8vQvkQC2wE{`!h6*ct3@%NrJKBo)J?3L$`0r4*c#yK$-It8?u+iCDB+1Vro0CrP@ECmbd zK2au>fzU(AA_W@-A%z$hA(SdZWC2vK&C0?HIEy$IctPx-=}CPOQt8`# z)NUPgUI;sgIQ$xDLJtsuLXGhzc?knn10e?X&HJnWp!c=CROotzm4^sCE6KrM!}0{G z2mDdXI*33GFQby_ZXVt{BtV3F7xR(6_sDH0eB`w(%I5cCK2q$3EMb_gnw8`gG$}xK zFai(8q4zI%6rrZrTW_ZGjfMbn`b7p^^sF2?rHj>RI$9a^>CPw-jAj!=f^5cQYxchY zfM+u5$O|Rk^u>S8HmV%#n@Y-ymG8XmC<{kzz8&TKar^B@eddCn7uqB+ZM=dJs8Cae za8{hAX+k$%|J#k5c(`T%8ZYP}FT41yx)#v((&pf5N706;U%2)ic^F;^_pLjRBJH)d z558;X!+eChZ(qkwN?0G8?>O@F*Z!KwrDO6`InR9NVeHz(6LtnoWmKivt9*MucP}C4j zsDa-rZ7B_@w}%P#(W9(p22(V-k4CCx#!^uG452v6kle%+l14)c1pw?ocR5tifcc_^ zA({~I$2thek7N-|Drp?iC#K4Ruzv}C^*aRg5C>i$C;%Iwc1!}{Z6K&{Y=?vuVmOc+ zoDUZ$HII~a5Ir+dOH)GDxQPzDx1KBxQwgxZk@tAApQ?tV{qGQrFEG3ZX6>#C3=7Zs zMn+VpjJ}~4B~Zr{D9E+4JC>Gym{~^s>ph@DW9cq#(U+ zvEn=^2bz`33W$&b6tQpbE_i{KK(nENrG3&eYi@S+h~fqM4qXN!2p5DG(v=z`%$f^!J=11AqcURnh*{KUM@Q=hOW!eD>;hfdAqJ zjEmDWG-ap{_&`8xSj7AvK>my~5Bt6xHHk9J*P-*QHGbiRd+t3^fO)ERx(iMkKLws0 zNTr7hauuo9&9zV5*hde{oFe^WjXnatAb0`m0ECYZDix|`Q$x3CG8t+3)cz_`7*dd- zv7B8n0(d>@>|;+KA%#s46EC>179%8A*xu#R@bwlopo|~MrdbR9Q$S^Wt$vGcM{rfL zR!aHxiyC4Gl(iTNr~)^N||p9S~-{G7D+! z%{${9nZ@4%<_g&LqPBwP1Nz{U=HOZm;r7zrXZ?cZ1G;!9F_-4A|nlS+Ev7cQvbVn&0Heg7t3E+}jm3%0hJke2zo z_NupoLT7j=3+AwRfvSW{vs)EieBLii6)sehti5}8uqKWn{aFTH4bdk@O|uk&kayg1 zWa^NeVOzsB_(5ZONc8{huKO_@@E1W1s+M8=EaZar^-#LPmEc)2S?H_dzL_o?UQjx_ zFP}laJ>`Y)n=+j84Hqv=q=4QWA$L;>m>0qYimltqSY(70 zKu%8JzIZA%+B?b-S?dm(90i39wjq@dV)E0OZ1AxPoD?ax~G5|Yp~4?~5D z8v7k~r=0pL+OLDHl7jD|o)`1}*}72$_8?&??AX2Up&uyj%wJDDAU)2OzJ` zV%s@}w&rgPRzn#MAb<32Y-U4VGJwyEcus2gHsdW92pd>>(--^AGL<-C! z%JPI68=>JR2nhn?7vhq>392471R*@9s!&6(mJiN>y}-4KGAHi9jchmwt_{DBJ-&%z zev+R0aZ&Om1epulb6RPSA#vK`wR~1=V4Sln-$f$P4zF zkJN$-b|Tr!1f(a{K!wl><m(myzGa5I+)uhITma%sf@S zl;;Cl?935f0MPIty}}KLmAycjmI@=pQ}n^h_P*}jOV{6ncRo8^>}l^x1Kn39P`*Q= z%z|xh#Nl}HA6{~ITywmBj;k5uqxZ;tHKqW}`Qt(n&7R%o+_&Q&U-f`>FCnqfp&C@1 zC%f`g$6U6Aoyhcq#tWHc=Q$Oz4$`O8DMAgkIe>W~xs|3HCQU#v{S;a6To5l98HKdM zL(aS{k{lyjAN`v4xG(}#?=DghFFf(ZTx~>j6_!>>K5u*BG{-5}t*VFqm%Yux|Bb9CohmZ^>C0=LWk3m2^{F7$yuaYZ8OxYAq5Ujm;Vh?akb5fWNsxhL5z?!4bT*rD4CVTz!A zV80qC{vz0+POJ4IgcqXG5Gc`v%-Oa)jrqF=DP_Ms954pfk3^ao!5!DA@}z0)8Y8U_y11h&&gYa+BXdH4Tz$-P`F&gDBcrx165fXG{^5|xLK#L}7^#p^`h zdexS5?t960M{bt~=E0a@#b^1;^OqPH&_eJu(l)B0(y$kKA?#4yr{Vu>tf6(c-b2-S z^F9=(Z7Wd0oOz)GJ?!xGQ+I+P_>JlK)zc9IFQh+s{HuELK?BwHkD=1lEhJzjug=t1 z^z_#Vl)zZ*1z^hK)nFQM#DLt9i-qe4MfRz?|DNLmfTVJ*c)Xo@l176cNl)2Kh6dw2 zXAFl3`P_PVeUANi-gy+O7>F;Z{;W_t{qQ)2wE6a#n$hG7^-O-#kUu`8;L8(J$lY;9 zan$paa3EZe!dy<_;X=)rs?!LIY2$@X5IJo|L>xyw)%37(I&~#KL=I|h*LG@%`H)r- z*dJR+nnxN;c%dkx+Girst!0i;hHl(uf6y zZIsZ94s0^W3W$x+_uOlIVX_G9n+pW{I=ojNos_Kb!YDJY%V`7|2xgX~2~xyrpa2KR zFYmE;RgK10hO(H^L@rJwR=43y;N{LxL*}Wjgi)_R{lb7B%mlafr?A4F-#>BbXWzZ| zhI{2!QivgM@To6i;quCnz&0AYWDRgF0gLV1p8em?`0V8mJ%e8CV;2y6XUwgwo~eO{ z(F4ic0LFffdTck#P+% zo%Ncl-%jj+*E(C!`<=&w*C=ybbIq@xxBd-68%DNHVF$UAy!JtYLHJA8{jY#-@r{so z@y`#*!(VdQ+p>R=efXk=!ZCzk@1+<1L*Ajlj;zcwy7sg0xc1s#pXzr#&uqI3J!L+B z%{#tu&D+0l)jKY`;4Ogvxo5lv1Xd+p@5UTgWGskluXrn8PW!I(J&7Y=z0eAAnGI*P zTT>oXuL-Mpo=WQp(OCAqmSZ<^mpynqeVK`{SeQE{E2zGhj#-jB5sY3Vlqm+GB)UW# zfg_`Z&_S9<hlBfZP(xLPIg*jL)r#9Hl%Rwrk$^U_pZOV zAaBML6dU2SoIt4V&FkjssnggLiBtpa)PKWQZrgm^+>dHxRj1XbMqWT}-PWs$w9WMk`}>yK(-;_x1LZz@<=f}_et`hOS)V$bsx+6? zHU%0WMf#2~qacTd$D+KK6a5Bu!w8@`s>^6U@rCoxdA(kO(+1v)d?3yEv`=rjOW5dr zCycj~vptXwtO<4TP965#t73RqJ-%mTyhfgQFASLsS@j-H0msC{>g zNBze`J?_ky90&!6Z+&p-^+)Xar$;Ys*(w-kUsF;Jdvt`cHUw70VvWdEy!}BlpW1LS zl^=cQ(|KP*#{9&Nwc#ESg;bn!zuW_Lh%<->-oLi-xJ$+ zzyEv@Q~XHc1sk?9aXGQy<7VBwbZxAAvL5};RUYnU{H@e4 zV&GpP=RJ2FfA-)w8oR$-O!hXH5)IhGX~E8%z{FHJxBJv1j0G)8>k&_QfdTnL-@TIi z`Z1KQ^k)Fp6C&Jw`;no5z-HlL31J*g0c5RfPIMrj*cCnbIQw7(qJ_YcvtXG`w&9dy znYk2nICIT+AG(SMt7ph#SVN^hy628#jQrE!e|)e}t|yFgF|7g-7OySJpa9=?m7kOI zL!``@6^-zJa32N#`5mPX#gp5A?idR-5}+7F5U6)cn5HO1ncT2|nqnW8DB5LDrg)@J zQc433FI20hSknx{p|t-%J!DbT5RfO0;V{y{$QLO_&x$}60*83vBf|V*1c)G{F!4fv zi=vRCp|r`A;$A_xLP0?fn1)-?k2ov064c2H;}Rq zhH^bDPbGeRgMl5I2vc}1!`bT(V_UrbNMZrkfkKbrzx_8}_dB`E-1Dq{T1EsC04S!|7r%1Lwby+Oj>tIww#Rq;@zE0$MtBasoTw`b?Emn~g>i-?KG!;hrVLgEWH7HFch^X5CU zqm?#~H%Yq$^f?=JpOF`|nRaJ{2sN2pUDa=1Ky$%Ga+M3yau_ZJm_|AFf@43`IbKLl zCq<8chDyMM4EyDms6l3inqz9~K)4iTD1$(=kZ{e_7r%|0Y7oAv6Uh+lp}HTD*E#dF zykzr&bKcl7v*9X0r(m@2f}8~f0eRoVAJ})?^7u_WRbJ30Mkr%J0flBVFkv-e0%pDD zA^W$~8NpRSHXAp--Zd!P%p>VBnlaJ@v&Ot~u~@EM)T80xfs>BDpvp>a3g`>(Ujmw# z7^8CJ1>_*S_}w-_ek}Cj1qT;oGW22tJ2Xpyd2Td`a6!ZW(N^_4P6w`+@)GFu|S2sN+Cds#o+M9~x3%k;0#Udg--C?EUZl!=Bq8RG>l7?Xa&v zHG&Na^aAOX$N5~TP{q>H)nEAPMOWRBvAV!nmFy%^@aMNY{evBv<^;%xXqNqgH{AE^ zn=aYPyQEc}ClB*Hs^=yC@c`N-W6$m*&fLB6%i4<-GNK-whnc5}Nvm0K<0REGnKN@c z%R=cX-D^aTD_OnL>l9EnjoP5~%Ejf@C6b}l~u=bzuZliLl3 zz+}9Lw1m2VZu_&Khwfnf0`GJ__uSVJJJ2F!CcBb4pup1xEd|cTc~0x{d~oet!E4=^ zIOKPCQc@HkFjva@YjOd3Op*&Wyy1t0Kk{95n?<$t=in`(6l=Aa6b!|xaIDjn9}qQE z$ucO=$mTLN)CpcsOXKem8hhKPoe^G6RZ;PX)*bX2;o0_u^m7bd@uCnjMR#5BOUgb-SZ z{PAq8=jem?N(}{go|0n?&2V7$ks}Up1RCbA5>}A=2v-vFx;0Ey1tOXs$(Zws=LMir z@NS&{+aK&c_VS%S|K2?;Rrvjrc0aXM&L2P<#6Cc`&EnU^8#zzeaPdvI-a}RUcpWoy zi?obdE6cbk@q#11{><6;ZI2PrVBVM?nz-VjUB7w5mS4PT%Z-ofS=h;s%k<2OiLdyl zszH2Hk{%wvk^N&_c>Uc=?>uRbJY%X(rV0hvGLZ&At6)nO8XnD$cAGvDlR=H&j8NmK zbgMBH5ts!=Mu>))NN1d=5gof>&@1o%_PO91sB7452;A`3Q2dRrzfZRRsfV4S@PT%^ zql2I2?eZ8y8XQ{MNTCA}utP4W5`99?tO5X+bQQ%;ehp2baUVrI`=HSD?w9I z&(uEK4Sp?97%bhWS9$uyZR-#Fn|qIMPdIsykVK?B8pg{lH~)KTXghoNK(lb$(Pvi} z#+fIDfOe%3@&HMx7GdrH%rpLvK3DOT2JlfL6fca|RsodMKs};{&I|1l|8V&QmD(dj z1JID5<9}g3%?TyNiVl_)(gMe<@B(_2u@Pv-X|&azV<6--yb%1SQ#2#mp$AS!UO)~P z6yS4;y%1MYDJQ|MAx=XRMFGHjx?$`EA`YAi^Bt0oy-=nBdg9Twc!81}3PAKVZtRaC z?-1J}^%p&dSyHB%681hN+<-SJvF7js_5!@{!T$b*6+!0}lmR5xX#Y+BZtolZ?OvuH z{qv73eerGv^gy`A(g;{j!fktCmi_c%7EpH2p8Fnrc=J8?-Eq$YU%&O9ORu{AgmW&r z;j6cD7gh>P%tA?KAMM$}e5cd~=Ktlb+uwiN(;M%5cGIIfu77mbl@C4hdpAAxrc0mv z@2`B4C2V?@K%gf^=5pkVFZv~qpAC4% zH%_h53BvPxpSk!mZxfQoP2@NNuk{Hy6mB3iOQG`u@B%4tL@!3*kkNqy%B!nRU31=s z*D=Np*tUsA9qPf`dW>B=9$}wV7xTVJqKCGD(vR9wW?lB+0~-lm;0w~=pTj``&>rLm z{X_)TfZLp&2l&GvXNf5|m5V70oJr3TvrtTfd@K&x5o>O^;kS+dzF&Jt5mj4Bt;hM% zg&W_%Rm^b(qUVn8K3bj^MqoEq&tG0lQSc77hZlJGQOP$vo)#|@7a&OPTV7CDzZA+c z@j6GK(eG}w@4TQ8L&?bs&S#Jixmw-W@G48Wee-QvAhRH%S&0|MR;`wwmHs^poxVWI zJKe^JgIS*b(wRzd^cCLq+eKfe2hN1y$|qq|{;bMD*zw_D`)VUV8-DaaoWtQSBl@ZBEO z>Y1dhi7(!>@5Z}jBd}7N+qE0127PEgKA#SLVLX84Nb3qOs6qM-p0wxm2#t{j7Kka) zZ1902(#xucB1EPqs<+yOE1%LyYra=I;0bwUICN@g19V{d94|dM)8Nkz+f))9h?Aj_ zLT7{^k4B+`n_64s#jE4+G&^8yJm)xUnrH{S;c^I+uG zC3;?46LI8_@!juVrhStV7XbeOe{2D^vp7`?(}eIIx+^ttoV#9n*ny)ML>xg(+%&P# z2E}JD`=uW}w_~zaxT~n4aZ~u~JNKQ(RWTNr_%z20FM0|-Qznp}=jT+&frZc>wo z%2cZxb0d?Lz;qg9T3}iutvby(X(`j%^M3O=OPR)BynsO0kjD0PYzM$Uq!2oYbzn_f z=^%}%AviC&BF=FZ4Y@KFy!I`ABrY*8G~bc%zsH&pIOxJ!>0sCg>We01BY4B@M42U< zBN{1qCX%vx4B}(Q`E?ALr|MTQXkFW(!3%<1;1!TGW-@>mNQ1Y`@ZT%j=&o;b}mY<%|I+3R; zHKypikUo{P`eS-4DXyf`Pdc3KkMQv_a=Yq^UlSl}4~Ka58#Q>uj#7F?^&8gz1K~gT zz!cNwRS;Gf4FpYcM09FErWmbNA^JY3(BmWJDC$uOX9o!Q&`2 z;eyaa2m;KHFqbCc5P}FV6j`uE9&%Wt^+)Z1(UdG_2nCR0EP(v(j!q3^;ad_3)kv0V}76*WTs9uCJtLFq8|w&1rrcX9$n;ml*ybW7trKV z2Nx&_g{{6snoBrh4#f7c(IM93!^TjuH%UeWek9_~X;f;5Zpo*7QjMIZp1v^hLYWVA zoGF*Mo^6Uo5am1aXf2`V4A?vq^{^)%yAgkga22!@*!t-I`t*gJyB=ZIP-6l67LdaW zLKP?=ykI$5fDFp$<=6b5q{zK(yBAbq&*a#;^6sDeW17SVK{RTKH_N846&9T044tA)R70AJV64&MF*% zX8!1ALYW4lhU|=FTOdq<`4E#qo+ZEK6I2i8Cq$Un@#t-yohA^1{8$Gtf(n0lt*8N$ z!4p#(BP7bu7r0S4apyyh7lO|$IL2_;tCw|(2prWlo}8?sV*V8a}z z%SBSk((R!wKOw)nh7E2-#S1ieT5no7X)u2-4KFn194|NwO^3};jsA5yHv36yAPAID z15t(`>V(Mw+aO@az`^~StUuZwr3jqz_MId9GK@M&-O|Z`9!zCBIh4sZ5a&xa> zETis$2^n*UUga;YTsOsQ+W@BVYo-}nY-MWkS- z5ZDVf1Z;!bL>Y)Czyh3NAixyDWM-wpDW@HF_nk+=lB%};5>VjFX^f_?iAhT5pR0+o z)J%m4vO6pkQ{*F*G15*ukL2270Z!-9yYYn|FYch(ID)^WMj3pBsz3GEh?p@nLJGlu zfp+M-tiMj~G!Jt1<91Ch;rBJvtNpl4GaGZ7G*CZkMmbFpO^QvYHW08HLI>i4zDS3* z9bSkxDNVi6gb9Lf66=6I5@R8~Mtm`7=8T0pouLNv0+zyJd;u=N90)HY4K4zV=AtSSSzEs_@B#yyi4nS|XpR)x zDGL)~cVi&*4psfDbwDNoo+NL83M5is&l2xb1MCzdW5-vt%S3s3g7e@?U}) zT+g(F2es7bU0JRN0mSCLJOXVt%9dM6CIfrlQ4b&tdMg~@I5h%R11=yj!urE*xb9yP zRq4g_IZF(0qgT`DdOCgIOy1h`;FlRpKlrk}D`t#JsM2I{R1hQz$ z>7ogs@5*1e3+VFHfIh+K(6neh#znUaqEW8V9;~k4u_z;PYEJW8BNWKnUo_bQ6o>~Y z1!!ghc}KPCk`mM=U7!`FSYLWWlLqsv^`?cd$P11~r<=4WLpLRW{n*+oQ3G^eYOmh%!4zR}-0P2}!h?3L5K5~o;F^DY!%|-l> zRx7mi&S3`ABP6*LKwZcc{F_GZ-tp1gk{?%>@LO0>wd52Hj-ids*eLE<{*m zNS|Wih`K42>-IJ-+O>vjf%f?4Q&>uz;;={_ek?M|s*_7(7YO`?|F(m81|Bh$hcYP0 zPfy#RwP^i!UKw8}G|eLle~>Ic2+~;GV%co*9Fz zVOA3|1GqkA`-m@p`H#+7|HiYxBI60}7mX^JuX6ffOjr^eX|szp*MH%69=!h?yQey2 z8^!~(zN2pF0v??2e_`*wr$2k?+cJY_!|8gzr(FH^1p%|0Zu+AEYWQ z_rI|3hua=}@SLkJ`5$F9oUMSeuo`qd{ct=~8woc6%fjM}nn_ngx2Jg`9lg}#T9pdJgw#zK%esaU{sO^YU?m-orXSU7Sq!k86h5WW#acRKO? zl%7Y*H%1x#5Iy3I04Z>!q*8t|7eEO?G@|!!8VaC55j}Lke1qso$0H3Xj6nGi$4DPV z4RJatj^Ngq3l-{$>EXE$Wf7!plQzt^cT}ythnR!*i6#jD%_gL(p;JT3qy~BrM9^3u zd*yvXzMyDgGC&iFDS+)^4SFIPhWZH{poan&{C6jk^jUBu0Y*^Jc`YpP@lFBMsTef) z@8!%{zs9L-2b&Bq2zjJ;!3&zRYI^}*5ELH5f8FuU5-`mU)m}*+ z`3sXZRY`~~Y$m*Gy!{D$Re*V5oS(*zu_{r$#0Qcei2=iLZe~5cK%zZqR&D*HH9c$u?*Z(iP?)l+|ulnJ>XYsg*Q7}WOP*U7T)FCt%lA8?>62@hz z-Bp*pl^yngDX@;^0|29jxE8_=U%BaD>%vrnK^7dyoQ)RN#y?=AUw(WP;BgIS9Do|xo)E#uL(;u<&;?o=65Y#oZG;>3b zJ@|-_0e@0Tj;;f1-vb*l2Nr!EhMr~ceGsf#s)M;>`$EH)<>FCd5%%nM-(r8%qz z;S1_Zrtu($1(KTvHWqN1$=#Ip1~sH4X%qSz95O>G@J_1^FN6s6emBoqN(@>O{3#4HyUZb(D3`7e0p(k_!>YN903Zqbayxf@u41H?nBN z;mJtUAErl(ZW4G;>h%2wO;+YPF zv&BvxxojA3GnjY8)_~0EU|jsTKYv&WhT=v%6{#Id2aOuwg^wPmEwgBNYLmUSXS9<> zPP4Br^P;?<0`7g)2a5~RCF_*8c3$~*rR7>>CWj3+XkaogLcr)SQC$bTQyPeJ$NB6f+V;ijk`VjyPZ@L|OI%0+nD9gf$R|IFmvL zXq09{yqE(YSsT602YHQhzy(+n%wN)Bdx3p0j^nip?6GWW035Ivn6jAn^2F8f<3_yy zGV3pEiC3!a+>2#kYG8IJ^GWsYHM?Sj(GumQ`SJhB@5GJT7TFGk9K4&R4bUny!0ja ze@H%!26z-g^4Cfr1N4(ZvJ?SWkeq zcFurl3JP#~+F{Hf?Hg0*a^Dzm?;K&BA3--rZY$&4S+?HK123qs{x@AwhD@FE;Q10- zC7eSFS6%YUc)EBhEs=|LAcvCtNLV)$5ex%NTu9STiOMFF-H z=x8~r*c>BhI;*!V8yroOD=7v7-Bvx<91&iKMuCPtRS9Du;13Zf+|U=Y$+`4lO;3`^ z-~$c&BjrWUEO`bT^eWHA_wxKiaPQ!O+`*^`z}Lk79SZmckR5=?Zgm-}Giujl9*^D+ z#w}N^1M|dNE$xF4yc7=RN`zw7%MLU%@dcVt@dAyI=1SYnu!oi(UWhgQl6Zl&rRk(| z9g|af;`$R0*ZR{_)?9Y+TUq8Td=xSo!5bp#tf}=+7Iu}U6$;2t*Q%t?Tw6N}>T-o~ z7?;$Ok8Rp;)*ChOj&oiB=tat=06Fcg!B_y(fYYQ*aAI+sIicM>_2+)=8GMfrR$r9S zOX>cm1FE0`)0`tIl68qqgDOoHkIHsTY^-WX*F*APte9gK6AzhCGAWNY3*hZ^krz^I zrL^i9cP}lZ(1=tZp8FHjdrDRtY1q%Qg#de4AiNN2NErdX;{rtiwKE2q znzwG?uv;nc4){|tEC3_KO{7y>6VcP3X`;WOvIWy{vh0d1qJL_;_jnPmdQbRa`AW`!dTDiuT( z(&o>QE76)7y+7Y`S-d81i~&u^<}HbGs_8voEL_lCGy-HFS!lr9eD3v3r}lXLrXYHM z;M~R--$k;V8*1|d_lKces0~AgG9eT_y32m=;ma@F@Uz$jJZGq3vKKtZ$y1D)2@tEH zd5~f_%vuDR(Heaha+=Rhs&AuH3|XZgh18$IGPM@UbD=1}S>uIpLD>=E1?VGwBwGYB z1UOV?IEojdq+}KD$loq3UOT2t3mH&x3i!uf2rq~x$^^hoWLrR4pIBSPWJ=&}a%W`xAF?PrqP^MEQ<7j1 z4xnCSVLuXVLPr+JA14Zs49?3FT{^@XuW@7 z9wU8jcMTB*!LZ(>rg@%^Z`<|m^*bR5{=jH_{*2fWjMvN7axj&en5xwlyA<_UTKeZV zY}59t2k9^SV9=KEPlgxL$ioZO;Hzu2UelRk0{kHVfd8@uJQ+zY+{$%VrJu5pnaQgR z+vxSIK3gxt;V@p!16UeAg|_%l@^0mh@5awrsPA}-)`xRb-cBd%gm{%23UA;3tt&2j zs}LSgH7|r9z#Q2NK{*=;;R2zbg3)@94;l)?7Z?#Y-taq1&pzr$Rn57JLkzi5r>b`5 zBK;XBouu}}UCC+V4sve(#-HIh%6$olpSk|9J2xNs^_$+s!Gzc^eD*hFJLo;06WY&F z1K09Niz#x7X6`6#FChg+R4=(IL}T`BcSoNx2*7@oFJa_`iaQrC6yQtK*&%XHQ=p0! z3m^pf8Dorcl%%165)@hJ?xr#BT&N_kyFz2l=KLCM|CBH zS{$T)m)9?v7iP-1KhjU>!XX6&^F0?`+`{@bWwdWom=kX^tJ@?#p z7?Y0!;IH?#d%NBLElLb9wCRmE;H$zX;3BS^t#+% zGWy~LSphaKln%b5Vu#>=*$5>>AMh{auhU|IXy(%51y)?rR>AhN4q6MZkqm}|DFXo^HUha6Q@FWl)M2%{ z*{c|0JIwHcyED1kWSjj|GYz$@0}HVfk}@)cIAUwS9qq+Ygu#Egi@aW#^!HBM$LlZo;H;14R3(4>e>_6F$?iRm?b!8mmuoF=T$JbGKH1c$BB?8ja(DeQl4-_zf^{e!sZ!K+vYP(vVY zE-gTd5C#bs%%v%STjt^m-}0RY&uQ$?nX7Y?AGarZ^!^9_``PuJ(mAoYvHz(bY`X56 zcgW$RuyPi!H7>j4qPHmAuyykf9=(Fs1KobdI)Wow^6Wznr7rtgQHdVAyNvP?8n(Og z%HrdU2W7_7$O}q+hk^7~rz&Y*?5IyJsqBT$BPmd6tN_S5XdjnRrDY?86v~Jgg{*YQ z<>)o=@UWx}JiL1bEv+{{jYfFgbL<6Sz8i4HHnd(SfHbGHcDB(gUVsj=#s>lLrx=|g z9FY_ybl^iokeI?sq!4cs_#ZB4ykL6)7H9Va00YSu%1TSPu)$#ocKYq;ndv=HWez5z9vv40dBJFMH zG?F)iFsj#|LjZYEf@p=mvMCT;SjuJpAOND;zDls~stWWe@U0&G@7Ih_6KLI#$E1)#R%!A z^v7a{bkea$(~I@41bdloxb}A*dF1L0sufBIl*R$yAXfi`9GbVt$Sg)s#(pOJe`V9V z*z!~e<^gbvGI#hY90U3az7TRMd%*_6GAX!v`kJ%kae58qKfU3Ncin#Uj$M!N7#Z_J z4VE;yh-Wbov=5<2>xIk+Ioto>0~@Zt=Iy+rL3r+inm3-UXE@h$L2(~F_1Kr6cw*Ca zH~jXu?>X_NO@9cmlba7U2lCT1cV5uqg~ES-8}+H@kU!r*lu4-^<)}vv$PfDE*)|18 z!wX!Mh0^2Y0sP>9(ZMJL@W%on15MZ3nGODUI=BxOo&H_H0)5AAJpMMQN11e`krdJmLlEJDN<#|OwR`VgbK1#=6PpG=F539t@P5zlK70jpmKyjA zW(!%(0Zxpyk{)~F#&3S(y%(SV3segn#n*vz9$e}mHjiLM5Go(=L>K}M6U>Gw9F+!; zgUkt11Ljv={x;k@k3IGk^HOsVdCOK5Vh7JYnx2_g)IE6rc>w;#jjz?TB330(r#H3W zF=PVp%zJ^*R@5;2zP5vhJ+FkC z1pYP+Hg4Lp?h5T$1Oo{3fqY9U0AZlg#8T6Ncb^a^h&!5NYQ^uu1_f0;Z@*ETR1iwa z|MAzi{gZ2-eBxOODfFC&S+S~@lEHXD`>A>kTk|8?1fJ{#xBQ?z>7u=${xUn(N)Jk} z9J?WM(LwLCUe@H`0-Dx^KgB+%b}nVP)2WIQ5}Rg;!6oPY{54np#@)9cdDm^n+;PXz z4}N>&-+b!?wp!YJ`_Y?kKjxaN-+s-dZ)+ZG#gTHmN$p;gaUu!3$_T7 z=0egCnLb6&ZPeDyhbmd0I-0q9su)7PD1?-$)0E*fUnxQ?f)p6j@!PKNe_5f`)+Z*x z1v$#H$Pl7hRJATz5rHc7(G)MBfiJ2-CYMd%(_F&~HL9VV&kcbx+G%dpzAc7aOq~~k z_GK@`WGL{DFfv7D6)(uAWE&xAxPUaL_>qufFH{!3CPs8J2h0nRu&Vdf4Y08L804-cGz)c|yNl$*B~3cFf?)r|#QH=d+K z;RqSM@RA$}w^>^`sbh)}PYjYJmFJ6^{nL3*>=!{_=hYWQwiyr!1QuT+2Nu%&QVMetXB8F5U9(uRRSZh*La=mRnT) zE7f-3`VStZZL+W>X*RU9@*|~Tm(|cZOMlUG^^}aY1o%C&fI!y^1yov^>Xi{f3L`Ht zyyq&tPsfqy(@$Nimw2AU8+QCI&XWc8Vhv1*(+<}>qD+ZFI8_G4dLtEug)nSzq@($f zteG(l3b?>4ASkDWat%en+u5TEWII!?iUuU#Xf9BIfJsE_*Mi%m=&6BCQvr5ZfH_5S zI{Ce9!t)p%-jSPAu8sc(13}fwj#!hIK{Kn?mV#>2qBgQlhd~g!anS`X9G|&8URT!X zOA>OI>BG7@S;5WkwOh1e1UxA@IuG`w*Pi`Az5i@ zG%AE?L5=spkg|_?iWgdw9>zHggwnjB3Hk+{BJE$PDIoCfE;9w@a#~ptDy6SM2RTIu z$OrtR7g_Z9f~p^rtLa7R*7t;-Q^?cDr8j{989{yY9QZ8$#=^ zb)mKd*R<8Vre~$9UXp%{FVGH|jD**e<{Dl|FBm&Q`H|=&)q{lp-7%XED9tc7qf3@% z?5*L2-oe%72{(Z1>cX}on7@ilvD3WA8tB2h7&P*C8BB&II~P+zll%=eWQ83xMzs%$ zYa3q($(y|}f>LlSXo-w4qHDS7HJAtYRaar47AJ6B9wS%ee)Qln;XJQ#8dR z^-1#)a;jqHm$_n9I7E==F)wsl^vBN^OJNG|-KOTG1^bIa3Wx>z#@)G=l%I^>ng)<` zrm46MRMVn?k=t61{Yc3ott0lr*fJ}=Fvkm;BjF}3hf)u>g#|c82`SK15^kWs0ORI^vG&BPCffwgkmKKQ-bXUK~tNX z+MOO~3~m!O;A;vHIcowsP6ed1N5fBpvkG7%#7qEAEn_3dln}zp`QM-t%OwE_YCB6b zL9U9q9@o%^{mmQzpB09-ML<=GGC&6?otkUbi!#uQjC@DxL6F_ygTmCW0s}AP`BzWp zz6T1U$xjC&gU>GR{_DRDFBh*6*;Q1^IWS**&xMzEo=%Y$T zIhPhMWDC|zSQRyNmyvkEJ8S^^iaS_NZX(g3J?^982+cV1J37&aBS>XX*xkg15yA_Z zlBDP}q`)?Mug0C1g-$DhV&Qf?IgTSk4MB3$CaLP4CVp`98e8%=OkWetT@a`DJ5&}(!Zf-o|N6jZ)5 zOI_PoW*C<1+M)7>;j;yzq!@rdf1_|vXecZY4K?s!IeKl>kY^5GjQ2%e_DN!WOyvi^ z9G`Cdl9!c7Wy}G7g;FBucl1xGpU-Zh%1u@c9*Pdoq-2^0#jFwjGm;0-gZZ>EQ-JM= zzWw{y6xCuqfQfYwB2dHb>o==OBjlhw0%Vc#5`7`%5x{oMFYT+F(_gAXby8^TfPOA3 zr$99Luc~YXsF)`yWjbrTMj8JL`MupGLJo%gMGew)5=mqs<&%a5qE~HxFOQZj*rg0R z$X@8PlAwlhy)!s;P>|=)tmNBUcOAR=={H}p1q{E~9d_VEJ85{rQe&K- z($_?Mfd)X^P&@Q;OWI_j&&3O|6gcHbYgbmE_&s@$%4(Q37Sa<*M_!odps2yTkp50O zf&jgqQx3t1xuC!#m_V8Wg*hbzWOS8}!ki#v;biF}%mL<@JC~JH;dbHk0xVNzLVHgu zDx9Cm>JFSLJl-oxz1C;;MiWh#2y}@VO65B*jP>wY#?u#-=PD6Fm=1K|zzZSMd{J{> z_4w^A$|~$%widNjB?bEwC?be*?i6Jjm|A0pdfHgpo{Ufj6lw)c^eXA`Ov8LPVC1n$ zLo_)pJpwF{gU*r>RCpoSZiiB{GP-8wl93|<_!|HBSaXOVR)Bb+yOq#WOnxC70@wvz zkaJ1?C9QYUj;VtBzF2i)htNki4MH5`QZl9l>=3g8A*4WxKjBq-;b3C32llr;vFD$D zZtKrn@Z?)Aed>X&dAG7&5(+Os2Lvo)KqNhwcR%2|_}%&SX&W>u7R#g=)6^LS

      3c z1=j@Ek=ki#|xB{Ch(pSW=^~FnOrVx1!gG#oLNb~ z2vgClj+T^Jra;hmIZ{aB06W9)2vtK)$F#EMgt|~dXNQp&+KuL(Vb&g@4402JSG6eX zqm@u4OM?(OLcZG+i)Kv6ZytHfs>`t>BIl>CGSGo8?>{%WX)qZl zq9&|{8hT6tJuzsphG#2riV=aMiBm-%po}5Jj0}&+F0gSyH?Pyi3%HeLcfe>g;r)@c ziox$|JM#Z+@9})qSU6y0UAdqG0jxy(mCrr-GZ#G$K`>`Y?|^gcIG6pGzzh9-ZQ3_4 zO?QqLL=DhHW^R}rs{4#W$?1$O?ZYkg!QN+a7gxuO5I~qq>Hc-3`-2z-6l68b>BhpO zS>T0s;ebnpfn-Gv92E?XKsTpcJPk@0M5_SR#tDzy4+h)C3jya~@|ad(gp?VDRo+|0Qz`b&9)sxE?D=hu8LcXGftSLZK1@axPg#XE1Hq9j<{5<>Tr}R|S;Q!c2 zXgtCHh33?F0sTk~nvdiLS>?yJN(0XLC#@Yhvr@4IAcBmDD8m5@l*ypNp35CmI)+2~ zQ8=QflLZm{Z=}%U4nTd`>@p3!R~|z(ssi(c|DKg3I_N~8Vva1Jl-@PiJEYl1SPdMb z5H1ik*mekmU?VUGDJ9Xv3ml0%ix)f}>Ckz<^U7#`aB={A>;j_6#ET!?whM<6k?8a8 zXC@I5YfWk*m+-+soN@Z{o?CI}_8!lP7t#gN;A18zjf>Snjh$+^H6wI;L7i^kg>+KV z;)Oo0lLvV5C{jR};{{Pb29w4O<{(XYV$HJ9G$K-=97^P*rHoKn%U)Q8Yv>+)Zu*fF z9RF<&nQkvkFunqMr$B0}!ZW9x7aAXR_Tf}DMnU0-W-r)%nvTG=WQi}jD=D3&PDfq<(&JOI(0BnEMgY>4_Bb`lpt=Ih zwi;9n{P$Mdi9Ux8K=tE4zBa*SQivmL(x@S8*1EH(&>jJ_7ae?9^Hd^D6`(V60bY1BxaG&5bUZWH5e&)5GdEytZdTQ^k=ZXtphs2Rry8I`g zC#aPDk>p2mTctr3BSc8cPfw9N*wh94Gs3_N)hlaYh9&_)gw6{?e9&Dx95M0&RKlt7 z9}MZfqX0Iv=YtR>tPpg{MVN~&h(^PANST7b}`|sQyDK?_-TC~#GMsy z^4b=)QmBnnhk9w|D^%K*nUqZWzn*0*Dl9C-l~Qt1j*^s} z^pcBQynsA?u7PwYnG37Jl>~~-l^jp=WhQDI+U1Mom(t3S!Exluo6(UQ<-$t2O6wE- z>*S|tbkL0jP62$`2(zssypWVEwUF*da*LAHkYB~Nq^ zCu&bYdwR+=h>BY&dnGv-VfWEAuRBap_Cl_W=O;3$av=iKL6jj5*$c^XltE=IXTLpyWmt7!<;PyOp2`#}}{>@G?OYTJP+=G;t#U4(tAk`ABPtFC^mp zYTWtnh|k&K_vu*I0eA~D+|-8c*4Uh9Gk^taX|*^Jq@0hr9g!v zepYQI2p)0u^Z)@uz&y%I*)b_VTD56Uq~S=(TuYk!rZ5jP*&w~1)Hauwj$ER5enUdP0 z(kK;r=u6%J1eQEAO%ZV5<<9GlTyxA{t@??z!(% z=UjIGcOD7U>-*_{quh1Vzgpnz2mYP<>B0llEAH%8!LTIeZ5A(t5h@i;^ubaD0KW<8W$sp$HRYze^+8&A zL22g&I3is;TrkRL=*7_l?b|T2oX;f~{~IsZa0o`Nk`@G|%!rE1$`p%!2HQK{#uf-K zq(DI{SCu?o8#EU3D;&_aMN;7o`{);+gxqjJ19OuLjL@#?afFGX78lknm;mEl>QP7K zN?&6rH6_QdG2hkPRea%Sk|wKuf>B5rY5e2T2512FyQdkDMoC&`g4<6UZ)l)07gp$8 zP<6I{qKmP8Knn-N41!hHLSg8y{16bSe?*m|SdG`HPgn{gzz$dq zm=6E~nzK}6p>C-8u+&9XDApBwlJLq79JuG2RU7C{QeJx%j#E=)pc~d^hfBBvzHu^qT zf5i*27ifxlWn143k|rIuQaZ)5>0E~zyI5q*hjd1IVuY9pHHs_zr;mdG?%*C4_DM?W z{+$9wlqk3eu#CKbCg3xUR42^oCPo!YVbT!~M>$ym_7OaDVWy;xyuh_%Z6b~VkR=zG zBXCNAuuNYEK@H<2d6=22dJV-3iv~hH()d}Zc6_!mYh@X$YCZrxR?@zQPLw@sx}?79 zv7S;QfG_#d>A=hS1Lc5h=J3Fe0vbA#?1p)bV1nI48 z^OX8*Rmg%Pm>-Z∋CmgVLxU~E60|GJU34X4Fr+4 zs&?Do>6{jxw8hul9kQ;Wu|wYk0C*2Ugi6wz^jhZ=L;;+N8nR2qCl4=DKvPHNqvn(X zlxLnQX)ce&!6^g*1%UhnpfLopoGsJKiT)KNbLb}sGOxBIzOfS$Who?<)zm7MVTJ(qrS#m)D|hde-; zMed^?i53`MNV}z-(EYc=u5=CsVWP@BS#{e87AgE2UKl1q$k;T)bpid!dR2 z@WTS6aTBR+3^AtokwPB})}>y`6H_#8X=qW3LFX8Gp;}M}!_Y75MvAp3nrR zFMasS^Hs7cTmx1uC1yNR)79{@Ot0~^=*vTj&hYBFYmHO{zV7l z57B;BQ+x`Oj=w3bBBwM18ipD}CZ6@#M`ah3pomqsI@JREXe2FdF0GcCl0^p*NY(hW zqS@ddefk1vQ9#)aVT6$;GQ^5cDYgKBpIBZ|K+2Ti&;*AhVkXr1AE>9R0_?bkFd1Yu z1pK`ufssEP0XuL^S=ltn%ho`CUD*9gVuVDWyD7mbqyR^-+!cEPKl3rXm*-pfPl$`~g0f*8SvT7GQ^;9Zi$7ekz`+1EWPT||%$ zSf}ZLP1)y%WN62jknTT62X#8}LZ^UWMId723jxS1!W7h$5LB$wIbrqO6b`->3o(H%20BW2dgl@HECK-sG+x(<|ay3(%2WIX+LAu zDA#}lN7^dJEUgcm7sb!qG)2m`5sfS;V>dd~;m_5Ke%wQYMQCe3}YG92dg<0;db8i0Ft6O0go zfDYgq+(&Xn?dG3-#XIBWP4>*CC${fC;>>+px9JLA@I$&x$(N;yy+C_VdvoIqFJz2F zN_Pk~)QCuYF+16ttXSXGo6-lx1${men#GY@hIVvwIvC4>2c;du;y6|Mk8Cx`$(Wb{2 zFTMNB-B1JcG48pyY~h!xWDN@|9BCmm2^tvfGrYj;JMdqo!4OjjBg9xp2LbjYjOoM< zHQ!^1J2!j(B)O6-x6Yd$9l#$`^kIaN7fO~_p?erwv5q!#$dd{419r){$Y}xKC{)Q3 zf`^=r#Q<0x2JLwGM;}f~285GlU>_MsSAiZiZstG$-_;uPdx7CbD%lU@+?T>C--)Ac z(eB$lOWbkP=mGddwVRWdn3)aR@ID_QUqa)WzD^-oW13>Qyo>@0bp1p?`gLsIC5vAt zzgJetMb6takkd9)K4XJZn>j!`8JdmEhqRo4{0#Vee1g7MU``%SAr4?aaj|Oc8vGEO zu1-;Nle`oZ@Tc!&5QPwUkESSNB#5|`5_G8Yfqkw;Lkt>tPd#)@vM?EPOze=L16kdb zq|v@Z2Js_(tXl;1Ze<;(EiwZB;S^3`6Z}P(56EE(oKyszrDtgyyl_ap-tl!h0~&jpuu8VfKY?Uh8`X5gDrubhBreuEcv5_F>NVDnk zH2z{%j(Kc_ zktNTE()-$k`G#WX;On>Cd-esNfA3!%{|Eo)QNRD5qtChM>h0UNqgQ0%CB+n6{^ccF z(Xe|LcVzbr%oFZ?#cjKeSik4WTM)4;n6sowt(X{Ead+_SIO0k)09pmjj0Q$C#$JFI zMp8)oFHKxV=`TeGyeBtDX%NHz&~Y@Mmc67r}fg{C3{GdW-QH#YiZB%rgEC@ zfB@##G4jHq4B&GF#z}KJYGfVQS)@rv27FE>$8fO80I)N~D8Xgq9IylQ5t+i`6bBv9 z(6v8tTnk2XikZ+Tz>`s%E6KZIpwB5DBw&Ffapz+XrG4{+u|PlW`rS(y2!HVJj=^{I zsSTGt^!+Cj9VP{U0c%RVJg9#eSAqWze1G?!e|qUJ&fjy@%_0cqHR*3@hb#z9dgUe4 zv149F^~+qs`URp(YCLI9A8))stFD%>9$|0Nq%`0+YUsnN^r_|3-pi_Ejx2!rk3O38 zeNNNm3+*{=aADXF1)vO=1S_OW?sC*n098UkQ^6oY<(3jn$;%2exSCP@LRtfUVXt#_ z)dDG`Dw_i{=EhjKUEY@%C)Pt(h^Kg6DDKhdbR?fPA zi6=o;dQhEO@B*U(HIL27g@R zFibcPFN74t1qKHIzX+m017&z2mo#cvV1$$}*vYzvf~E+-S``SyRaynm1W3_T?kF@! zUtolkS)CW=>nX3%SerdgRWe>9(SeUSUWhUe%q6Qo+w3P2u;(uI*&|e1&oBz)hG)lX z>ynj(VuuK+fuBsZ4ZM&aIzKU~n@}?|tEr4cQ1Z~^T6wNTsUuCaJD@@h$x@ljmv9+8 zNGVLJc}KWXJ!(qV2vbODN0Uy?5g>USL_vE2djbbuuokGN0J)M)7RVd~3pj1pdatAu z4)WWZG|f1o+j1zYLd>493US~RV!S6_@K&p^fCAH=kJ_9|-jO?Ig7#4IJ{ZZOq&C5@ z)o@7hf^31MrDrh(?6i3fI`C_kMGD5=W+r$lk zi96G@)HvOAGukN@C{ifRID$%7qEn<_j0B;`UH2nZk8`JPL%Y}rq=_szt62&qXP_us zAje=YBr9q0LLjJcriAFn=yOnL4zddCN?)2nygAK_o-PZ^QG(~Wd@3`G|KujCjEiU% zc_GwLKB(27iaP7Qbqj=i>WuUA{giV2LhABV;&h5rr{vt%o03&YmB|mJQ^yfP2T8;D z86FfVa7x>#<`H==g%?5%X?3J^)mRe!*j$^Ow>ErgE&ftk?@SvuO;D^lNP2`ceTO|w z>h=^q(Se;so}nsB!B)gNvm5?PY7k94V+{g>*xs@X1030S#u;IW9;-i`41JH1pGxzL)EOKT^unPcr>0&f#^h=F(6DI*_7{~Jc)ThVJx-7Zs%qgLt3Ojcc9JF zu!|ScK}J$2c}5s`f$lliLl1-(3L+5b`|k1rf#{1h0t?_w`Lu&PU{Sy)X+cx*f@aze zi?gag38}EqFTx_aMo(H$8BO3idR^6H3ds#GkY=LMx&MEAXRvEmZH3_m=H&_c20TY! zsKbI9sUlP=MW~?C0aH3)#uQ$l5`BTrI%(8Y2&Kv;5;MNFKKtK3KIcaFNJ*%~jkc`i z<>h^M&bI&G%ggrutPCiEt%gC{S2(yeqx$3pR;vz8Q%wCW?Pv8-YvCgwum0{-M&Q4^ z#hs~M&(^VfZ+f7b*VV;!~3cyBVQtjso)zaJJ zwzNp0BMTX-!3(AAt!+ShMHzZwDh7XIgF_#qROekR4h6TDWLOzd=sW+4z%xiedz!k<`-}Rryjot>P|YnhX0L!7uc_> z-4-GWKIhO($Kho?3{!|imueKz9;^QB$_VrY8t@nh^#OWxA6;xa4(VUpLQZNs~Yg1@^N(2U@#~obV+6N-dSTi_3tIRR{xWkeiq!52( zu6NA?^MhDx{SW$UpbvwrHkP(MzC*bWshc7M?!0}J!B^uO9CFhHZ!n%<-mlGo)S|Qv z1>4oRas~gb?OF5}&d_VOA{#R?v$-C_n`VlhAnHKFr4Tdhz#VGr)bmJEKy8aYcwtP^ z>T6&C)XNAZw?|-FBebz9wJ@J7_|L2nf<>Br@CbsaFEvwy0T-q8Vn+UI_+$@RFD93q zQ<6L6g6j1ih%whA!3#Cl^yrP+ztE>)fDi0nxc|QM%fj1NaUZ_pz-{3RC=CAM;|@>1 ze@kSoQ3HUVrfMI-1-uBU_w4XOI+lt-j(F^HHu@8-r~OeHz=jkhVvW z;14NiX1m2NAdC9|!lNl=lLCT(uW}5Q<_c9h2)t0cep&<0;}m@I(O6$35tIwiQD%G7 zAda>SM-)>SfS%#zA_C6J(-D9IJVsBl7~Vls1|QYx6|FTpp;RC+&OMKy;7w(Rzug$Vz9L#Ys*+p9ZOigNW$ObYYiHb@r z0g-E;D*gg3ho+V0T8(^OZfo7Y*#Yatbc_9@yp|kbhUkCrU{g13`>K{#d{!>STaLAme{plOjLgVZ9 z4OZG3UoL>pyIwD;fz~1ym`%F2B7M(j&e=imFH&%&M6WDj9R*b=N^3`>OsY_wLid3M z-t8KY)e(>d>`?Te0{_!>9qDUTlqf^<0$IHS0tZ~RVS(`9AM2}aTomaY&}U0-g1|su zz;&l&2^K_&Wqta&0}90g?J39zq(u9vIQM|kWRsi1I|XCfKR|m0e!Bm=(a4-N6`v{ zk*$)fmKq;WY}9y3jXPWn2XKereC|*;oDV=LVANNGgq!Y$9WHRX7o0{VeY`lO6QIOt8kgXwUG})CP+7~0Vb1_(;cc_8+ z;6FvH%aFxLlzJ5r;1L)jXtYNzXQAQ|gI~~ST*4AusEU0ul97d4r~0s#s=^Bo->L6A z|4c#*SK;SRY24e{D%=3<%MnEbH=LDJ2V&Ig>UFIhlDPIc4_@%7?Zsta7-J)sAS%Ar zhhPNu6}CAgmGm7idBOb)>6i%e!m2@DKeOc+FT4QS2LZ%{vY#7ZYl-6=g1&$kuo16T zING4>wk%*s(F-8)Vvz_;QQ*Dgb^a$){dc&(nC~6DNghezk&PUw)UH0**J{FVvTw7af7O4~*LeR$fS}&~m2Lcqq!10ZCdZ z4K)qBkiRc9X~~;xwqgo1+@M<>Ug+*qzJq#QfJ(<~k}Fqh!0(zOQV2pW!0fnM3AV!; z#VkFEM2ZZ&ql7P<2*O4Op}61==qvh=2m($)xe4u7$P1Ou1C)q96fbmqfsL+iUT{g0 zyl^eB+}pp>U!Xn#-BAN#-^?M^zvnmKJihtI<6hL-MYUk*=9wI!$=y@t4{;c)&Gcx5 z))+dlVUyxA4ELcA+)npV2rsN1C%s7lUPzZzfEVo7WFthD6zI!A350SACK0T|Ma;|= zBLF51FW}M!J8Hx$4`Nl;40)YLvWS#YWlGy-P{UM?!MWKOM7W5h!&6wo2N%tvJV*Ni z`R13{D4bia232c`U!-V#S`23P80w?(N|gv(QL_0}qlvJ+myz8_p|ccCU_WsRHX=yV zYGYR{RBCf_OhQr2Y;WkjXrgPA9!jD%}_wP56XoF#!Qi( z0ygPfdJxhm^-z;e0Adk2^gjUCi!AS}sEm?SlUZxCY6l!>&RAK_LX; zR0B%6K!{LAIjF%YhBLbQ=iyQ>rjK%Ye{I^6xFR429`#Y)N8dht zP=`|Xl0PlO2neg=0K7A>uV69LM>z6*Rw_gwHBgF73K4M8bBP^bGfJnYP+Wj3(VuK? zo0?qWw#dV4U;?T&q;NqINAGB>C -#include -#include "WConstants.h" -#include "pins_arduino.h" -#include "SoftwareSerial.h" -#include "icrmacros.h" -// -// Lookup table -// -typedef struct _DELAY_TABLE -{ - long baud; - unsigned short rx_delay_centering; - unsigned short rx_delay_intrabit; - unsigned short rx_delay_stopbit; - unsigned short tx_delay; -} DELAY_TABLE; - -#if F_CPU == 16000000 - -static const DELAY_TABLE PROGMEM table[] = -{ - // baud rxcenter rxintra rxstop tx - { 115200, 1, 17, 17, 12, }, - { 57600, 10, 37, 37, 33, }, - { 38400, 25, 57, 57, 54, }, - { 31250, 31, 70, 70, 68, }, - { 28800, 34, 77, 77, 74, }, - { 19200, 54, 117, 117, 114, }, - { 14400, 74, 156, 156, 153, }, - { 9600, 114, 236, 236, 233, }, - { 4800, 233, 474, 474, 471, }, - { 2400, 471, 950, 950, 947, }, - { 1200, 947, 1902, 1902, 1899, }, - { 300, 3804, 7617, 7617, 7614, }, -}; - -const int XMIT_START_ADJUSTMENT = 5; - -#elif F_CPU == 8000000 - -static const DELAY_TABLE table[] PROGMEM = -{ - // baud rxcenter rxintra rxstop tx - { 115200, 1, 5, 5, 3, }, - { 57600, 1, 15, 15, 13, }, - { 38400, 2, 25, 26, 23, }, - { 31250, 7, 32, 33, 29, }, - { 28800, 11, 35, 35, 32, }, - { 19200, 20, 55, 55, 52, }, - { 14400, 30, 75, 75, 72, }, - { 9600, 50, 114, 114, 112, }, - { 4800, 110, 233, 233, 230, }, - { 2400, 229, 472, 472, 469, }, - { 1200, 467, 948, 948, 945, }, - { 300, 1895, 3805, 3805, 3802, }, -}; - -const int XMIT_START_ADJUSTMENT = 4; - -#elif F_CPU == 20000000 - -// 20MHz support courtesy of the good people at macegr.com. -// Thanks, Garrett! - -static const DELAY_TABLE PROGMEM table[] = -{ - // baud rxcenter rxintra rxstop tx - { 115200, 3, 21, 21, 18, }, - { 57600, 20, 43, 43, 41, }, - { 38400, 37, 73, 73, 70, }, - { 31250, 45, 89, 89, 88, }, - { 28800, 46, 98, 98, 95, }, - { 19200, 71, 148, 148, 145, }, - { 14400, 96, 197, 197, 194, }, - { 9600, 146, 297, 297, 294, }, - { 4800, 296, 595, 595, 592, }, - { 2400, 592, 1189, 1189, 1186, }, - { 1200, 1187, 2379, 2379, 2376, }, - { 300, 4759, 9523, 9523, 9520, }, -}; - -const int XMIT_START_ADJUSTMENT = 6; - -#else - -#error This version of SoftwareSerial supports only 20, 16 and 8MHz processors - -#endif - -// -// Statics -// -SoftwareSerial *SoftwareSerial::active_object = 0; -char SoftwareSerial::_receive_buffer[_SS_MAX_RX_BUFF]; -volatile uint8_t SoftwareSerial::_receive_buffer_tail = 0; -volatile uint8_t SoftwareSerial::_receive_buffer_head = 0; - -// -// Debugging -// -// This function generates a brief pulse -// for debugging or measuring on an oscilloscope. -inline void DebugPulse(uint8_t pin, uint8_t count) -{ -#if _DEBUG - volatile uint8_t *pport = portOutputRegister(digitalPinToPort(pin)); - - uint8_t val = *pport; - while (count--) - { - *pport = val | digitalPinToBitMask(pin); - *pport = val; - } -#endif -} - -// -// Private methods -// - -/* static */ -inline void SoftwareSerial::tunedDelay(uint16_t delay) { - uint8_t tmp=0; - - asm volatile("sbiw %0, 0x01 \n\t" - "ldi %1, 0xFF \n\t" - "cpi %A0, 0xFF \n\t" - "cpc %B0, %1 \n\t" - "brne .-10 \n\t" - : "+r" (delay), "+a" (tmp) - : "0" (delay) - ); -} - -// This function sets the current object as the "listening" -// one and returns true if it replaces another -bool SoftwareSerial::listen() -{ - if (active_object != this) - { - _buffer_overflow = false; - uint8_t oldSREG = SREG; - cli(); - _receive_buffer_head = _receive_buffer_tail = 0; - active_object = this; - SREG = oldSREG; - return true; - } - - return false; -} - -// -// The receive routine called by the interrupt handler -// -void SoftwareSerial::recv() -{ - -#if GCC_VERSION < 40302 -// Work-around for avr-gcc 4.3.0 OSX version bug -// Preserve the registers that the compiler misses -// (courtesy of Arduino forum user *etracer*) - asm volatile( - "push r18 \n\t" - "push r19 \n\t" - "push r20 \n\t" - "push r21 \n\t" - "push r22 \n\t" - "push r23 \n\t" - "push r26 \n\t" - "push r27 \n\t" - ::); -#endif - - uint8_t d = 0; - - // If RX line is high, then we don't see any start bit - // so interrupt is probably not for us - if (_inverse_logic ? rx_pin_read() : !rx_pin_read()) - { - // Wait approximately 1/2 of a bit width to "center" the sample - tunedDelay(_rx_delay_centering); - DebugPulse(_DEBUG_PIN2, 1); - - // Read each of the 8 bits - for (uint8_t i=0x1; i; i <<= 1) - { - tunedDelay(_rx_delay_intrabit); - DebugPulse(_DEBUG_PIN2, 1); - uint8_t noti = ~i; - if (rx_pin_read()) - d |= i; - else // else clause added to ensure function timing is ~balanced - d &= noti; - } - - // skip the stop bit - tunedDelay(_rx_delay_stopbit); - DebugPulse(_DEBUG_PIN2, 1); - - if (_inverse_logic) - d = ~d; - - // if buffer full, set the overflow flag and return - if ((_receive_buffer_tail + 1) % _SS_MAX_RX_BUFF != _receive_buffer_head) - { - // save new data in buffer: tail points to where byte goes - _receive_buffer[_receive_buffer_tail] = d; // save new byte - _receive_buffer_tail = (_receive_buffer_tail + 1) % _SS_MAX_RX_BUFF; - } - else - { -#if _DEBUG // for scope: pulse pin as overflow indictator - DebugPulse(_DEBUG_PIN1, 1); -#endif - _buffer_overflow = true; - } - } - -#if GCC_VERSION < 40302 -// Work-around for avr-gcc 4.3.0 OSX version bug -// Restore the registers that the compiler misses - asm volatile( - "pop r27 \n\t" - "pop r26 \n\t" - "pop r23 \n\t" - "pop r22 \n\t" - "pop r21 \n\t" - "pop r20 \n\t" - "pop r19 \n\t" - "pop r18 \n\t" - ::); -#endif -} - -void SoftwareSerial::tx_pin_write(uint8_t pin_state) -{ - if (pin_state == LOW) - *_transmitPortRegister &= ~_transmitBitMask; - else - *_transmitPortRegister |= _transmitBitMask; -} - -uint8_t SoftwareSerial::rx_pin_read() -{ - return *_receivePortRegister & _receiveBitMask; -} - -// -// Interrupt handling -// - -/* static */ -inline void SoftwareSerial::handle_interrupt() -{ - if (active_object) - { - active_object->recv(); - } -} - -#if defined(PCINT0_vect) -ISR(PCINT0_vect) -{ - SoftwareSerial::handle_interrupt(); -} -#endif - -#if defined(PCINT1_vect) -ISR(PCINT1_vect) -{ - SoftwareSerial::handle_interrupt(); -} -#endif - -#if defined(PCINT2_vect) -ISR(PCINT2_vect) -{ - SoftwareSerial::handle_interrupt(); -} -#endif - -#if defined(PCINT3_vect) -ISR(PCINT3_vect) -{ - SoftwareSerial::handle_interrupt(); -} -#endif - -// -// Constructor -// -SoftwareSerial::SoftwareSerial(uint8_t receivePin, uint8_t transmitPin, bool inverse_logic /* = false */) : - _rx_delay_centering(0), - _rx_delay_intrabit(0), - _rx_delay_stopbit(0), - _tx_delay(0), - _buffer_overflow(false), - _inverse_logic(inverse_logic) -{ - setTX(transmitPin); - setRX(receivePin); -} - -// -// Destructor -// -SoftwareSerial::~SoftwareSerial() -{ - end(); -} - -void SoftwareSerial::setTX(uint8_t tx) -{ - pinMode(tx, OUTPUT); - digitalWrite(tx, HIGH); - _transmitBitMask = digitalPinToBitMask(tx); - uint8_t port = digitalPinToPort(tx); - _transmitPortRegister = portOutputRegister(port); -} - -void SoftwareSerial::setRX(uint8_t rx) -{ - pinMode(rx, INPUT); - if (!_inverse_logic) - digitalWrite(rx, HIGH); // pullup for normal logic! - _receivePin = rx; - _receiveBitMask = digitalPinToBitMask(rx); - uint8_t port = digitalPinToPort(rx); - _receivePortRegister = portInputRegister(port); -} - -// -// Public methods -// - -void SoftwareSerial::begin(long speed) -{ - _rx_delay_centering = _rx_delay_intrabit = _rx_delay_stopbit = _tx_delay = 0; - - for (unsigned i=0; i 0) { + buf[i++] = n % base; + n /= base; + } + + for (; i > 0; i--) + print((char) (buf[i - 1] < 10 ? '0' + buf[i - 1] : 'A' + buf[i - 1] - 10)); +} diff --git a/libraries/SoftwareSerial/SoftwareSerial.h b/libraries/SoftwareSerial/SoftwareSerial.h index d7cea594d..95753fc09 100755 --- a/libraries/SoftwareSerial/SoftwareSerial.h +++ b/libraries/SoftwareSerial/SoftwareSerial.h @@ -1,113 +1,56 @@ -/* -SoftwareSerial.h (formerly NewSoftSerial.h) - -Multi-instance software serial library for Arduino/Wiring --- Interrupt-driven receive and other improvements by ladyada - (http://ladyada.net) --- Tuning, circular buffer, derivation from class Print/Stream, - multi-instance support, porting to 8MHz processors, - various optimizations, PROGMEM delay tables, inverse logic and - direct port writing by Mikal Hart (http://www.arduiniana.org) --- Pin change interrupt macros by Paul Stoffregen (http://www.pjrc.com) --- 20MHz processor support by Garrett Mace (http://www.macetech.com) --- ATmega1280/2560 support by Brett Hagman (http://www.roguerobotics.com/) - -This library is free software; you can redistribute it and/or -modify it under the terms of the GNU Lesser General Public -License as published by the Free Software Foundation; either -version 2.1 of the License, or (at your option) any later version. - -This library is distributed in the hope that it will be useful, -but WITHOUT ANY WARRANTY; without even the implied warranty of -MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -Lesser General Public License for more details. - -You should have received a copy of the GNU Lesser General Public -License along with this library; if not, write to the Free Software -Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA - -The latest version of this library can always be found at -http://arduiniana.org. -*/ - -#ifndef SoftwareSerial_h -#define SoftwareSerial_h - -#include -#include - -/****************************************************************************** -* Definitions -******************************************************************************/ - -#define _SS_MAX_RX_BUFF 64 // RX buffer size -#define _SS_VERSION 11 // software version of this library -#ifndef GCC_VERSION -#define GCC_VERSION (__GNUC__ * 10000 + __GNUC_MINOR__ * 100 + __GNUC_PATCHLEVEL__) -#endif - -class SoftwareSerial : public Stream -{ -private: - // per object data - uint8_t _receivePin; - uint8_t _receiveBitMask; - volatile uint8_t *_receivePortRegister; - uint8_t _transmitBitMask; - volatile uint8_t *_transmitPortRegister; - - uint16_t _rx_delay_centering; - uint16_t _rx_delay_intrabit; - uint16_t _rx_delay_stopbit; - uint16_t _tx_delay; - - uint16_t _buffer_overflow:1; - uint16_t _inverse_logic:1; - - // static data - static char _receive_buffer[_SS_MAX_RX_BUFF]; - static volatile uint8_t _receive_buffer_tail; - static volatile uint8_t _receive_buffer_head; - static SoftwareSerial *active_object; - - // private methods - void recv(); - uint8_t rx_pin_read(); - void tx_pin_write(uint8_t pin_state); - void setTX(uint8_t transmitPin); - void setRX(uint8_t receivePin); - - // private static method for timing - static inline void tunedDelay(uint16_t delay); - -public: - // public methods - SoftwareSerial(uint8_t receivePin, uint8_t transmitPin, bool inverse_logic = false); - ~SoftwareSerial(); - void begin(long speed); - bool listen(); - void end(); - bool is_listening() { return this == active_object; } - bool overflow() { bool ret = _buffer_overflow; _buffer_overflow = false; return ret; } - static int library_version() { return _SS_VERSION; } - static void enable_timer0(bool enable); - int peek(); - - virtual void write(uint8_t byte); - virtual int read(); - virtual int available(); - virtual void flush(); - - // public only for easy access by interrupt handlers - static inline void handle_interrupt(); -}; - -// Arduino 0012 workaround -#undef int -#undef char -#undef long -#undef byte -#undef float -#undef abs -#undef round - -#endif +/* + SoftwareSerial.h - Software serial library + Copyright (c) 2006 David A. Mellis. All right reserved. + + This library is free software; you can redistribute it and/or + modify it under the terms of the GNU Lesser General Public + License as published by the Free Software Foundation; either + version 2.1 of the License, or (at your option) any later version. + + This library is distributed in the hope that it will be useful, + but WITHOUT ANY WARRANTY; without even the implied warranty of + MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU + Lesser General Public License for more details. + + You should have received a copy of the GNU Lesser General Public + License along with this library; if not, write to the Free Software + Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA +*/ + +#ifndef SoftwareSerial_h +#define SoftwareSerial_h + +#include + +class SoftwareSerial +{ + private: + uint8_t _receivePin; + uint8_t _transmitPin; + long _baudRate; + int _bitPeriod; + void printNumber(unsigned long, uint8_t); + public: + SoftwareSerial(uint8_t, uint8_t); + void begin(long); + int read(); + void print(char); + void print(const char[]); + void print(uint8_t); + void print(int); + void print(unsigned int); + void print(long); + void print(unsigned long); + void print(long, int); + void println(void); + void println(char); + void println(const char[]); + void println(uint8_t); + void println(int); + void println(long); + void println(unsigned long); + void println(long, int); +}; + +#endif + diff --git a/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde b/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde deleted file mode 100755 index fe9f89146..000000000 --- a/libraries/SoftwareSerial/examples/SoftwareSerialExample/SoftwareSerialExample.pde +++ /dev/null @@ -1,21 +0,0 @@ -#include - -SoftwareSerial mySerial(2, 3); - -void setup() -{ - Serial.begin(57600); - Serial.println("Goodnight moon!"); - - // set the data rate for the SoftwareSerial port - mySerial.begin(4800); - mySerial.println("Hello, world?"); -} - -void loop() // run over and over -{ - if (mySerial.available()) - Serial.print((char)mySerial.read()); - if (Serial.available()) - mySerial.print((char)Serial.read()); -} diff --git a/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde b/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde deleted file mode 100755 index 1db4536de..000000000 --- a/libraries/SoftwareSerial/examples/TwoPortRXExample/TwoPortRXExample.pde +++ /dev/null @@ -1,50 +0,0 @@ -#include - -SoftwareSerial ss(2, 3); -SoftwareSerial ss2(4, 5); - -/* This sample shows how to correctly process received data - on two different "soft" serial ports. Here we listen on - the first port (ss) until we receive a '?' character. Then - we begin listening on the other soft port. -*/ - -void setup() -{ - // Start the HW serial port - Serial.begin(57600); - - // Start each soft serial port - ss.begin(4800); - ss2.begin(4800); - - // By default, the most recently "begun" port is listening. - // We want to listen on ss, so let's explicitly select it. - ss.listen(); - - // Simply wait for a ? character to come down the pipe - Serial.println("Data from the first port: "); - char c = 0; - do - if (ss.available()) - { - c = (char)ss.read(); - Serial.print(c); - } - while (c != '?'); - - // Now listen on the second port - ss2.listen(); - - Serial.println("Data from the second port: "); -} - -void loop() -{ - if (ss2.available()) - { - char c = (char)ss2.read(); - Serial.print(c); - } -} - diff --git a/libraries/SoftwareSerial/icrmacros.h b/libraries/SoftwareSerial/icrmacros.h deleted file mode 100755 index b29f6ffd5..000000000 --- a/libraries/SoftwareSerial/icrmacros.h +++ /dev/null @@ -1,69 +0,0 @@ -/* -icrmacros.h - -A place to put useful ICR (interrupt change register) macros - -If you want to support non-Arduino processors you can extend or replace -this file. - -This library is free software; you can redistribute it and/or -modify it under the terms of the GNU Lesser General Public -License as published by the Free Software Foundation; either -version 2.1 of the License, or (at your option) any later version. - -This library is distributed in the hope that it will be useful, -but WITHOUT ANY WARRANTY; without even the implied warranty of -MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU -Lesser General Public License for more details. - -You should have received a copy of the GNU Lesser General Public -License along with this library; if not, write to the Free Software -Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301 USA - -The latest version of this library can always be found at -http://arduiniana.org. -*/ - -// Abstractions for maximum portability between processors -// These are macros to associate pins to pin change interrupts -#if !defined(digitalPinToPCICR) // Courtesy Paul Stoffregen - -#if defined(__AVR_ATmega168__) || defined(__AVR_ATmega328P__) - -#define digitalPinToPCICR(p) (((p) >= 0 && (p) <= 21) ? (&PCICR) : ((uint8_t *)0)) -#define digitalPinToPCICRbit(p) (((p) <= 7) ? 2 : (((p) <= 13) ? 0 : 1)) -#define digitalPinToPCMSK(p) (((p) <= 7) ? (&PCMSK2) : (((p) <= 13) ? (&PCMSK0) : (((p) <= 21) ? (&PCMSK1) : ((uint8_t *)0)))) -#define digitalPinToPCMSKbit(p) (((p) <= 7) ? (p) : (((p) <= 13) ? ((p) - 8) : ((p) - 14))) - -#elif defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) -// Specifically for the Arduino Mega 2560 (or 1280 on the original Arduino Mega) -// A majority of the pins are NOT PCINTs, SO BE WARNED (i.e. you cannot use them as receive pins) -// Only pins available for RECEIVE (TRANSMIT can be on any pin): -// (I've deliberately left out pin mapping to the Hardware USARTs - seems senseless to me) -// Pins: 10, 11, 12, 13, 50, 51, 52, 53, 62, 63, 64, 65, 66, 67, 68, 69 - -#define digitalPinToPCICR(p) ( (((p) >= 10) && ((p) <= 13)) || \ - (((p) >= 50) && ((p) <= 53)) || \ - (((p) >= 62) && ((p) <= 69)) ? (&PCICR) : ((uint8_t *)0) ) - -#define digitalPinToPCICRbit(p) ( (((p) >= 10) && ((p) <= 13)) || (((p) >= 50) && ((p) <= 53)) ? 0 : \ - ( (((p) >= 62) && ((p) <= 69)) ? 2 : \ - 0 ) ) - -#define digitalPinToPCMSK(p) ( (((p) >= 10) && ((p) <= 13)) || (((p) >= 50) && ((p) <= 53)) ? (&PCMSK0) : \ - ( (((p) >= 62) && ((p) <= 69)) ? (&PCMSK2) : \ - ((uint8_t *)0) ) ) - -#define digitalPinToPCMSKbit(p) ( (((p) >= 10) && ((p) <= 13)) ? ((p) - 6) : \ - ( ((p) == 50) ? 3 : \ - ( ((p) == 51) ? 2 : \ - ( ((p) == 52) ? 1 : \ - ( ((p) ==53) ? 0 : \ - ( (((p) >= 62) && ((p) <= 69)) ? ((p) - 62) : \ - 0 ) ) ) ) ) ) - -#else -#error This processor is not supported by SoftwareSerial -#endif -#endif - diff --git a/libraries/SoftwareSerial/keywords.txt b/libraries/SoftwareSerial/keywords.txt old mode 100755 new mode 100644 index 2ed9642e5..de5a74c77 --- a/libraries/SoftwareSerial/keywords.txt +++ b/libraries/SoftwareSerial/keywords.txt @@ -1,28 +1,17 @@ ####################################### -# Syntax Coloring Map for NewSoftSerial +# Syntax Coloring Map For Ultrasound ####################################### ####################################### # Datatypes (KEYWORD1) ####################################### -NewSoftSerial KEYWORD1 +SoftwareSerial KEYWORD1 ####################################### # Methods and Functions (KEYWORD2) ####################################### -begin KEYWORD2 -end KEYWORD2 -read KEYWORD2 -available KEYWORD2 -is_listening KEYWORD2 -overflow KEYWORD2 -library_version KEYWORD2 -enable_timer0 KEYWORD2 -flush KEYWORD2 -listen KEYWORD2 - ####################################### # Constants (LITERAL1) ####################################### From ee21c0f41205e177116b77fa8d1adcd892dd42c9 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Fri, 25 Feb 2011 18:36:46 -0500 Subject: [PATCH 21/25] Small optimization in HardwareSerial. begin(long) -> begin(unsigned long) Former-commit-id: 13e3ba87e3b89910be202de1a8b65c23add2d1b8 --- hardware/arduino/cores/arduino/HardwareSerial.cpp | 2 +- hardware/arduino/cores/arduino/HardwareSerial.h | 2 +- 2 files changed, 2 insertions(+), 2 deletions(-) diff --git a/hardware/arduino/cores/arduino/HardwareSerial.cpp b/hardware/arduino/cores/arduino/HardwareSerial.cpp index 4397efb7e..38e87c49f 100644 --- a/hardware/arduino/cores/arduino/HardwareSerial.cpp +++ b/hardware/arduino/cores/arduino/HardwareSerial.cpp @@ -191,7 +191,7 @@ HardwareSerial::HardwareSerial(ring_buffer *rx_buffer, // Public Methods ////////////////////////////////////////////////////////////// -void HardwareSerial::begin(long baud) +void HardwareSerial::begin(unsigned long baud) { uint16_t baud_setting; bool use_u2x = true; diff --git a/hardware/arduino/cores/arduino/HardwareSerial.h b/hardware/arduino/cores/arduino/HardwareSerial.h index 3efa775f8..9dc67c4c9 100644 --- a/hardware/arduino/cores/arduino/HardwareSerial.h +++ b/hardware/arduino/cores/arduino/HardwareSerial.h @@ -48,7 +48,7 @@ class HardwareSerial : public Stream volatile uint8_t *ucsra, volatile uint8_t *ucsrb, volatile uint8_t *udr, uint8_t rxen, uint8_t txen, uint8_t rxcie, uint8_t udre, uint8_t u2x); - void begin(long); + void begin(unsigned long); void end(); virtual int available(void); virtual int peek(void); From 54a30640ddc9294fc3ac9f4cbd496d3ef1046a50 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Fri, 25 Feb 2011 18:44:43 -0500 Subject: [PATCH 22/25] Removing O_SYNC from FILE_WRITE mode for the SD File class. Former-commit-id: e031022a68f641439ebdc0abf533bab38ad9bcd6 --- libraries/SD/SD.h | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/libraries/SD/SD.h b/libraries/SD/SD.h index 2c5c323a8..d1e53debc 100644 --- a/libraries/SD/SD.h +++ b/libraries/SD/SD.h @@ -21,7 +21,7 @@ #include #define FILE_READ O_READ -#define FILE_WRITE (O_READ | O_WRITE | O_CREAT | O_SYNC) +#define FILE_WRITE (O_READ | O_WRITE | O_CREAT) class File : public Stream { public: From 033d02b24d84050fa18c4ac7dd8a0da85c6b7bae Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Sat, 26 Feb 2011 13:57:41 -0500 Subject: [PATCH 23/25] Modifying examples to use Serial.write() instead of Serial.print(BYTE). Former-commit-id: 6739f20bbf7b3a200239ef877961688cbadee3cb --- .../examples/4.Communication/ASCIITable/ASCIITable.pde | 2 +- build/shared/examples/4.Communication/MIDI/Midi.pde | 6 +++--- .../4.Communication/MultiSerialMega/MultiSerialMega.pde | 2 +- .../SerialCallResponse/SerialCallResponse.pde | 8 ++++---- libraries/Firmata/examples/EchoString/EchoString.pde | 8 ++++---- .../examples/TextDirection/TextDirection.pde | 2 +- libraries/LiquidCrystal/examples/setCursor/setCursor.pde | 2 +- 7 files changed, 15 insertions(+), 15 deletions(-) diff --git a/build/shared/examples/4.Communication/ASCIITable/ASCIITable.pde b/build/shared/examples/4.Communication/ASCIITable/ASCIITable.pde index 3678836b3..c92b0d023 100644 --- a/build/shared/examples/4.Communication/ASCIITable/ASCIITable.pde +++ b/build/shared/examples/4.Communication/ASCIITable/ASCIITable.pde @@ -38,7 +38,7 @@ void loop() // prints value unaltered, i.e. the raw binary version of the // byte. The serial monitor interprets all bytes as // ASCII, so 33, the first number, will show up as '!' - Serial.print(thisByte, BYTE); + Serial.write(thisByte); Serial.print(", dec: "); // prints value as string as an ASCII-encoded decimal (base 10). diff --git a/build/shared/examples/4.Communication/MIDI/Midi.pde b/build/shared/examples/4.Communication/MIDI/Midi.pde index 3d34a18cc..cdeaeea11 100644 --- a/build/shared/examples/4.Communication/MIDI/Midi.pde +++ b/build/shared/examples/4.Communication/MIDI/Midi.pde @@ -42,8 +42,8 @@ void loop() { // plays a MIDI note. Doesn't check to see that // cmd is greater than 127, or that data values are less than 127: void noteOn(int cmd, int pitch, int velocity) { - Serial.print(cmd, BYTE); - Serial.print(pitch, BYTE); - Serial.print(velocity, BYTE); + Serial.write(cmd); + Serial.write(pitch); + Serial.write(velocity); } diff --git a/build/shared/examples/4.Communication/MultiSerialMega/MultiSerialMega.pde b/build/shared/examples/4.Communication/MultiSerialMega/MultiSerialMega.pde index 788bc908e..684e305bb 100644 --- a/build/shared/examples/4.Communication/MultiSerialMega/MultiSerialMega.pde +++ b/build/shared/examples/4.Communication/MultiSerialMega/MultiSerialMega.pde @@ -28,6 +28,6 @@ void loop() { // read from port 1, send to port 0: if (Serial1.available()) { int inByte = Serial1.read(); - Serial.print(inByte, BYTE); + Serial.write(inByte); } } diff --git a/build/shared/examples/4.Communication/SerialCallResponse/SerialCallResponse.pde b/build/shared/examples/4.Communication/SerialCallResponse/SerialCallResponse.pde index 6f56d9891..e3565152a 100644 --- a/build/shared/examples/4.Communication/SerialCallResponse/SerialCallResponse.pde +++ b/build/shared/examples/4.Communication/SerialCallResponse/SerialCallResponse.pde @@ -52,15 +52,15 @@ void loop() // read switch, map it to 0 or 255L thirdSensor = map(digitalRead(2), 0, 1, 0, 255); // send sensor values: - Serial.print(firstSensor, BYTE); - Serial.print(secondSensor, BYTE); - Serial.print(thirdSensor, BYTE); + Serial.write(firstSensor); + Serial.write(secondSensor); + Serial.write(thirdSensor); } } void establishContact() { while (Serial.available() <= 0) { - Serial.print('A', BYTE); // send a capital A + Serial.print('A'); // send a capital A delay(300); } } diff --git a/libraries/Firmata/examples/EchoString/EchoString.pde b/libraries/Firmata/examples/EchoString/EchoString.pde index 6559ae1c6..e5c4e6fe5 100644 --- a/libraries/Firmata/examples/EchoString/EchoString.pde +++ b/libraries/Firmata/examples/EchoString/EchoString.pde @@ -14,12 +14,12 @@ void stringCallback(char *myString) void sysexCallback(byte command, byte argc, byte*argv) { - Serial.print(START_SYSEX, BYTE); - Serial.print(command, BYTE); + Serial.write(START_SYSEX); + Serial.write(command); for(byte i=0; i Date: Tue, 1 Mar 2011 07:13:18 -0500 Subject: [PATCH 24/25] Added CardInfo example to SD libary examples Former-commit-id: fe8af70c61a6f517d485798716c242438eefe27e --- libraries/SD/examples/CardInfo/CardInfo.pde | 108 ++++++++++++++++++++ 1 file changed, 108 insertions(+) create mode 100644 libraries/SD/examples/CardInfo/CardInfo.pde diff --git a/libraries/SD/examples/CardInfo/CardInfo.pde b/libraries/SD/examples/CardInfo/CardInfo.pde new file mode 100644 index 000000000..f81e21d17 --- /dev/null +++ b/libraries/SD/examples/CardInfo/CardInfo.pde @@ -0,0 +1,108 @@ +/* + SD card test + + This example shows how use the utility libraries on which the' + SD library is based in order to get info about your SD card. + Very useful for testing a card when you're not sure whether its working or not. + + The circuit: + * SD card attached to SPI bus as follows: + ** MOSI - pin 11 on Arduino Uno/Duemilanove/Diecimila + ** MISO - pin 12 on Arduino Uno/Duemilanove/Diecimila + ** CLK - pin 13 on Arduino Uno/Duemilanove/Diecimila + ** CS - depends on your SD card shield or module + + + created 28 Mar 2011 + by Limor Fried + */ + // include the SD library: +#include + +// set up variables using the SD utility library functions: +Sd2Card card; +SdVolume volume; +SdFile root; + +// change this to match your SD shield or module; +// Arduino Ethernet shield: pin 4 +// Adafruit SD shields and modules: pin 10 +// Sparkfun SD shield: pin 8 +const int chipSelect = 8; + +void setup() +{ + Serial.begin(9600); + Serial.print("\nInitializing SD card..."); + // On the Ethernet Shield, CS is pin 4. It's set as an output by default. + // Note that even if it's not used as the CS pin, the hardware SS pin + // (10 on most Arduino boards, 53 on the Mega) must be left as an output + // or the SD library functions will not work. + pinMode(10, OUTPUT); // change this to 53 on a mega + + + // we'll use the initialization code from the utility libraries + // since we're just testing if the card is working! + if (!card.init(SPI_HALF_SPEED, chipSelect)) { + Serial.println("initialization failed. Things to check:"); + Serial.println("* is a card is inserted?"); + Serial.println("* Is your wiring correct?"); + Serial.println("* did you change the chipSelect pin to match your shield or module?"); + return; + } else { + Serial.println("Wiring is correct and a card is present."); + } + + // print the type of card + Serial.print("\nCard type: "); + switch(card.type()) { + case SD_CARD_TYPE_SD1: + Serial.println("SD1"); + break; + case SD_CARD_TYPE_SD2: + Serial.println("SD2"); + break; + case SD_CARD_TYPE_SDHC: + Serial.println("SDHC"); + break; + default: + Serial.println("Unknown"); + } + + // Now we will try to open the 'volume'/'partition' - it should be FAT16 or FAT32 + if (!volume.init(card)) { + Serial.println("Could not find FAT16/FAT32 partition.\nMake sure you've formatted the card"); + return; + } + + + // print the type and size of the first FAT-type volume + long volumesize; + Serial.print("\nVolume type is FAT"); + Serial.println(volume.fatType(), DEC); + Serial.println(); + + volumesize = volume.blocksPerCluster(); // clusters are collections of blocks + volumesize *= volume.clusterCount(); // we'll have a lot of clusters + volumesize *= 512; // SD card blocks are always 512 bytes + Serial.print("Volume size (bytes): "); + Serial.println(volumesize); + Serial.print("Volume size (Kbytes): "); + volumesize /= 1024; + Serial.println(volumesize); + Serial.print("Volume size (Mbytes): "); + volumesize /= 1024; + Serial.println(volumesize); + + + Serial.println("\nFiles found on the card (name, date and size in bytes): "); + root.openRoot(volume); + + // list all files in the card with date and size + root.ls(LS_R | LS_DATE | LS_SIZE); +} + + +void loop(void) { + +} From f76fdbd675a18d0aa805e5c5be5b398032635c16 Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Thu, 3 Mar 2011 22:56:20 -0500 Subject: [PATCH 25/25] Fixing warnings (David H. Lynch Jr). Former-commit-id: d59fcb8eed1d06c21309cb36c6906867a9a15124 --- hardware/arduino/cores/arduino/Print.cpp | 2 +- hardware/arduino/cores/arduino/WString.h | 2 +- hardware/arduino/cores/arduino/pins_arduino.c | 84 +++++++++---------- libraries/Ethernet/utility/socket.cpp | 1 - libraries/Ethernet/utility/w5100.cpp | 4 +- libraries/SD/File.cpp | 2 +- 6 files changed, 47 insertions(+), 48 deletions(-) diff --git a/hardware/arduino/cores/arduino/Print.cpp b/hardware/arduino/cores/arduino/Print.cpp index 4ee556dd8..fd689423a 100755 --- a/hardware/arduino/cores/arduino/Print.cpp +++ b/hardware/arduino/cores/arduino/Print.cpp @@ -45,7 +45,7 @@ void Print::write(const uint8_t *buffer, size_t size) void Print::print(const String &s) { - for (int i = 0; i < s.length(); i++) { + for (unsigned int i = 0; i < s.length(); i++) { write(s[i]); } } diff --git a/hardware/arduino/cores/arduino/WString.h b/hardware/arduino/cores/arduino/WString.h index cadddb947..56faf9a48 100644 --- a/hardware/arduino/cores/arduino/WString.h +++ b/hardware/arduino/cores/arduino/WString.h @@ -67,7 +67,7 @@ class String int lastIndexOf( char ch, unsigned int fromIndex ) const; int lastIndexOf( const String &str ) const; int lastIndexOf( const String &str, unsigned int fromIndex ) const; - const unsigned int length( ) const { return _length; } + unsigned int length( ) const { return _length; } void setCharAt(unsigned int index, const char ch); unsigned char startsWith( const String &prefix ) const; unsigned char startsWith( const String &prefix, unsigned int toffset ) const; diff --git a/hardware/arduino/cores/arduino/pins_arduino.c b/hardware/arduino/cores/arduino/pins_arduino.c index 0c816e94d..62e10ad15 100755 --- a/hardware/arduino/cores/arduino/pins_arduino.c +++ b/hardware/arduino/cores/arduino/pins_arduino.c @@ -81,50 +81,50 @@ #if defined(__AVR_ATmega1280__) || defined(__AVR_ATmega2560__) const uint16_t PROGMEM port_to_mode_PGM[] = { NOT_A_PORT, - &DDRA, - &DDRB, - &DDRC, - &DDRD, - &DDRE, - &DDRF, - &DDRG, - &DDRH, + (uint16_t) &DDRA, + (uint16_t) &DDRB, + (uint16_t) &DDRC, + (uint16_t) &DDRD, + (uint16_t) &DDRE, + (uint16_t) &DDRF, + (uint16_t) &DDRG, + (uint16_t) &DDRH, NOT_A_PORT, - &DDRJ, - &DDRK, - &DDRL, + (uint16_t) &DDRJ, + (uint16_t) &DDRK, + (uint16_t) &DDRL, }; const uint16_t PROGMEM port_to_output_PGM[] = { NOT_A_PORT, - &PORTA, - &PORTB, - &PORTC, - &PORTD, - &PORTE, - &PORTF, - &PORTG, - &PORTH, + (uint16_t) &PORTA, + (uint16_t) &PORTB, + (uint16_t) &PORTC, + (uint16_t) &PORTD, + (uint16_t) &PORTE, + (uint16_t) &PORTF, + (uint16_t) &PORTG, + (uint16_t) &PORTH, NOT_A_PORT, - &PORTJ, - &PORTK, - &PORTL, + (uint16_t) &PORTJ, + (uint16_t) &PORTK, + (uint16_t) &PORTL, }; const uint16_t PROGMEM port_to_input_PGM[] = { NOT_A_PIN, - &PINA, - &PINB, - &PINC, - &PIND, - &PINE, - &PINF, - &PING, - &PINH, + (uint16_t) &PINA, + (uint16_t) &PINB, + (uint16_t) &PINC, + (uint16_t) &PIND, + (uint16_t) &PINE, + (uint16_t) &PINF, + (uint16_t) &PING, + (uint16_t) &PINH, NOT_A_PIN, - &PINJ, - &PINK, - &PINL, + (uint16_t) &PINJ, + (uint16_t) &PINK, + (uint16_t) &PINL, }; const uint8_t PROGMEM digital_pin_to_port_PGM[] = { @@ -358,25 +358,25 @@ const uint8_t PROGMEM digital_pin_to_timer_PGM[] = { const uint16_t PROGMEM port_to_mode_PGM[] = { NOT_A_PORT, NOT_A_PORT, - &DDRB, - &DDRC, - &DDRD, + (uint16_t) &DDRB, + (uint16_t) &DDRC, + (uint16_t) &DDRD, }; const uint16_t PROGMEM port_to_output_PGM[] = { NOT_A_PORT, NOT_A_PORT, - &PORTB, - &PORTC, - &PORTD, + (uint16_t) &PORTB, + (uint16_t) &PORTC, + (uint16_t) &PORTD, }; const uint16_t PROGMEM port_to_input_PGM[] = { NOT_A_PORT, NOT_A_PORT, - &PINB, - &PINC, - &PIND, + (uint16_t) &PINB, + (uint16_t) &PINC, + (uint16_t) &PIND, }; const uint8_t PROGMEM digital_pin_to_port_PGM[] = { diff --git a/libraries/Ethernet/utility/socket.cpp b/libraries/Ethernet/utility/socket.cpp index cad54a5e3..f9f4bb190 100644 --- a/libraries/Ethernet/utility/socket.cpp +++ b/libraries/Ethernet/utility/socket.cpp @@ -9,7 +9,6 @@ static uint16_t local_port; */ uint8_t socket(SOCKET s, uint8_t protocol, uint16_t port, uint8_t flag) { - uint8_t ret; if ((protocol == SnMR::TCP) || (protocol == SnMR::UDP) || (protocol == SnMR::IPRAW) || (protocol == SnMR::MACRAW) || (protocol == SnMR::PPPOE)) { close(s); diff --git a/libraries/Ethernet/utility/w5100.cpp b/libraries/Ethernet/utility/w5100.cpp index aaf3071f7..03aca0846 100644 --- a/libraries/Ethernet/utility/w5100.cpp +++ b/libraries/Ethernet/utility/w5100.cpp @@ -134,7 +134,7 @@ uint8_t W5100Class::write(uint16_t _addr, uint8_t _data) uint16_t W5100Class::write(uint16_t _addr, uint8_t *_buf, uint16_t _len) { - for (int i=0; i<_len; i++) + for (uint16_t i=0; i<_len; i++) { setSS(); SPI.transfer(0xF0); @@ -160,7 +160,7 @@ uint8_t W5100Class::read(uint16_t _addr) uint16_t W5100Class::read(uint16_t _addr, uint8_t *_buf, uint16_t _len) { - for (int i=0; i<_len; i++) + for (uint16_t i=0; i<_len; i++) { setSS(); SPI.transfer(0x0F); diff --git a/libraries/SD/File.cpp b/libraries/SD/File.cpp index ded622c85..b93466ec1 100644 --- a/libraries/SD/File.cpp +++ b/libraries/SD/File.cpp @@ -27,7 +27,7 @@ void File::write(const uint8_t *buf, size_t size) { } int File::peek() { - char c = SD.file.read(); + int c = SD.file.read(); if (c != -1) SD.file.seekCur(-1); return c; }

    aPeA&?MLO`Xu~6$u-&f zD~9xQ9k}d9{jd7f;JugY8}!TcOZ7|ii@{AV1Rq_iuK{met*_G0(N}`Uo~gHh$DRgW zdMY^QQt;9yaM7?H0@rMmJoIGU2X5-sJ$fy;sT&-$8XR)IEA$h< zMa%T*`ZVyzDf(n^$|CT`iF&@|mSe#mN9%duj3f0C`cOSr&jJTLUO!Gx*N@eY(bK>c z2T0y`6!_s0;CYAYhv)}`)Aa;r+h0!s@7qW33clyUsx{(!ziE54U%|`%tNjd)_k;Ey z?R#*v@4(T%(f$SQ_D}G;ue2|9M zXth{z>BhRtYOKGUk9C-Hu^w|a)@9Db`phb<(yYLG&2muY>7dZlK%+~rs&f+7cNSuu zXMv>XV?p!tK;tvJw&((6UVs$W9u4ZZ(+VS8NgS2$;lY!a*@Rg&%d5!|FIRc#J zFsy^^hxM?Bfa4qtK6May(}7rP+Y_s9yMuS7fQNMh&)Nqoj=MnQ)in*IJqAAbtM~=n z@n@`m`w?r9zsLI2@32nw8*t07!8^agy49Unzxo;Y=f~LHL0tB2?Du#Bdp};q8rbdF z9r7a9ND;D7B^vk%?;R{a~)RgUV|MwS7YbSmDs^^Io1GQhB>iIFvE4BoFO|O`+e48CGi^Q zu~$p&F=^6C>wgwjCDUs5)3AH!ROp(QLIdo_`uzsznNNnkwI147FXnV=FjA_9wtPNx zv~w^UQHj;gCtw6shE?oSF>g`=?eip2h}{GQ*jX?RlP$F)kjA?mX^iJ&gpFS=L2J1Z zZRT98E?0E4>3NxUWUa?}uJ?oj6px z*Ad!hSie0GJ@hzmSd#CK*G7PHjMSdgPl04N06q3p(5#i9V{1SopVFTP4cms^{R^mO zKm8r;P|)iqKm*?cEiBca)T%+dYxNJbr$OUA;0MouqF;eg*)qvVpSO7F%aWVE3V!-J z#*S}EZu%a^lW&8keqiIOpR~hQzfHuT zIc>jIytcoM+onr?d%T0==3=BD=eeW6bw`8mj&+T5jfaLH1G)|MmkkYx`pdyi2lYp? zR#0rygZQ8JL70EdnEQAf7*bR~_uDvnJ;uPq)rq6G=H@iwtprCeV_sf@(QllePrwK_8BeD%@KB6wbM+iO z8{=Ez?-`QA4{F8Z4P9~h!@=kKg3tE>r|+#Fr1#PfWRBlMj(>^kcax)9JLivKM7sxE zpGLZNzQ4O2&i}E+``?D->E!t@TU`HHj6aFz+c`arIUj(``g{5PU@fg#M#SRdX0fu8_ND_d_{T1I= z9G|#7ae6zKKY;nX$>ZbveUF2~{{S9O{C&5@;dfa){&S2bc3|(yKd^^War$>8uYXf= z``0Xf|B~eRFIYVPY034UkbHlurRX3Uym`()iw@)5mv(}?!KD& z`RU;1#L0(9UcLxCdJ1+n4FTuP#g>iz{*QBDb>0b13B}FsxR$53iH=gY9e2WqNaN7b zAa1C}SsN+l(3#HPz!m{{P6(a1f%}GVycMm)#!+ALkRW+DQT#j=N4Oq7e}w~9m&M3~ zJ?U^SiewVqNNGz-o>4;Q#nT}#qvau=FyMB3Vc=I8sEaJYc}>>+sq`r;t#-75-9zz1 zJo)}g9PLCmc+>f6Sow~%`?S{GItVc(nFl(Vrw*4W1eVZQO6u4X+c{cP7S>sP>Z`i> zDB;e`c)_Y%(ph4Z42Ta6Ub;<=9LN)^_}Dc%zXTWDN5l2kHWh68_VQ zL}>uGhz1(mMrCFNE{YF%1hwBx500FzjffJ|QG-$JZ5DJyUJaT?Xel=1r~}Z@9dW9U z+M6TjSS1K{*f4^Vz;Fu#GJr#oWrdpV>A(vD0XW7WJ66Rk8WVWk;JpJ zvvD#pE@KgS*>oLocJ@&9C3m*XO^&F{F+GUNA?kJHY*Cr3UWem#l;yz45ZN`hxXmNT zP_}0Dgl4iqxKJ!~Ql;%6AU;5f+!a++lvUu^NV@MnjLR3yGv<=}pjNKhx#@w=pj3A< zN7XhL6;%9mN?SbCTI3C{bdg!Jd0v@1*~^(mKFiUJ&l8|)>*#tBqaF`(>+zze%l3&p z(%DnEAtfQz90tX2-Ajd?D!jQ!9wo=+BX_Mx5^Xgawb{lzYs*Xrmse+sS5^|cx95BK z-sC%pf85%@JDWODZtUMdK)`*HG>UkE+v-c*mw=sE5yTa4q=W1DSVR>D&TF(?r`NiP zQL)K#Xv;rntOtjso43-+W5dagh?OlJov41J@=vM%RwM<7iqVmiwuV4vUx35`rqc4{ zGjbpawc3bidv~y6jd^o)Z_jYb*r;Hif}M0oNvZ02Rp>6 z+2+w{xU7#-$Np>=LDzvQ$qiX_Fs^l#w&dj$e;Q{CXiEf=?8Y4le1cazopjfP%?k@6 z^xf*aYbDVPm6|NKD3RFAv0Js~8j*T6CqW zfVzXYCzda9Fq`XtQ|A2>%q?A%`#~uR`!l}0pEBRiSizXW_&`_nJrVEhq3mDisqhNM z!x=@YvOoWT_7PWgG#umM7w~q=@WO zR@w+BqV7B!tlA^_kNV{`sE`;W|BA~*IJ_Lg2}tOCK7G8Hv0pVYU9j5wblJlBv(shX z{uM8)R_9YssVbOk)XG~AA>66U=Fq=z`#h+fxjofUj$Ag?UU@AHH8itY;u1JrByF}3 zstJ2NwD$kIv+W2M^@Ka;?CBx1Jr&U2+7lA@(CL<$+`E~7IyO2J8*aHlBIC*3??ryO zKiR`E%a5-%w`Vv$E=#C@4*c&$g4*s6XhdOo^<5M@--AJUt-Ddj&+lKkJAwvE?_%8xLbNwR)&!l&LA4rfO}tX!Z7zh zd;QtmqwoFEe8kg1=azPm3`cp!-T(e%*z+Y1nXRVbs#Ojoh{{VA+i-`7I6DXhyJSjqlw0}ThOiZt>S^dvSjybw&TA2 zis?9=?N(4b@tPGR6W+RpRK)H0|3ejJ50@l+DsUTFBtC}LMx@bD)U?4P55~9qgB;O{ z0k&dDNy^WRPhN8E#hsq$Joa$S?=~ih^wfs}bUX!7ZIHTT{Vjftw`xAPpadd#Jw`Ja z@Jq2n9x+nquC=?L`Ll+v_nLaU19?{Bgxa<&m?Ko|rfZ7Y^k2G9+xXyeh?e>mzK>YH`Yhulw zCoP-_w})@J{{soOhv!T$>y$ySa6;pBbwwemXsnR{SWy^aN`p634n+BEY;{S3QU{xd z#H5G{C^s9SR09P?H)Y+mm{d?Qt*9_X)PM&p3I@>njd~wOOeKXCxE(AM!3i>zR?kuw zX@fz*LAb%|rHfkCZIN`Oi}a^1h*G!Q<8};kX$t%tIS+=M{0yb8#w|{3YZ#Iu%BJ## zww8Y!jczO|K1JjhrT9O07@Km3N!#(`$D?Lva(d)2LX9M-gUobBV+!5S8KZP@32}LK z*;JcJ&5SLK zDzqg3s|~bRo5R0tntX||u_aBte9vYqmXW_bruifF@|$UvxLa~7|3o+X_k(Gz-r5(qYQdmnlf24kub3jR?)m`InID~Z{ui^TC& z9&b%_;Vj%jz}ggBzbUb%QL6anaE$N0z9tgkgE-{MOFDq0?i<7CJy2RTL?LR?bx=@M zx|_|8qK^Jgi)I*LS^>J6+5FO0cn-yd;y_8`H5KDZ{UjYGb!T=S90V0%mek4}ZZ(6} zL9%C+`*z};j0SFY#IScUxE>_MbSBKu(#V^8yvA5kuC|u*(6rdG{x~l@hbksN+(M46No#Wwl6-Tyq!*X%lxuYJGLr1xVSs!H+AUaYY zIsBUg-n~#bbl`ulI0!Wd6nf$~q@=oay6Dh3bUMsF(>0JZtzk|{I>+M{(Q>9!3f##D zK*`GAIZ)`V+>3O_FgJ_^!$;!ps?FYZ(>;` zO*hXmwF<`)%*|Y5nCBdRIODb4|Nh)K@?nLSm<#zKo)oh)CzgXr$M;5|N`-)*BOOV? z`TaTUT=;qJF&=< z=bsDQkxr)q+UzVz71!1 zowONWYyW0}IpRep?5yItEq|?MUadi!>N-xni0L}6qMI0B0)Vj_Dg7dWTHNVpP2BO2 z*)V8HWu{tFX-t|GcfdW_a@Tt9FW%SjmKG)QVU5F$By))g?qx!N;n4mXsUk)==% z$lE<>Es}F`*cdm~7@CzcR0h~ycyy|FlTr^WjY@_&Rb*-HRH8UvRWu<=ifk`NB3`vx z3h5$s_Ci0*fx5aZxh{g&u|aC4lhn}^3cv)l#}%YaM!9 z=`|?{C4l;PA|+|dU#s?zOrCJ?X=@(4q#6qj76$y)q$RHQEJ-KT8uko$qR@ga!R!;- zR1gdFJXY?a;TnTiESMQ`q{}%`(C$Q3JO0|Ah*I6d$&Z}zI{kMrA>~L126Ml6;;7wl z{s1v(H{4{E->TomTN055M|jS1OF9wNj{84#BB-5s&>8I$qUde5>Ch6E+2T`0m?mO7 zripP2Z)3E=&vWE6Q=q0h>Dyi+>?6wjJq1+2@?%?Y8xM#rlH(NGiNI4z zlKx53;Gw-C>qE%P=jE$WURXK7X)c8YtBuU{K+fjL%OMjQt+_~Y zV#P$GY^vZnL+c821Cu8pWYnIh*3217R#|wklf==~ER_)^4^fZKk08bJ2Gxg4O*;FRA7d&uxP@J$+Jo-imEH;OrKb-CSv6m+iS_RX+@I@rd7+9QC?Ou zy-K<;ttXb1mKIDebl4S@&X`tERaQ}5T2@%(_(GLbUE*|&Y*trR6;wH0mKK$kRm`cb znp2+CzM^3IWao$Sf{KFDqN<__M}*~Nvx+K`1V6K2+6?7?dRcW=W_Hgzujwm=oRqzukP|6qV0Y7_VU5!uT%Z@Ttn( zrHp32?q~Cxj6X2;ndU6N;R$Bb|NqcgPXD*dV-}4!bG8K-A zw{!0cZ=WFFHnMpwn{Q_G1~%Wy=DXN@J)56k^DS)tfXz3s`CB$$%jSJwQSn{J=A+nr z4Vy=@`3^QuXY-A0u4D6UY;I=rO>91o%?tKZ78=`crAsRC(-jDF{e+9nIMPD?x|n8O zPtnyhf23aaG0nUlV_!Dsk5n(+%Ry zd@M+y)k?x2XsWFhX&&hx{}JzrPiqtNk!nv!YS{9M70_yrlH!4CvjORmXb{B;3T%A| z27O98ArCxqqj1}EUmFRj2rT!8F>~TXIh4ozei-99!C6EU?<9s7hIQq>IXl zQ%x_*qO`2CguSpuNoASC0=tC_tYV@-m5YkPIZli6$)!$;fRrY>i-o=$ zc<9#y51GyQ4!}FPBRj&L{BFie?#SLuKi%6xX8KM@?Lh5I3t_J_mb~*yKRNV8;^;fZ zwpdIeSJIhVr9$3?KHP#AvUXs_!;x*2M^m%`P7R{t-)kG(zD9FBq2(Y&_ySOur1!5X zDVtad8KOV#(2LtNPpFvcv?)bPgAIi%BDIkE+>uBKZ%C+oqYo3^ez`l3lmm4Q?uD>o zHIMXXey&BvLvZMq6XDWj+#$*+@t1G2pmLW#6eg9ifu{uzL`$C^hY3kk-4mObtd&h_ zV>zwzF$b3w*uzBoIcg1&Wr(??W}6Qql1wTNeDQlDd8$4rAQ>+CcZMWAIKE4@vh3-O zJW;^*1o8Nz5o4vKhaBvU`wSvwv~eC}h{=bQE^`!;m@G5=FfZj%lU{A7+foUejTiNf z70g&?k8SW?D8DdTRgExS#K^DD-B3-7oUscauqYa&0yJmft+mha&|prxSm{Ra7_52a zwl}M}r4M4-9IqFrJ8p~#?og9*ctNo{lm|zvhGPF->ZTQ;9&CUPNAe`W#~Mu{@4UB^ z%FXV60@m0_XKMb(bSCp*cW36B!nGWs-6n>IK0<5vWTy^eV*(nXVK!NNc)a7E%Ol>@ z%z9PBCX?1ArxA$WDsQLzHY-;qJsunTk1WD+Qme0Z)U+vD6|eGF+bC<<>5Z45k&00^ zX{pZ)n-O7O3v``wrW%J@>vRqa4HutJCkp4z(H-tXY7pb>8LPDIBZ+kva%4*ndRuj=p=!~#!%FK3K?)N&w zZNwYbt+0MV$v#X#Req67Tw%JXTCJ0(;trCqpw`MuMZ7$GC4)Cp+ZNF~>$&4_M=hM4*>R1g~;|}t3OJI!++E$K1KS*0V{GvC5(+ef2($f z>e;LMvbq05^<@jEv-Q=4iGez*Dzs2ZPii9IkH*ZoO8++BWE1v&K;>fjn>m+4m~S-V z@?F_2Fz2!mOoWy{M(V-mt)RFqaEJ-z+@#`5mJ%6$o9T%A!wg5XmME~9Bsxe$H9y|6 zL4nxfrMWzs8s|uHq(zc`)rR}Mt|tn|9$|XT-ccf3I^uLtO;t)dW)_gm9TQ61c3nk= zlH}4+F0K8+#uimaB$LROy_{QzhXv6}25``i*6!j1toV9btind0Q^yY>)9vQhWTr%4 zX=ScOr5*lktH0VVAX_{(_j_M3$-}V~h%CLf(${u|>~!0?%r|+S+IGL!O9hlOXa`Ir<{Y;x#l z>legdaF`Va9N2|Qj8uwl$(ymgoRj<8%P!hsIug2ShwkGv4C%x67nLuje^x^!ie~X_ zI`XB%aN8yYvW9H_o%J0T+-4GIm0BS6A9{|pZL2I?i`8RtZc%>3IJc~>4&*vZ%1}hN zNrl8)BH4*0(WQkG4-!MzauR8hx%OeExpAJB)8SKP)zo3xx#o5zWz0b=Re@kFHrrdt zG{+SEwid{InwbB%GG{XyjH!%*aX0&eT0rVr3}8N<7Cd%^%!hxwqIu@fd&WJp`0!^I z&--?KfBDOm`?ZAFF@6Sq2Txs`Pu_$vt>D5J&M1EDv>y3Wy6eZE)8`g;H;}*c<}>40 zHvg0Hea62t-Y&6a#YrkN#=L?nE~nouHy%0@9azh851xIAoQ~?q7Cp(lB zRvE?p$l1+JNf|dkn&O!=-L1kiJ7+@vTDe2tlq)-} zY5PuwZxW4!MRLi?lWIgAHfSHZagln^on@}oFf@(N8DbBV7)N=V#f+6mO+MPkrrH4% zUDydLN5X2?nz>((+mYhhT)&ha^LxU6zEd5-kj-0>?D zu7rBBxif2pTe!7pr>$_A5aQ%KY>2Hv*QL#S&E8rlD=n&J1(MjVQ640pP(I=W6_#;U z&U<}SdS}RUlPHGdZVObwY50ga@kEIry5tXwE#xEn}ayA=J%IvCa_SEJ+L zL+b>R9Ae2DRstz<{M5QfrMStZlb|FSBVJF3Y`Gid^bfB`vHBfCbZSbJlpqO58=4kJ zeYEo5wx*?(KT8vVp3#m5qiuhtx?t}#7_GyLYa$X;V%K1_=dUvwj8^`Cr^anB!)a6F z<_O2G;D~n!YH#$#+=q}r-|CyHZ6oTqxA=~xgdx9dr;d18BNF#ZvlV9dRTMaxdmHV^ zFld9ioZ6JZJL%OQO&`Q$2m_7PP)6cpgE+Zx&{vcL_2%}sW!ci@a1RPZ(@{h;*}uu|pFe4}a#IVH}j$KAh=9yn9uk@pOP(^SU>LsHR!Cylw3o(uiWS zv=m#d;xx~Efb?2C(>en)S-8G%&^J&bHSpUwErA>&Tc1SBTaUL|Scc@(? zRm1HmOycPc2CFGtESgv28e1wsNF1R$(!>5RlgJ(&Q+RMHfAM%w-mThi=T$uIhtpm^ zu;S%@K%|ip0#Q1C%*$TrmlDHM&311?Op+f?p9-(DiEBF?Ngz5KjE!C;&tGB*JK~M& z`Dn~w9d^>`$k9?9;jxHs)1s{-mT2nGhW7cF5_v8K}JfAsds2uF0^oFj*lencw&ddmQOyEm|yn~^?m;j zl>LkEDf6xGDm>~Ph1qXQ%>VLDg-^Vp@FBHctmT>4q?x>cDE zQ7CSERM}5?MBzsdE4+M*!s!nw?Ej#`AMaQA#eE9DxmV$5n-%WE*zX=?o^ZFq#hVn? z-KFp!cPKnX>9fSZ+m!kJTNK`Nv%<4)Qh4%>3g_LRusp1^UZZgPMupuN z*Z)PC)2~+e_bU}HyF%fZ%N2Itpm6u43g5m&;p-PGeE%Z;exbtdj6*L_X3u(s`R6Nq zcCEtt^Ax78QMi4z!VRkw2G3DA`)q~fD;Za?{c?rDvlMPPQ{mPYg}cvC7(88J>S+o$ zovLubDGH6H3PrQR9Zd?iM-^_3usO`%Lkb0>u~?bQg9?p+!mW)8^BWY3MQra=*ix@> z!9s=kb&Os{4`Z#u1vLs=+zPiYP$(E%PGa+X{ytY>{)ucqCtvDC-VmAR!<`9Efwa`*Qs3ePLa#|4X6gXqE? z<+|7hPb!`R@$|xT5T4#57CRW&2Y3kF^~I{Qe!xS4hv7LK&k^FcSSH+LIw0rP;P@r=P^z5^cg=6X+Xfd)E>x-Z`vXTQ`w5hJKCY`(?rI(Vr zJX+KQbx(Xlq?v9=TC{7@a4)2lAyUVO!}-W1$x~EjG*3$95f2C2D|y~$jTcFQMpW%L zl?rj&eFky&_DNUAylRavQiGLtjwH*1!-5rcL?FtXmUm$6iA}1Q%|*>28(b=?p3qFq zVkEg*sd4$1sNXBdQJ8X7HMKYcOBO$y==hyFgUWonNv{OHNO?Ik&`9a4l zysK$w;UhDq-0{TUX0LnYul`+M{CC;2|9NM5PKt3sufDFEmSol4S2d>Sg-0gb{?1>@ z&;RC^y07-U9eJ+Hgte7@+cw3T)!h8^ z6~Q&#R-Adsp*LN0f5x^OUK{t(1H1FPJ=d?|`1kTW)4#56YK$#geX&@1f{rbx8~6*d;c%a@caKWZ&_*gr&qpp zyXLx0BhR|~qDhNhKBcPogE=*syT$|$ z`1z>wf86Kut6%T^)NOb7-}uml*~_0fb^6I~%$`^B$=H)KzCE(Jr*@cY^gJv&KNo~~ zQ=dJtX1wnPt_NlxvL|*kVc$Km?Sw=2#JcqW%^tcZmJZww%m;2AwkNg#xM}#F*eYQD z$UU(K2}kXT?Et3c?TO)fQ?VUr0N0P*6Ppc89f$bHJYi346EM4QPwZ{N$$Mg{J>kB1 zPi!Qxykt+T9Jm8m4_q*1PppN^zzx9ssfZ7_5%@N6({%Vxg}<^rv237G0e`@a!1ciV zO5_W;9=H{lT7`7dcOaOr*bVFpTt5Tx0yhHdft!FW!19@hm%alx0k;F61#X>%aDZa= zp4d;ojljOWV4j0?08@d*!1cg-GM|X@0&WG~Oy;>r4{#&!ZQv&0Zu$=Fb`atL8o(XE zk-*)+a-f)p@PG!e1sDWw0B!uBN$sV{Hm>Na;`yyRU@K3k|DsTmVcx9Pt6u zfi1v%;CkR}pm-4J2W|qc0tO#K`2$;k4+7T%w*$8VcanJv(su;nc^Ksn+zreIZv7k5 z30&|9`ZurzxE`4ODAEVq4%`mh_!!Ec?4Lw>jzs#OLVAGf-$DL>8-XprO~4Jnt-wvd z?Z9V&E$Iy1NakgH!$^RA;eDP1DFcT2O7ZHz-(X;m=9b< z<}XpMz!u~D}R;DX)A z&j9%Q4*q~a;B4T=9}q8aJ8&a#$FGPFSnm2QmO2pW2c`p45Bx2*0B8W4f!V3+OIDynykGk2k*x zShMhW^XGt#fbo9NTY_+aHA|5{z>4L^n=1g_6$lSl1bB%2OAsEgp&a4*!|rR3H;)2r zyb|dHtlxz60oHDYT>vY#AfJH6SHZ4;1=qr!1E9AG@ey8!bOM%dM>zmy??5=f3cyCd z8o z)&h@^bb&xjwe;5FnIu;O*d1IGV?bOYABf%pN78W9fA zeG}B3V>jCQl>)wUmk^Ub1jyPa^6yp6W z@&Q-_I0exCJIW0({!i!u%m#b_umG^0@LvcI=mN$^BYl8<2#+EEfW?3t0LuYuh<^cl z5(jJmtN{D~u<=Wj;~CKNHNpedeuMY{i;p9Iz;eI}zzV>9fJ!$vHvq-~KLE@I#Kep$ z_cu3>0xSqLH4)AXga6DvV_p#`;vZ z+nKS;zZ<~{sxqg>Moo@26;=iq4D)D8J%nHR8Lpa!^r=KFUgax`WmWnwV9J=TBly*y z>8e?P-o!a?an>Hw zsxm7BGpKhq_3kp!OTM;I&UMvO2%{$M^cTkpcKNC^s@hd%3jYB3MF|(*@y0c+%3ql= zJyuZdBPRBI2K;!TtDYbU>DtY7!J;(gL`hd7HflnwxH3?cS>2AIj6J_bxP}R?+DwAj zb0+OMmG)#AcZa^BiLP49qBG^h(wUenD=DW5)Lo-(IWgaJ5UydWt8RdPHH}%GQ5o3f zpB*c1u_yI!hFtmCuKL2J8-CEDAJ$}QcV>)_jj9Yxjuj&SF6uwXa5G)CU-VbEs|;Km zE8dw=mDyre@rTzS7cX+vWekY?RRX7<90RNYeH-caBHclmWY4ztNTKJ0UJLqKPZ*GX zHHPx%xaxe;VIS-7s40~hpi+#t(vd9Hp@(VYKt8OI$dJ|S_74k*BN5QX|>#C=Lr}{&(hCwepg6=RaR!bpcR|n->Jl|F8 zNI-se(vK3c>_n^&LR7Y^%Bc2t0&6(r8X7GAs16?h2G4F5WNvB=*gYJTU1L>aspiR_pAjb0q_>JIS zVELZDqYPKiZCcBGAQ;Mc>qPf?foA#}s*%-x1KuL7z-|tM(`#qz}Qb2mhcp z{GGlzv8-x8S|q9A#jN4f-zkW6E_c;wltVePGLk+3^tw`4ZNxL_tOtyD0_X)RT;-B3 zeuekGt>omFfL{*&&E(tn7o3BbDk?yrq zl8-9Bho!9=4%TasE4tKGJFT3&7x_xWn=!3@oe*>F>r3igrx5%S;bt@5;6Xbtp;()x+wyhtNN zUqiUs>s+;k70QG&;|$X~m>jF{dx>Pf6GFy*oucro@44y<5|Exb=p-?%yd?kN*Mi@T zFPv1pDdQKhK`na4b^yU}We8Wl+r>A?O}Li!$o9;<{@#RGk>^+R+XGC`jjsBH@fp9} z<=>ex8-o~hc|pTPzc@mXyL_`^^=MZ+L1YZ%>+W#XN<4f1OZptpv+s0O5Bi7oYso+8Zmp}X zZz;#r{;uuuPvUqYnSX{mfN%}>xax&2KwxjNTY5=0#al`eLMDB$AzbY*T(wCk=En3L zWBPvSDmDY&MY_`-?KZ4x2fd~Z z`a#fZ+t70a^tv|a?|@zpI^D;+n6IxvZvcHUi6|$p{p=J+exG#Jy_QZu`2nCef&Q~r z^a-HX)t{(;0qFH@(AR<90D9k6da6NJPr2&%q^Go#2PhBv53IbG&L=>xc-mEWlMa6- zy*nO{f?n_|t7lqOMkR*a1v`D!bUW6kV~pn++pjkDx7xoGjc9gN#-vyuw4;^nnBVT` z2-ZT5&#C%{gI*8%L!`IT&-mwnU;b;WU-~;|volO-N#8o~#RFYEOgZnA}maD2r z_v~alCA9Ms;ManGfc$CIQqJx!-%ge@`LBUr^tOGEian;svO(uX{?`l-{uB~~FH=dx z#Gc*J!EOS7F$s1&GaQe@K`(yCRf{wbX^&>Ge=tqvMZ7Yyvn{FpiZX<2c-K`wq9G+5 z`W30|*o0VxyfMss1VSbq2N15}13S-P(;@i>y%F?tnGW%@S;=`J$v^n+->iKkf9I#? zAN(fpuVh5%XH@xNpOksJ?kJq{zq@KZ4JzrK?WNbG(99#4*`KORY7x85LAa)mPVC>7 zv9SMnB7Ga^@iyrDLC)ZWvKlruapUaq9`KOdW`0C?t_utp3 zAN*ARWqOZ+UJrT!^`^A5PG^)G=mS&eY(Mfr&;GKTx|k=FnMg`YeVPtA z_fuEp(I3xeMPwgjR?J01HXNif@XJ4QWggY|(>b3G8$tuuKF7MI`9W&fDiwkF5Zp)PF1_=40d&jVg(ao z<(P#vem&q6)VFV}-9Y2Td`$p7ejM`>6qI^`d9xG73z)~m+zkdD3?|_=BV2YyLY3NZ zY5i!{FjK$KPsP-6O8q*Ba5dqCx`m2T`d3FlFNh@6$x+aej#lHo>UMauRb^tnwlXj+ zR>Mr2d}U<8PFV@XYVKY1lkT7wgWkG64F|m(^v675%HlU2^nxx4b)58REd3dknY%FA zUQjLbp=IRb`@AcXeuVO`;{1b|FRcc@7Dpy<-rT%bi(*B5GXrIM9s*yTnott}yo>QZ z19}nYW|k)aK^|dzxv|qagv;*LwqB-ZFZsO_TxzoMns5&>KG3-i z!Stk#lbGHk;Mbg%P)#;m>bQ`4KLo#`Pr}R_&x9{vgwOh&(G~IaO{nR%otJsvmid%Q z_)QVz20*TSKtdJKb*AmY;0fdB-IzqGfUnwx1=?i}+IjtydX5=w@#>Ut z)d*KSBB6HLaaDRh-|O+fe<#Q4e6}8O-0}>6jV(cTL{Zv_1(9ubiAb)eTy zNT|cD=+&SXPfV!CThR}Io;@j{e%gxu1oeZym~`6?Q2$ZTizX*jzZ5#l??cclK>s=E zD3_LUmia%K;in&U!p)tMP+!_|sP>(mtf#c!aPns+)a}V~LVZfwFJg5`0XIV#Wy5WR*N5CJj2x~4&pHX>sGd-{?{N^uqmNFO4EPBJSf*LS@NQv z`R#-W%j|0s>JOx}>KBtg9DG&z?fg05H~t6yI`HeawH==6-V1*1wFz~kCEabdpXf^@ z(@lRlf^g;4XrGL!K(YQ0dd1F!`5%Y$Ph>t5>()Cnrf{86`URMGVZXqYVB$C3vk`7r z!mI;eQJSw*dIu#K-x%=gb|=(bB#bxXZ??yCKrgOIsM(|=zLxpw>Ws3P{jN!pQnfaN`cBm5mAfpiRr)u!y zx1abPJpg)k8}uhYFKB~)6m+)@`iGzwwL#B-0gFNZ8}nr^=C?cOMf(%#$E3-+^(32G zV~;W5$G@LYBbgs5*P@u4((hcr@OLE4diumlzbu(i7cQ35X7C%pFC@XX?|kp<1wH%D zgbMnAz^~BHtMYSm0s6_4W95{V_VWqI72JjX0^>LShxW5o`)1mRX|YBMnQ+GtuIX;% z*XlR@);3nncl*-94M5@=?@g$UE%BwcOR`@<-u|+(B+*GeOAxO3e)P9Unrzw$=5sUk zf!=KOA)jc+D>Il`FP|)j1C;wgLcPuO+WEHPlySi`;5R&UqTjs6aG>WPSn4?U80hgI zCDgx2w-?L9L4}DwoG|l2Df3YIpcjLF6Vqwm2Ss+C1gg{oRlNjW zLaz1~303(YY-B_l6nJy-)4feOS+Ba2=a1Fmkc`=;mofOS1-0h#ZD`VPD?xCtS`@s%E zuI_iJhY(W}D>Kf6Va@EeDW9X@7yLe<{!YI2S2x8EkAa{4TtXFOf^XUlTaQ!IDCy%0 z7PW{U4(J1adg;XX@dVI|L8lqLi+;NR^m5R1NKBb$S_gV9=zsQv0V!V%dc_|S>VLJO zAE5sKLVu_g{Rz+ujwaNrt>{NVkN+{D-fBhv5OnqOiSikJ(N2K=Q7ifGpf|jdP^0Nb zDf2ADL683l^AOB%n*Cb#6`&o&Y!61McHV}5S%z@Me@>`RSiaMCgL!eR+`NXZl9L1;6I~gxM$M)h{~^q@NrFzv6@R_K@@=pu2y?xD?MQFKMz!e+TqN z&~HkiOZ}&wza`XfNk=)#{ypZywe`P0^6~fd`cHksK`#c~)C>kT^3y>t2VLx9<7Yf2 zpsNpC=4C2e~i>6Zlu*IW^t;sqY`QKZQ{Z+0=KC@}R#!{}B7gxJtTG)OQs8 z`lj@BGu(%uH-P>lmP@N}^iK!QRPizDnfNF7t1iM4IX6`%r+1{D4u@RPX9*QS81G`d z(?Kr=J?IeuhvQcQdO7G{FkaXhz4FxA0*viWT!*K={S5c{iSZl+y#n;s@f-oY2K3Kt zy^&Eq`!LzbzRl!VeKIb@V9G6HAi{lhVm#eJuLV6d9@=R*=yjmKp8-Vn^)5mdcKfQ^ z(NffE^e$j{^zPalQj)%o;X!v&=q#UV(Ca~;EA=LQJ%;>;z|Z!5JO2py75;DMe+YhK zMxmKslJz1?0;cT4a?tUwZC7~0I4mFZI?z-7o#i?P^m@=!+Nsq3pf@vbcY>JAvRv08 zoEtnbo@&sGKyMw-0nm#pt@wK+Ktsr-)jh07cNx4udCikX}taoeKGv%H+lJH~@ML=rLRV-o9kc_{e+@b}kNw+!4stb}m$F zZ99`v-o_WrScn58%xIe^Xzz?6s8?Bq>N=7VFuc{&>8Z+4nBmg?TOjUEbs9PgG?t z<3`5R=Gqhg4t{5W?=0}01-`SucNX~00^eES|G5R4?d3(>8u#ezy76iaU7=!(YT>*r zLy3BLw?cU`y>q2D_zeHk z*U1)oz>294W-Sqt*GH?jirL@e<&9~uMMtiEC%FPWdbY*AvE~LNra~5a@gHwC`Duuo z@UWxg&$CyP#d}-64gP-}6wEaFZyiyUEvK6;ywAeNE&PpzFI)JYg`ZiN*~R3uvxU7a z9BJVs3+Gz6%)-kptg`TC3-7b=aSMNA;ma1jXW?fSW_GplTiDyekrqy}aIS^REWF&p zDhqG6@IDJ4x9~R>zHH%p7Jg=7W;YwZg}p5tY2hRb=UTYT!pkkJvhZdL@3Zi63x8wb z%ND+8;b#_RcDM0c*xSO97EZEou7%4iyxhVn3vagYJ_{eW@HZB|Y~gzrerBO+bv@O? z)N{5UWX+yr@cP}ZNEXaAcwsC0WQ)(WkpCy)Rcz%i_2`=oeSyU<^XQd^zR$|9xAgjJ z4E>O$Uuo%$6^7nu>02$`JGwJI%cS#KOXvS_cwKMtA9(aFhW?1fpY!Nj4c)bR8Z4dv z3*uF7=|?S{|3Bj8;jcbs;%`m=la=THn0R?OF|Umw-MhAT^q$&=T({%Jbv|BP2jskRo;E4)dH6Qd!uvhk(_^7XPaZ!WA3Zs5@%mNk)@KhNG;GkYfg{!nHoULF zG5_(M%y;(r&NsfR7wiA$+k})h2}>Z#C%ElN_HYHmQdgVTbWnZjQ{0XG04wkHQ@wt+ z*Z$6H)z5CN)Wp9E&7#rA^J94ZzK4JlZbtqey*+uJ-@+o-|0{V7&J%FL&B$LF(VqPqU6DCC`9e+)V&v!b_vHCM zB3>W;NBM82d-D8$2Ct=E1)Oj*`rjz?_We=OhapLp`z2gvIu|IvRQ|H_l+emP!Q|Iwe9W9h`C-|J`H_#gdY zY>p?-eO0_F@&%l5Gx^;;&6DT;5MFlp@-6ZQum)z*!}Tv-e>_3b5RCqT`#pKCd-1x+ zuur%f`R_gG$#dO{*JJG6ytRTH z34aOh*W<^b`@*TS7Oq`~W2qP7r0^0Dlvd>Kx>Z66NdDLuR1yC%xr+E^4bK@oO@w zs7*$KUl0oOe z2dVEGb&m7F$fKmR`ZHF0WHRP9Z=6zn!JtwX~A;<%~>CwuMPt z0{y@es0E+yW#V45BpVrn@%p(Kk8k8Im`n>IbsINcv1U=ZIt`EI8#k`Qx02NaJWF}t zyHCqjELRT!XI%JF5>8ZC|Bz5}j=bW(&&xqww{YF+OP8)v?Gf#=HA|OT)J;Rzs_TF= zkw*Bpz%E_7@ru=JN>nDwaz%;azn5(^_u#Q~>4sJ7S1w+i<5bc)`J_N}|&W6N?(rG~#makhQ z#TS?2V+ZMV6hgmt$i}i2YmnB9`B=6HPdD&s6^rq4KJn|X>Ti5PO}!;Vg{#yj-ecJ+^>a*)maSQd?*yuRye;GrKg^+uI} zta`}8C5zQc;!EJ5>%=AtaQ7*80$)Kf{|+IgBBz$k2!^nAIay@t7ofICC9CixXenR5 zav}Uw^q(X8*=Z~U=QgBv?V@G)J{`UW4PRQuPu;6x#G0hC>KJk%z1b6%_KtMXI!?5* zYuN#vx1XRD#3x7b3EM?w(v+Kq>J{_@lVrxIzRHEKuQV^J@uGsg&B^eVA0i2O^{g&e z4@8Zeb}2=9nU^X%p;g_`RYKs68%035z&CCz!)H&``SQ4= zbkQ2MN*-6C{;!qrpNmhjQ+f-0m=3ma(`bpG@mk7B|>9sYb)KgNdjK1_azau z#p<_74Bv)au0BYj(lUkMlp8m)t+$#+CkfEBB8gDbt%5PulBJ8+FIR_?T!a5ja5h#d z4o^m+@u`TVc-z=iuNPwZ>T*j!^M{v#Iw0h-r5hk_{PPQ;pw!FYW2z6Tz{ZXC8Sf$( zv&9rv$8w=BT#N5|EGb1}=tX&-r7u~u$|FTldyurXLPGPrR_#lnm?lE?M9qSb)QM#J z4+s(8-M}|e(I0?&pe&beP(OnM@Cnv!kVjbVeR-hOjvKCN9NA9VcftU9M7c`FX-{4` z`1uqE7=P)h)JgCi`;KJ$j7lUz=qy2qql$YWKNJxxUcU}*y{v4be00#08zpiaO}qtV z8A$%JmFtj0bv5*|gP5U*?DuEVVt7TQIG(r- z9nGUiN%AW-_A8JjS0n?lAJm%dWovjEuO&=LD%}%q#%M9Ah+pMaJ5Ys^6n-{UbsmsH zfzP4>u2k{iDa0jZ>_+~1L<$LV{8F|UfFnkq;?U=JNW&47HdG|@LXj&)f`gqwaM&08 zkSJfV(5U@ohQUy?;JKG~uQDfJXE*L@^I9NXQ0Z%==`Hd{Reoqw9A*7uot4zdST_8^XNt`U9B@~WfBlKb08enu3aLkpM`@44PLu(ZOPK|wSzZ|8o6-f@WD&V zmJOCRdC<9P@Z$9=%1X?=Wbkr)TxrR`p@T*bM$~HZ%=5An=VlKbG-ULkAxc+V2d(_% znHQ6ug-0}!%9}Ox;35j@V1mFGKv!S+G6IuPA$`F>A%V`6n&xXqpYdn3L-!rLc06e{ zBNzaC8tCnY;-g^=p`nIe{4hOEoYj*IWl_evG4`e2p z!NE(`tXuJaXoF3?kbF5(SdQOQdJ@un3_owC^RS)4%t1l?FsRq|$v;>DhM-3(~+{S&v$?eh38TF%TJA`n26nt8oY zzo2ZjP*=j@nLAf7SXZ>WnY;Md4rYFmjGKsM27Nu?b(sf5>LqIY0UrawW4I%IEDzr( zhy;dYB0<67cziW5@DBi?TC}>sxL!<=JQd>BiQvilBSC|q(?UQyTQnZx;laUEESeQ^ zs4UB(*&$Ao1-n?ZPiO}08tiJ(g3#+kyIFKpXdN;W>~2vvG?uDPwdj=4Ysg%%r$viG ze?oGC*%qA_x`>I))o}n{UU3L-d=<>sodqooUBYDawmB*foy0Wvv*^0e14IYtA4>)| zgf3>-0zC<+Kd(ZE8knphdXC`N=n#)x4Gz`aq1&HVsYAJxAE^fjzFmhNqPlVV7b0Jy zLqllJDf(r>_vz3m;!`bNt3%_6PqX*~Iy8y+*%q(Up&7)d>wyyfkPb!hVh+xf)cf=5 zb*Px}73rZ8|1(;JzeXVj4+bAVy0U_YX_Ck-XdQz;H`Y;+3#su5W1L`wpTr5)o6?TM zL;i%|fgruYp|dbw(P!~MD*Z#Kg&wsds8fK%C>spJHu2Dvmov`E=z4}WJqI$pDDpE{ zF|?UJ=*tVT_V9gFsVqECGx<@GgCIF)8!RI-2ct1(y1|0VcMO`G8B}`GdUSXzv@@$SGL{?K1}lm1FC5M?gOx^>5nDcv`Ohosuz2lI<&+w1WhBIKE5=C#t0FUez%DW2 z)uMe@2qF~{CWFyWDhIK<%YXoN4{dNuP|t>j@-#4ZZPNp z9qC8(N*<)+&wD^eo?_Tdvk=6e_mGY}%N%TGUj2DTbmXT@^Ol8zzNjNFP(4CAdb zXoW9wF2i1D&~3g*Uq-aupxb?sY9G)Y2Ceo*)|2}^gVy*WPm_DSLHGJ1Wz2rHLHGG0 z9Vxuip!bAu^`hkTL46u!}*KY}pP zy#{^Q7kQQu-84yxtj^Z~(-h7vtHrK|d>uG_=iJKri1PGDjch{z!kcj?V2i zQF*4RVhKsb7^fV@!2+~(S#TDatvG@+D#1IV0P)P9;1M*QtYy*w-VAETXQ8gSz4Dcj zx_=1n!9z%VqjTpjWXV*w+QE=yn|eOYc^A{h`c}$D;BJFWh}=aB++(mQkC zKmGe&gB3+qFvIs5Y)&MHg>b*Y=0(aW`9ntS%UckM;mzax$nc6I&r;{Z1}llsr=3R( zRvLMOvDX=_%v7L94OZTPlabD2cZkjnQWghISyX6aPO`*28s?#WeckASKe-Fp?lcYY z1fzL>fXvA&mX)YzKJ}hl&PQoCag0vvw10pdzXsM*@vD&q9lsAoL`(Y*gpT!RU`P$@ z2v&TcNX-+eVj5QY_@0an`5aOw-3a5nniCuL8M?)6-eSY)C^SA>zSs!T@j{apI5yHW zee)t)3&1;_K7{r@G7PDYjmktr=Fj^)JMtRSFq$d!=N-t2d>`@0&R|l|B;-W?Nc2qR z2~9#ya=>U_&+(#syXLH0M zVhO`+$ca3BCS(goNzhkwB7O0eh>bUBV@~9Hawiz{gPh3OL?;@w2}wprJ~nB-$q=F) z26S?{pcT219}fXKj@afr+Rh zFH+BlF4`yD?Rk*~Ms%@3Yw{wSD7?_1`|=`hl3Q%h+PuiYK|mKBlCTftMYa)LZ0uZ@ z7s(t9bcsO^Fu;%reHo5l_)nFckDGxe-I1JQdCw2=)Nk2%MV~;1gJE z$^yZ$K)Pu9g$d0*BghMdO!EmDl*{pKG_h=rFdKm^-oWQaP7R-#H~f#l0qcPz>-{84 zk|QY7n`INtQ}+YGUqPyiX1N^jCj`^(BqXXqxffNEp_}oX$^y%jn9tfECoAq0W`#O8HC+E zyhr1C)WjC>EW{blH>`NXk47%u%kZ+4Zw{K#cyGoKtU-d}dcrMu?yyYhiF{yt`Z!|N zljtKHAOwP6L2p-mE7Pz@+|d79NOoJn=!zMr+d+9`i0+X5A@urdWI*U|BKr*l_3vha zx^;ms`*(}~b=6@c&A-A3cEPyF2$r@8mWp7Hrx0FadS)18PVIRZDtkVH8&mZv^6KAc zR4h-bxS~bH6;#n}BpdZB1~N>x=9nhq8Evw1BLd~DA>~(^qB;LW>hVlE^3JhPkLkGS zKWg8PmxG6sR8d>`d4QTpX_Ca%W=NSa2!vfW80RDDaRdI@z`2ME-A zp`MmdUG?)QasMnc=p2op#was65b4&5)|<()G&!0nIm+LNGSxrR-aJXKQ(Z`ys{tB% z#ZY7PurPpl1<;qWNiW3m$un$6+h@jfA>F;+ms}T z)LWM8x6$i|Pe$Y^QvL2{>3pB6L;jNUmH=Y@@emwQ-(iTwmZ|sp zGYo7-y2j=qBlo~b^_vX(D4u#fBPcm%A)-EOV8XY_tU-PUtfLLyA7wn;Od3#`~7t?1|0QLn#)8d-Rll5s0?4bBwl&EY$P${8ri+smEUJ ziCv&8T8XjRUYKjNZLv@v)Av)X<)t%}Zz_+y!xQ^dzXvgC6;I&JPYJDtF_t0)tK9F? z@TnA@WanpAV}qx;NcW*wigBpnhj}h^;FJ&x^>#fgUE6TJEt@U7W4;mBH)=^h1%m3W z`kM40lC|wt^nNeMKQ-55C2J?}(y}&`FW}F5nV5pTZH#?(r`d4lSjge_$ks#?ep*WSX1xm^w4R2;Q!S2_u($M=rfKmQ1|fjo2#|>Vf`>HpFrU7_m7P>Z$(w+Yr0JvP(R%nSOplCM9j7n1%eIM%#D`^=N;J zh!TGr)Yr%qS*T0(5VVitZzu55{f$vn+h9NNg7^2$L-3Sn#7Zw(wqqM2-QKsg4YBT) zo$$mu`|e8@8?nr?)>?QJeB(W3Dc)nA)rd`2YP*F#H1$S$Ws0RcKl1^9SMXt|b)z?88CbvP z=VDIAp8KEND#do@DB~OpEz~DvjKu4)b@qN|tBO};^ummb+7SD4u+i3~z(BnsV_Uk| zh*zx0YZl%Iet~9EFUXMQN1D%iHW24zJk=`j3L9vZg>NSVCsG18G4SY&Z31*5B%u9u=P8oC4StE8>y}K;jkksp>=&fL2opED&U@3!d zhM9zgtdaGn{=?~F5`7&tEYA32I!iPxv@O`xUQ0GG6O3&uv4@W8dY8J?&fGhM31b;)xyh z?MfFLQEc1nUbguE2>xL7!mskP2mjGP3?^yvH?3Hf71P_(ns{*ym&H=+Z%U6rSmk7` z^hdH!%yB>KZ(}{byIc=#L(F4e=!x~#^V<+xYS}wHv9Q0Q4Y6v=uJy#;*Y~H34dX08 zEt9>>_X=4}zwJF@|-F<;>+V^77CTa_AiE1ne_!)twk9A=ZEkMMRMZf6#N zHRjiNvhq^e{~qZg5Qh1u8>p}L4U(|1xS9J9F^@gY6I<^)uMM#x%U=ews3F^~OoPi(&L_pQX(MgGRJV_wsJqc4S?3%=A}fZ!=r zTP)zQ!=tUCp3~>IA=b~b$9iJjeOI+1cB5q<_QZPn?`=cOWBPwarNYhGGTrJ@b7?DIUaU#C^HK=32@OCZRFsz8uagn{5LxKF5( zm}5@hYKqPPau#R0xCcUCE0Y^%p6P;vIS32&o{!V>)vquJ;j0)cb|HC_8+Z9Y+{ze2 z{&J5fGaj5OWr_1Jo3K5&CY zevIe?H(F#q#7;Vs(L#)iK<#tihzY{4(snqVTEXF@U58Nyk@$a+uhcj-b_LiSM*kQH zg!qc;aE3)5K#6oX(;)6qj{3()EFpi=0CF%@XFV=B;U$5ddbei6EpJEj67^&5iQ9aDjEdJ_$uXLn2m zrsz8bw>zc+Q!QR=c1#7PS={cJ3Y=|myJITQYR6Qd)sCq^k$zX=f5z^Z3fLV}fx|S3 z?3fDt+*rr#m-tAag=o>U9a9WD8DS(I?9T~6VJM##Yo8I${Q)@f zSJ0ZMcmsS{$6tajU%Wr={`de;GUC_Zxm~;io-^accn-wxz&#k>4oWEA1<&F5Oxz>! zZ*X_iNwOU&>~hurTel;Hr*g(u7Q@5S7E8*_cBJsx1~c1{!s*+Q!ZWC}gV~N0p4A%- zMMrK&3K#Jarce&mjs)Um_b_yaXLBW|gV~N0UNkO%n7POhUd%@X>xlLG@RHLJ)Z2~} zE-}e4+mXUc4Q93@g_jx3Y)1-v+mSkQJ5o4pJ5qSXEQ!EuM+#qJ!kO(z;Y$r>wj+hp zwj+gClb76%6i(lc6u!)G?RKPa`gWvn`gWvn`gWvn`gWvn`gWvn`gWx71{0CpjugI< z-jdvo6yCHDnM-a*3U6j!liQKPTUHBdwr0_O_+U-c;YYl3*BZaFBYPTbWuQRCKjucMcjucMcjuif$5w_cr!q*$rZbu5I zZ$}EJZ$}F6GQxH{Qh2vP?RKPaayt@SZH)@2Z$}EJZ$}EJZ$}Czwxf~jt`;~>cfi`$XHchN%LcBJs# z1~c1{!uJ@=Y)1+oFqqkn6u#GBW;;^&K7*OB1_nzE>{+mXl;^Q3J@3jgF$WV?Mvq)Qkb zruZFbY<2u~G@icrW4Qa{H-M56&p_kZF3#nt%y=Q51MxB72IHTD8;aimN;uvNl!!Wc z8m;T(4TQSR+}Y&hGl`wKv&rejmL0K0Pn*KnzGY`qv_AtwYTznLeap_K=#X>4?O=8` zMTgBs>})Bc!|8J@M>e9-5u|%No1!C4D{6K&MNg+YdOMqJ-2 zq$GDXMbmdSMaM9U{ye+0DVn~sDLVEt(QJ1%MUy+5I>IDTmv;B(*_}<%gwbSoHbo0> zl%RHJQ*^vR?arp?1cTb0P0@)4wL6=llMb2;nVn71$}-n8HmdB- zrsz3Sgll&;MdujQ?re(AEfKEW*%Up0m!NiMQ*{0zLG8|_=!LHeYIin87nq3b&Zg)^ z+!llUnw?G2iw$abHboa2)b4DG78}&=Y>F=Gh_MU`-|TFPE;e?yJDZ|Q3~F~aMN4dc z&CaIi(!LVb?re%KGfkk~*%V!Fi`(pMik99lesmN&oA^YJlqzd?Hqnm+Z?&_D{~ykh z8`Yup+X$Zu1UGk`h^M2z8%Pig-r7a8I+gsY&5ZKjWFAj zEZ)F>JIQC}4WGjXu>2sHpoK}6Bu7xDH_ImaAar3<7Nojpmb;CH5mFYsnE*x?9ibIJ zZD-RZNlE%ho02x^dy|rMpH`BH^Os3JeZufr4q*Ky$)bN4R%(X6Nb>0e!bhksc$S?_ z(G@Hv+1V63bt0&N;Mur$(TgZ8iWpIr7&3he(e;q9TZrWGAkyP2X7P20mWP2Qx5CKi zwuKHOv|5s`$5FWG9gKu%Yk>)=YlNFoDI2C&ft}2; zLCagyf^P$!FB?e4`e~TMz|Evfp~!b0f?2Y4*flZUulOF=Q*;`3mrU@}lGDNKBXc3H z$cFsSp0@-#K>d<2Bt$CYueVgySLYz-`iR*|pw4nd_)QCMg1UWiBuDmFJm=%{3!vp= z1IKed`uHhBk$Bm;@SN`saEpPTwg?+~e6;8-ziNv&EhaZjHsG3Z zE(O(n(8#k;nEIO~_2Y*FgCz}iC$K#3n*&b6e?^>&$H1xm{1GO7`viLoSg$FJ?p7Zw z+RMaewBS8Q0zXFlHjys{PRrabmU)yIHnQ)TkHmnhW(eOTl|`s)U1DLI>J}L^A7ig%8&IZWMT+zc_l*xrzb22-sc)T=uc%& ziSLS2`p6cP&n()xkL*DCw?$9xBO6dYw`iw9FWMx&W+DHSI~WxN8*b$PSc7HF-aM;8 z?^>ykE&L)Wtz;e5NSkNueX&wOoBh)|db<57(&kweoMfd=wa}y@Gey!o(_0^8WAusf z)(R`1NEjp6!6f_}x-s&{QujUpjYj@hym!cG7*Xop$KqjE0;h)^6A$|pG1j$DrLKKJ zly&Vhk?np4P}H@53$_%PxJ8zY+)ISc@Ta@QQ*`Kmqf({eFo?d@pV>%ytJr?>W*wjZkCwiHpU|pOw7Z^ z-~fxxSWA(1YA+*x2c%($crW7}coz1dNzLBNioKMmRP4Qrf$k?N6Qnm0eHZA65sd5> zx@K@JCNyBFeXzYMCBkutzLjbP)`Hz%O(O)S{D> zI$iwo;ekL;7q^s0?D#5lLBe0Q3S9!VGb#IIi>y4VQM6K=bGpyo52=(g8coi^@kYaT z3-#}xZzaX|+HWm4!&*@viou5cPs01Dpfyk4az)U32H$eXSlkBcVc{7F`Yjn7#mHPH z_UIBXf-}p3i_#}sl#XHA@3IQLvekE{q>~ic;FVP zGrK^e2X2u%gOAD?kJ~DapIvAjKig{XH0f=v+QUY+hdoStSY(BNHPu8r7g}a?@uKY} z?O|ZNiME@xhx>_%qjZz@aLEL(J?t*+;pfDqJ?t(`+VY8b$8)HmPu0}y-&41>H;(gA zx~Ii!x1NSArr9DrcM=+;kJ*;wNcHG-Q68Ri<@rVMdda&#PhuK78L~mv;(WpR66z&d zegsahe>0oCbGP6BAA5F$Oer#=i zI}9_uA(m{gVHclm%&Pph=`9v75ml>!=($0609^7o=($II2>i!;aY3aKPUOLmdbaz3o2iogLu&C}Kcyt!n zX!=j}(U5b2^^!W;Q{Jf6#LuC0wn+bx(iltR$m=&!gy!fOn}e4uT-43$Ih>i&A282K z+^b-Q$!oENy4bfJfl`b#sNS-kxA5`*Po=?`M(H>U^)TP-=}N_CJ+^0W-7BpP9I79S zAhUzSN6W1$Z_#fKvfGTZF+MtgKDrYm`se`q=+{KVM+eYHhtBqVbRbQ;izxs8tOv?# z6{vC9!Ranr;JNGwDTg6^KWCoFVIrQ51ZNL-lU0SX~uznK*L~7nZaxZT4D+NmMXW|v!QU^bq1;hnqt z)B+dbkzvqJTy9N2%HqFq_Jz+Zx8iMK&Z=m)i;p;~f(xsA@MsrS)6WAC&g0;Qs&K>V z)+pE~bI4Ob!o%_SYUaS50Fhc)AIq*RFCXSDd*B6uW!LKk4MuoS1(sb68jtXx3M{)C zG%Lb`DzNNo(Ci2gs=%_VLHk5zz$939HE2PE2UTF%)u5vyJg5T8t_F1@Jg5T8t_GbF z;XxHxb~R{Gmod;qGj1N!h^cV#$f6Pts=%`AV!^M`5gt^5W!G1ro6D{d9#ny4*Y^d-G7}G~ zNLhA`@SqATyY7(i_-8v0s=%_V!E1Gd2UTF%)!+~42oI{jva7-Cbc6?0q%6Becu)nF zU730wR1x7O4J^CtMfIU0tdqnAYEC3!!${h2UP@qZmgrCJg6e@gfUJq%7ZEb z^~M-ncHPV1!Ka{J9ESN4har{zA=Ga?DwkdTFn)|~C+nrbd?sCvTnP5!WBZIy?s|y) z4=_Qy@ZT8!RKrW-v6}VD=P<&%FA#rdnZZh9`(DG;G`2HmTpJOv`Op6TN$5K*225xU%P_v(D2;F3w%Q_#I`a-u{2bw?6F1vFxd1cPk{*C zYp|l|3TF5|gUyNZ6o}CM2AdbU}o7h^w{H~Q1rkYUCN&M&nH4S>`XoOm>$1>3QZrJgT1hDyDI?q{-`HUTr(V zkD?FFleM#Hj!~=(e+4B+#+0L2_NML03uSagkVkh*jOQ}OM-jxtc&>@@G#TNZ%h7|L&FIgQ=;twd zd3-+^y`X($crlmqeI+I5GbMUH((xN+{W3{MwvB&(OFDR9xTK?;>6nW~$)uy)rb9*| z<)Tc|A+IGZ>5#{2gfM0h)TCoA`$@BuBv3LD#>Ec^q4@Wk~2vk_k3FR>0iA9#Oy-~D2; z^MIRZejw4@K^)OMC>oCfL$;n{s&ln&w2D7E&jlUzbsm~A@CH222(mRR(hHys#7u9t zW_xkgd|(*eXKTtm1Z;q`0A>a*aRG3dSjdsYd=K~_6hWR~Ul2nn7_1aso*_G!kbG${ zo&i3TO8W{y zhHjM1H(4b+=DN&*`V!gY$@tkQn>XkI7c9V}4FAskCcR?|uzcA0Et+{GqbXuEAEd_8 zUmvEkysdSOReH{Rqx><1cH*Yo-jZ@Fxd<4ddQ05kPrytWza?dyd$Ct;Z%JY=1bNUkE`a`h9V>NkIAMmk-1{hVlhb!DRnU{{dMY_DfoQ zIA09z`6i(YEri4|O1w@9v1*+Omzv)K{T}msqp2LlHm^r5+>E&SDFIVCZjj26Q4C!E zetv_b{50UEa_p7#mJ^3nZW6292kdknZjR*@wxXzwe`WzLHW4=3h&El|lBr%RR`RI= zDp(>4IxPaZr#^>)j)(~=tnBj^o(>8A2PO|jdY(nQ+62)I@`(g#u%gp1bfHbD8z8~2 z9b_ZO#|-j&5b|L1V?;VG#vhF69(}ENp@sVEbklvn7_KroDY7yzS-2WX`2B=|m}uW3 zIe8Hj=4-29{gN3Sj8ucy{kP9Z9W3!<>dALLn#WN8D^Zl;@8QN@qzbX4m_Gt5 zE3Ei$1;>x)u$lofMq19-DOiRxR4^t1MecNfUxt;z;ws9it(G%bX>!M$!D_6p9CHS% zF@Df#eFp2x2p$OD1Kr_0xXHf*O!5LjCQPW~pj8shsjZ1XWNIsYDpdqaJwZ(2T#QtQ zR?vs-v7=0~ch>0lA=t(&lXuqWQLr8MPm*ViI%#K(I_&$MaMmc5CeIq>`KI=)Q9g2( zDNMDI44W4_%jC>{1JvYMqn%J&9kx}@Vm>06Ics#D=y091vql}xG9}L%bvVnEJZseX z4`+=!=B&|tiC~q(S*GM!qYh`8l4p%NoMlR$HR`0FHR`0FHR^DdspYIuC;hBZC;hBZ zC;hBZC;hBZC;hBZC;hBZhqFvAXN@|XWpb{(3U|&jIp(a<;m90knH+Q0DD%o$CdZsL zIziAEwUd6ahA!RHM&;HWS`$LXN_JW+*-fW>a0VU;`4gP8Osr+1brYx^*`Rov`Q>tcl5G1p z%alB8)Zr{s@~lyZvrNgeMxC^?Mjg&FCC?glILnkgYt%_QYt%7kjWTM?GC65yjXIoV zN}e_9q@6YDaF!`~)~KmKk1j^me0knkqm`o5o;7O9q5}W=qB+SD^Q3L=cYacZY{#uY;RBYxK_%w2|169~(|bq48N1 zu@R*6Rl^oIHqtbG^Bi;5D18V!@*U0)#YX)dlH8H+yoN%Jjb;kDBi}J+jWQ|Rk?*9R zHR`0FHR`0FHR?Qt?8e4^C7KWAI6Mlf^;x5PMe(ya;t(xojXLJ6(H1S zb7*Gv7nq1D@*H#4=oaB_&vVRKqXwm8?-jhF=vf_U&20+=WH7U z?qXx-x;!UyFwi9iJ(TB|vqo)x^Bi;5=wlN0**s~tV#`ds)sQFcR?AtVPH(CzWxvJG zvqq)Cp+`zRn}q|^!J!`s-fBnwDX>zO+*pOtWcgvdK+p%W*}y(3DZnSN*pvl=c|f{o z`h^M2z7fb1giP}Z8I%k0Y&5ZKjW8R5EZ)FhndCF`hJQUcVDXOvCg_nQOOhif)9dZX z-vM1Xn-o&`_Q8p=;JupEB^&=G$1S=>Q5TyhNOH5UiIqNL64Xl4CjC_KjU?Ttl_WHA zMbYr-6Nb-n0IM{~qJJ1xYKAJ4eENX!5$Ya1+p|VlOr0~@XTJebd=dJ>D!vLmO&y<& zI~GLv0l=*GIh`?Tl=iEAF4ePbo7D~d&pf7sZCgPXVEHt-FE6fqKZLsWy{I&}3>vcA z_u-7WQ;rDQ_w_We*V(=wRYQaFP45e|e-6+~sL zKLBI^; zw~SM;u^+NM^;Gcn4Cc)n`o3(3@|eEP)}>^JG1q8`Z?!OU^u5r8y?n9-pvf|>zQ~0x z44g4a=Vupl|BQDqHUKg@XBj3DWHOSrI1gC0M07Aq z4>eZ!Sqra+7JkpMH@~x`Pm*|k1=?xYX4p~eqxxu`;iAu#bT-&q5k+bM4qBnq_$gbohS88eH&69_f=2o)A!P)BoiLjOP&5AB{ujVJ-okO$E=iT zwa}$e)EO3jfOPW{m?kTiGAr4o;P=;u7+{Nbt>7Olyc=A8BXa<9zL{R-UjbJig!PlF z7`Zp=8D(#rgtGUZWRxv5Ro{fG0xo1DkzW{q%e)dk~~SV$};70&`GR zOvXDB-nHQ-S_uE;H#tr4w;BACWbk*-qiYS(==SL6By^Dt^(zZIUxL|s2LGZvV)#A% z;&PCNO6*4%{7ImLKd$?@rzvY)Ta>U_dt!hN2%_-OFeauQQaLt zjk<$Y9Zy5V>8;FZkyZ1xg-0RG4~iOfw^QBlGEd$2scs8V9JhG~d5-}RXQ;K|e`ldS zkm?M5G+ReqEm5k)EJ=L~f=|#DdQBDLpjEzxa09y9+`U;Oo zmyR+YXG-lWTI`ahURvxDs8R$O!8yCY9?nf@J%V#~fg3EsIlI7(7U4W!+|Kijm=2xo z2eTQ`dgLpNV8Gi*95$bA-?KzigL zp|n1z1!|4eKJq+j=(pBTi#^%ilCnFbFYK4`R z-V49PYKBXG?AnKQbl$BXF#{`XQX751^VuZ=wb;uRPhru$EVaUms4tWHzu9{aFe|F8 zUAXo+-F>P~RX5$_+zFc4&`lGXe8?FZMZp1)paLckQB-7XQ88cu6DX){jwmW-9otdI z#3(9bm@#0^h-t=2df#`gUDf9_%>RGi{l5SH_ugmvdAh3Jz1Ci9?NIwvt=fC-E}?{D zt%QqcYF9QRBy6(k9Y!XNIdf5S)-lQ$PIQd!UXTK-<4if$o>AN47>)ne1Egd%1W3uc z2>0lIX6%V$G`sQ!5Y;i7T^>0`b23ArKsGMj{o@^eKQ-biCe1cHNXig_{ zpFnkt=46IK$;k|bl9L$`LSq&1n=0C8v>NG^b%s zo@^eK(=aDbHjm0_D#wOG$$5lTBB4-n9@W~>P$)T% zY2|1rl$^9sD0FtI<1*NQuEFW6G8vtz>>rXE1xTUe@?)g#$8ni(e+Hq63{uVF7-*sc z^3bnbApXllr}Lmj=I|vt^U__2(Ydu5wg@afCk&gByu_2H&tR}-lp@!cFWaLfH=Z;T zZUwoR*^K9Z{c3bTF4O zq{!<0xFE$7^Dw%$=6N{G zQ}`+kTbLq;lV#6f<>uH$AA=@xpQkM^sN8(Z)S`izbG3`5)Xz()CC_cN<<*#c)+n;P zog4CSBV^6i`2J}@}mZ}C;ZpB@+D758W%EeS`cJ`EIn%=<7?Ygy$zt}RCpvFy{ zRbGl3kHuNhW;$x?w=7jhl{C*vxUE4Fcl%aJ9pdas<{&P5?hu~>#b!n)G*w}oZB>V- z4C*Kn9i7;i#iHbO5TNFGsu>rT4zkUP|ENLDEd0uv7#>Ee#!GSBtLFA!lW=x{iRbZXYwxED^pLm?ij? z*dWz3TP*m91Qc^lmhJgxGSjbBQN300&jv+|-K2_Ei=wtmp-6h)>MY)KLxHL2bWv2o zqSlHcE`m8juGWGDCF)2;H>x7%h!l(IOtEL&19UB_q8mifZOGLX(r(CN7uW&BPH>Z$ z{f$+6b3^HF5mlX+fwzNcN>w{lRgG1#utC*WFI4rSsG5yjEW__r91MR+q-~(I?uIW- z<_nd!%u0FCo}UHF_DJ04BCgBv5Dy`rOG_*R>gG_y=ULB#a|bY$ec539SE8-!a%j_E zE%N1`2Pg*&epJ!jtjmX#Yz>-UZw*+nCh4ihT!(Lj})Z&k^C5c?G2@EG#oue*7g&DL3eF}GQb z-Ik<;`W=`)6wUk*?IeR}_Y+|Wbajfc3t;nI=`Uq5He1!36xJnXht=+8uJo*!VGCDS zq08*~F=XwI_o8l_$@G~BZ+{YST?3YFs(bHY!ZKY&9p=tCN=>{AIq1J+(SF-$s(qGde-B8t&l2q|Rs;Wqb$LjP zIVS*>y31QRIA*8Rln)ZHROobJDxIK>td0r&` zeGz{v(z@MCd)_yTKzkRM1^iIP1gY+iWIX6n3)%h1!bekz>2BU+zAZ-Wv`WG^?1|w2 zEx5XUNNYCBlf&&u>$XQR$Jm}{XxXk7WxIf!{->c>*&F!A1lLHt2XKi3=e1^d)^J83 zyM4KT3s1&;xvx1kg`5cgalD>)wFDzKpZ@{gm+~+jB-0vIbbR7(Z=RJ&b zsxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRksxXRkn*MT+1$XH$_y65- zPBUKavEYs`!O_co+JZaT89Hsjo$L&qw%|@)?$Z|B$;*A(f;-t6I&Hz7>;(roYVidmwWL7xEMIZH-ITW37EL=ay$t+>ZOK)@n6nL z@+PbRSwfC_6BkHN)R3cIM#xcbveKfpZxm{0C3({}1IVl-uZEX+RmX<-A@;i_0ej(SHZ(vYKGM#xbw3^^)0 zAbS}hN4+IaivkTf>K&z0G~}q45pvW!mQUI-y92Vvxu|ITTHOKJTc*(Nfb1Qw(1slK zmMgqKcR=Hv>`{mGZos9quyBxZOBpYY=t)DsFxXX z)H_G1Hsq*xu0p#5vUi?By92U!zCs&v)XNMx>Rq5zy92U!p+dU@vUia}8*aAC3cR=cR=PgP1n9D(vYLx4T?16sCT0x4LR!Fq)0=KdKn={ zy<3!~AxFJCs5%Te>TOk;?ttvwrAR}LdUq?*kfYuiDa0&QT@VD=m^4_-(Nd;C$q2_DDL1waOv-*FIGP64M!tA-MS2T4P#o_0=IE>mw;7U^ zVM4^fE08#LCfvRN%?$( zIw`5>2TH4u4i&yo9%x@I;j7sKA;l8ft~Yy{IjBUIZuVw@5mcnKnz;v>c{ay_hMdnw zIjc3N1AiO2|6rC`t`F=vxdwAgn!w#IAJ=zrB) zU1VA3>-^wXe5SfG-k=Or^$W<+%`~O1`wY|eT0OTNmjVN34k5$ik-mGW??)tc{*qbl zWnH&EE7Y)^8s;m6!$IIiLbyEcr||Cqy3(ch05f)%wQLVAfR=7&7z9nyqOZ zPI)aAUn>n8DxG3E<7*KvHd1~y+h;J6sQhZ)d27zn>>cq@_lFvyu6AR#I=cU>KZO+y9`~^gFUXoVQ2HIJ2j@r3_ zc8(zsJ2%kI#eiz(CA4!Lp>)?vc-P+rD0a@U(oYEOyiDxeCppv3(&e=CN>gidU2D&{ zN%lr2ZlZ~=go+xonyW<7KFN&~T|`AUnL4ZBi3UYSf}(YCBNhDsMQpPh*V1+M zsoqM}8p?04&DmjD3N45TybwJW4lpfsSE}BNB;A@@=D{?>h#*>iuvwegtfqT%8kl=Ut9< z-u2S;i*zIz(~EPVa_w9wXBhOwoO@xEb?fy+g3Q^mI{tEMiZ$T8*cyy<>-~GqPN}-} z{yk?$7c>4JGG`}k4vwxNbW_doqxvtsb?$^oAj_+po8hfaBA#ymfAdx6aM**14J9Iyci>=Vp5A+)Qtso9V4{Gre_g zrnk<`^wznV-a0qaTj!q2`1p~x&Rz8=3Kx0n+|yXr$Xn;Geo>(H*14J9Iyci>=bpg< zIocq|&Ggo}ncg}#(_80edh6UwZ=IXzt#dQIb#A7&&dv1JxtZQNH`80^W_s(~OmCf= z>8*1!y>)J;x6aM<*14J9Iyb{x=WgV*{b&uLd*v6>CY$2ot#hyXR;bon=Qi@zv4_iz z0dF1ON7-WbMiK8E8&t6M-mwYO=~OKk-n%bx&40o4-tn#zsWJQnW_a)1Ef<2O-aGf& zzkw_s9Jg*A@`v6#_d520(0k`zuSmUjZie^H&G6p28QwcL!+Ymuc<hC`|r5B*dIpKB_%gc{qS|rX{Nu z!0|w5TCy)YPUuWa_G5bJOiT7>M~RiHGc7rQeJXUOC99W%GjgUS2hzgGnU)+xQzB5OiN}s(~_xnBG~TolN_OvtTQb+@P$_iO)5K$?{_xmYkx{I@6L<^YEaH8@a^in!;pFH-YuK%TIE;in7kM?Ju=Xa94)|r-Ep!IB>X~~5OturloxGk?b(~?IFlDyWLmON6&G3!iA zW;)Z7jht!hk#e6|XBzvF;K-S#tKGPp%giITAGMfe}f}5lDN( zHHDmDh+Rp*)yA;hRZPSS+F_9_c7#Usj9q?KMV#y-`{lHz-xP7O`!wQ&&X*%P`-IZj z4j_FKk=Q?!lwP1DQnl#p142ix?zk3bT5<`SDbs^?B8b+5CYSqq(At`-kSn%WUUuX3 z*t8R-MQptGm_;-OuVQApPHo<8U&pGKr(iL%t#s$X+0jvA^Gp*F$Kmy{kZonA8CHpz zQP>KSLNvXQU$RV%(hev*122dnnpL!h`3{h%I9`1A0Sl?aDavF118wTB!qmTGu(e_9 zy!atus_aK{kma3aQ?URqpQSiREKFJBzdt1<@;AWZA$avH5vvcN(JvsWM9daw&Ic5` z4qUT4A-^K@F6Ns)YhpIxZbGSoIfNIl2b8iOO4uC_EoGQTKbJR!xda}%Nod$v zC!|0_gadmvm!5-n`mS8c+VxNzoNnZ*WsAIUT_#2H*V=sB!u-ph-49nt{X@ugDi=4V zY3Z^GTK>8sMN^!j6ZLGa%!3cE>xDE!l!b(vkl`M#p-dM;V?%0f$aUMV8gWtCIhJ~3 z$hC7-rn1VD)QWlbY<{^eQ%rY?S!Ahag&Wa4FH6d4XbK`#4Lh`90 zTYK|jCYzKpBwru0?fo{BOLT_R7ecPirZsM$w94o~(?W81znZ=#jRhlM3QWaj9`Bqk za)&$CTNHYY2H+0J1zB&}&b&iDLsCg8vvlTdf9R#*J=~f1@MQuq4VL%tI{+oJl}8!x z;j+sB<(3w>6p*)CSKewL0dyWhsokig?d5=3gx#6*2*92!(RtRsdV4m*(hF3cKK-pc zefnEDJ!sjNst2u{9<(rOn3GqE(Z$JY5qZ#VZmu3QXH^QS@uG35o^#rP(YPa!6pJMe z<|!*7k#XI`NJxyCoKZr{?ut`9D|^XtE1Z~{-3d?4S&RXeb>UnPvf9Fwtc!SQgVV2N zE|W76CpXKl{2XVm6YQytdEcQ5YO}l-U{bB?9mrF@*Mz4cZw^oOj(0WBwZ?ly&M|Kf z&c>_8G3H%_W0v%Dq+w*QLFRK9F$PEArSUS*|9laKTje4@n&yrgBBy2!MR)ziwhE?*TWv5ZzrE z4-Xj&!FUuvK~@4n+)gZCQ#;}Aa-@4zxFZ~I9`YOS0!nehVDmdbw!!Ar%rMxzqA=LJ zB6RT|Ajz9Tq0MxUZ*SW70L>XV)~n$q`n8isv2l3YrF4Z()+5wE{SBlx8;Rsw3%vOO z?6AS+d5LVz@lNY4oFOv8VDqXt3^uPQ3^uPQ3^rd56|vG|nsbkDZ?U2<*nE3Y5C)sq zd||M8MPab{!6GFLHcuKmstq=;FbX!WFbX!WFbX!WFbX!WFbXzL`_h8VD>Vu>udpr* zHm@)WHh&T;w;|YkPZW+WJR5ADWlak@Y+gl0!R8f4!R8f4!R8f4!R8f4!R8f4 z!R8f4!R8g#hQa0)M#1J4M#1J4M#1J4M#1J4M#1J4M#1J4M#1J4)`h|56-L4472X;{ zS6l9QmuM?Q!R8f4!R8f4!RB?;+7@dr6YeHUy*?Cc&hMJul}AgPM8W142sH{eZ;dL| z`<5*vH^y)$k2=_Zg7GU!%qFupj$o=1+zjD^aLs?gblLGPlhNP=q_*H-f-qoN$6$=` zw&Z~p2Ae+`H?9pfAAl3Ln+-Nk+u*XZ!R8f(!R8f(!R8f(!R8f(!R8f(!R8f(!RD#D zA=tdq!eH}?!eH}?!eH}?!eH}?mNjRzUGKhCBJ*Tvi|yJLaM?+9ktEeammTXftDQk@ z-2ZD7yX8bw3Fg1u4W3py|BZ56>HIg2n|MM9y1W3gC-dLDGm;!Mxm9q?$@&_(gC5Kb zuJXO)5|^DPE;~(=RG6Dzvb?BRw z%P?TU0QMpLXy#VI`kqmWtYn^V8LLPiSyrbZ%2AC zMB%pLT!sM)hAP}%ocko$f??l_!DN+uYe_D{fCW<& zZYycdaP+~{(?#+_CAsgAT62}a`jT9R0Sl(9sOL&@83rsk;02-XEXidUuwaJ5H%oFE z1}r%E6QS-d$)(FKn3Q9hNI7b!LmgX`HSa2v^ zAavQ~G7MNSSK<27T!sM)4pX?PG?!t(f_Vz-N^`ff`13E9ytkI-o<+Do>v>ygZccB& zg$f@k&1D#{;BZ^s(p-iC3y!!$@;+B8n`9ueCi)y)l%=vsMzF{>_o&=5idxL)5Sjlb z0}gwn+-K9AJT$Pwek3@Frt~Lm6hl z0kk#j7n+;TMMxeZ$*ev}MvA!CL>1dsnK=lw;Q{m`kgA$kc%|2Z0@B$L>FJ0h<_M&{ zZLHB}h|zW6YHQf;DkkEEteuf8c7#UsHX|tuEkLbbMV#y-`{lHzw**~HXZLBu2}yjv zR66^F(%B9m&5KCvA4*Cu5Zwlvm3=_y$h8^QS>NI*GFZZ9O8z{6T;<;*IXABwLt(F4 zNap}{Lo=Y>o$_=5<8Wpwl$LMhHU+JX99r{Aly}4x)NnhDgv;Njgv(3`mm1oI(Fe#H zp8-}x1c3Z`a=BeD*$X;={|BIU<}b~`SY_mao_lk&mx*+h#O-Bgj7mNvx0ih^a4)I+d&o}t_k{BAX^=0M#gKKM$b$6k%vn;p2KJRQ z{(k8(IM^Th*&xY%b~-BHO*B>f6hrCpi>Xa2U?s6uz)Dt_R=`TW=QtggvK%L>fxD2U zP~z9GV3xuaOOJNU3N}*vP5EGx%i`k^C4%H)REu0daT0BZ7->w7|1(m&m{=7rmix6` z=)+~n;_V@`{dQc%7HK=SGb>?D?9VEP;nWHbJM07}_9Nxs@;F>Fr}l#%*$bAF0x-k8W)Fd7wLZn>L&8<7x`_j02U4R$EaZlaCbEG zgF@}WiJ{e2_<{Cp9*qr$j?!_Ul@7td=}ES2_`NZY#nO_UE@#o!LbY|9&Hk-D9|leD zMz(ekTQ?$&wssI(e^1-Q){bILd}C;9N3nGva5+^!-$=A6&NL&@0%m+-v^7pk!D9G6 z?RhDL9MUwbvX2a#;})dRjE@W(mx%{GN*d~8@{{eh(hcC!XPH5oPVHf!J{ zt$|SGtkr75A;@?LI*PW|3aNpIkw(=kqy}PFhN@4L8mK0g);dvY;5gu3%duDUN+CZ8 z*c&^+pB&==Yvxzn%5Hc{tQO_{8Z>FnH*LXJJ zT%TF~BiR1EZTUmq)3yBH!lXmcHMRWZQvT^%wEX2#{;Pnr{Jo@rJBg+JdrA3ouD0c` z5b`uYmcKHi{C%YSXM?8Yw^kTyg&7^A<8z<#TXN5kZFsC-CYzSul4pf%gEGtiEZcvo zEx+Y?uU5elfp&S(R_0{Bt|2uuEUQiuGvws1f0VPBSf1yv7Vqd zuAOsj5w_d2IVN^sCY#hwNDfPEj?Ac?gu5D<^2?#HOBZ&O`*e)j9ZFx50?BcHg5?*t z3M=X!8KZ8i(~7!B#;9S}g%zbg>*o+lMcpf7)K1{ue7P{&4JC0a?%uNVQi$tL?}ccA z_sg$bo9p#Q-~qX9yGxA22jyDorH=Ko!;FTU#`SX187Ug<#MY#ELeM93bS9hB%Z4>6 zQl0HC%Rk^Nm(c{hAcBAeMGYPQzeqymeSPUrXhjgfxyK zUrXg&38cMfj}+o%VyT=xQaRrNJ6%3tPyL(yk+8CVu;&&xKu4QU$4{c;M5Iy2PoiTJ zkm~rW==gzHbo^Cxw7L;p6Tho}7wRA)j@$nbcqk#hg8b9)nf_8Bxl?CZ?Wfzb$%{RN zf~M7!ux+#Cogtfvy_d-*bsmzx4A~MHeX+|&tm6r`{AX$1UU80|pNC14+J)sGC*?o& zCN2LsDgWm{TK@4;q;qZ#%RgSq@7;nTqJvG84t6q-cB@IkUGW7gU$379t>Ra>{mf+H zExi?3mJCcWBhgT&1G6R-{TZmcJRMFod~EH7C(~($chmv50kMv2M8!#f6|&LwbisF$ zEEE0@kXru$SgO-GTgzN(&*mJ{3ylY3<+@0Cl{W6$PTN`IQO^56BFVMJ;w*-syncB+@OKpyfeu7HVRM03y@aY1n(wJIbVaOSW)xs*^G#nGE{QuKpuLL zPyMK$BEz?&eZqu&UxO1aUkygBrv8?3 zxf{$ItPOcHYaZuo#%T1@=5fAajFH!i7IAiEt)&)m=4UOnlGLjEDXFzwYb~^q>#;A; zl7?5YlC*1QgxSj0n4Y5xPIWPRCZcC*_pj2FX* z(j(dT=HSq$A{X_%99Kh5!(r%J97?w1Vm&UNz{QX)OuiL|U)_(3?YLNtiy`$)-hsmp zOnws=s~$k|N4OZWhqRw?82unn7V4}gT@gj7WAjO@QB(J+L2`O?+vmYraxaPxp}7q; z-g6eDZ6oREccnoal4qxzRc?E&|o_@`T zn8yLDgrmw_;jRK5}@bTP8^)++=g^3MF(jHTz); z$?u13#;nX_8}#>PQ1S^KY4|vQ@Ioo@Ao+>=awLEk-+hoKj+dXouUL6r{J8@)(V3vD z#rP@Tm^4I19qM>QQivXe2ElBl0LwS9)(}cnDaiK zBkh{9Y9w$?S<$5_$U$uXYxMkn5g7j+Dhf4%FO(7oI*}O%I*}O%I#DE>5@6c9#DPv^ z#)1BKs3`dI6Af{o|9=k^g)acOyPBj$jLNsOR=Dp}fy`PdkXb7Q7bJMg=;n3agk>QA z=fgx%Xwz`k%0_@@I%|cO_@aTWNN~aM$};iXEw6eRye0Lb;Qy8|QH+NfEj;s9?L^_Cg=gMrENisz%v-%%;J?E} zEsvoiL<`T*)i`T~y#!Sk^SOE5e>6-~GnuurSL*elSTmiq!k;kALehn2-c>oc$^K=S zD7KK?7&c548&I%aXvQY9H;ybsqbk8zXy!mL{{?f_3hy#mXx0j;&DVk&ZeHhYVN~-l zOjHv*6zT0&w+-@eyZtW<6GheGLbLyJn5bra#`Eqg6qzSWTWn_q#WA@Ib1qPRlB9Yv z7MgkYm!N`L*jXzFf~RFe)TWkcQJY$2`CX0!xj<&E6v(WV0-d!Iken!+Le*pVXxX{e6 zJ_nr9LNk9LEsPeL`GaUmw9w4YTxjNJE;REq7n=FQHi~4s(9Dk(nl;0$6+cxWg6%>x zf5Za0VeCRPf8@iG)h;yiM=7)m&HT{{?Lsquj6%E6%pdzlEs!oW^T&NF&@MFd$H(z- z&RHuyXRY`X6xxMm{zQd#p_xBPp~@vJ1`p1CA5soh3eJt@tw(+J$ER!RHIrE;RFJZWs7jiO*Rp{vq!Qvm)h^ABx<-zm;o@i}Y7pR3R=H1iKrXcwCK^Ay^JX8!zvlGiRY^A~76+l6NS zLWOprnSZz~uP!w6kC-NT?LssENFBHALNh;ep_$)kp&5Im+-G*78T*moXrWnanW%ps z(%Z;^y$3_qwdhj_n1p0y*cCDG3M39?376CI+Zy%@&21N&?UrO#pCp6vFGx{IY+GgK zAkc;f&^@FoorPEWIyFUBo{5!m(!a5NW{tR(})w2K8on<6G~@0fFvu=q-g9PN=h$KAyU;`_5q}k z!A&^2%wEnoPzB2Yor29c8YJeneVK`8VkmS9{*1;p1)o3}o=Sf*cy-GyZicseU;K`; zUW?C$_|eTRrTrW(hsMy=!u|04I7a2%&Pr?T#Afj4vWyy{Sumu<$3v){1tXX6(KxYr z>{Xlvvk54g1#=$xIc3Dof+4oEU>G~e&VngNYQy{u_Ne$BQJ%%bcK(L++>T}hi~o`| zN%(r&?8+3_5J3m7h7I_D)_jr|wtH4uA2McGB znB!#AwN8V9J5}J|bSCMR%Tu^?oHy+m?vc4Ue`g{1c_3-@g5(m1h1zHNTXJ;zGq z)8@Cd0Ny<+*<*9Iz9J=wW)Gfi(sc+|NKJ)YyF0_~G)u(b#g@7$kEx-ixkBOwVL13rW{mmJOhHX`I+iS}ks5t2k*k4M1-@$6Yq>o1q%V zJd~+MtZ_GKt@N>HY>UXC?rG(b_6^CSL$*({(Oc5Eq}1D3>QYmbNfJHtZN{~sfCJ39 zOg7PTttH}u*kOQF+>XCpw zPQ=tE%I--^ud;$4wr5kHZfHldm7;DFTXtHO1Fq5*xf{Z+qAfDy+)9y6o>uv{NAkN_ z=JEDy?#h%upYl74{CSoo^v2DCupgR3K0dn0F#aPF%EfzI#`O4x`jy3~hAu4k)z3il z!Vnc>leQdo1^d857!t=T^w@Fc_oueTJBs+4Xevs zoo181?Pa4h`nDH$D$=8IRj7Yemk(B?N8_rXe>KlWh)jyO*mSDFlR7|O^5cE39 z)YMq1t`PLfY>9M*px50(T_Na2d+x~!L9YTKD^ZP%bj}rmUZp&J#7pf8L9dT_7pkHa zf?j{KN3dNX=r!!QCo2TKhCTQE>Kw>x*mKVxPTa8PoSMN@Rtg_o&v6t`PJdD6cEj-RsbD6IL7mLAtj4!gZa#yf2FW-18n0Ih`%^U zm5?C*;(YNJ=c~V1S2+d~4gp!$*i6)_)>V$dBt^Q)F_^5#ZYvN>p-}5D4yM%th`%_f z;U(@($E8^A5oYh~*dwq%n0`1?tiL#ze$FN*ZrAS>+fkQ)pK?2FEM3s~m&n3hgS#-~@$sm1D3%pGcF*ucN9j$TRdRgS@0g?5!=aE?N|$}u=splE5mj==>A?JCFMLWOpfV{nl|yUH=RSfO3z7_3)#YfSvb!6n)Xc9mmr zsY1KTF}O^jUF8^Dt|RZZ7++n3jc-Z4T7PkHW#})~)k0T&Bh%QS&^=C3~o`Rs~m$n zs5)Hb7;IIVu5t|SQlzUKgS!>!D#u`(B3OH=nJR6uUE8A8X!VgK z)kA+V>odkxj=}vt%us)EZs`#4h`%_u6XlA(I9CIcHOb2BG85!~6__lq2Q!1Kd^Nem zU%YQ%vb@R#pjv-%Ue!E|@EqRqLVvL|MP5IqhpQa(`s>iAs~qzNun&c+9P_G|fiqg= zm^Y9XMynk22GNvgm1EvumML20m^VbBUFDcJRH0qvm^X}1V2tuFs~q!&E499u{^Gn; z8xd?*Ip&Q}qFv>fH*&KWWmh@ojZ$b=Ip&R4XjeJrjZtVSi+EtEuGZflYj(G}Pd9!y5 zw5uHR=BOgO$}#WIzYEo_a?G2n(5`aKJ4~Tn<(M~5p_Tm1ExFw!FH^G4F^<$!k|R<{hcymR;qTC&53l=uuZW<}H>d`O;mZbiiScl>2O& zp%g?M}lUZ7?H#rfwZ@^H9Aj>E(2E^!v@VUrTd;LpZ1yjC_T<*31ts{-@Wn}n`g zC&m?%O*)T6uH=!BP}HRBR!Ud~Z%z{luR{q8Y2KteMMHuUo7@es$618)TjH|kQ@BjH zOlZ3i30XIpDbUvTBD}}ZS_0_Uw$WNw6t_{J=mHxoYx8H%|8FMdT9 zyHLp!q+XH5TcX6*Yx0!Rdlug$Jdi}z4)VU2eToX=bk2_Nfhl_>i5hKB z7jR7;Sj7tId?v`)=T+(>bPII2HU$Rwt)6q7o55Sg753({EXr7pv@Y;Mf0jkcqd;X3 zL7Sz%3tTFp>c0@~u564?thb?=6>Gl9>c(lv-8$nyL)bSuFQp;Bs3Ap`b7)&&y61-+34Fw9b|irmVmhZ&1N&AcXPMyU;t=Dw~f~ zRdAtTFICefn*}@#s0Vk9#on+i{=K9wT=<*pgtVvg|*iwB3$lB`x6LU>thoBdzzT zxWeRCvL1U~ir3D=_H0hc?trp1%2wH!Efm3~dC6w|dnlqu_GpS|Xr2nP^}jwvMb5$3 zr+C69nb}8YvXzrfwjExQy*86166V+nKgXU;-)u(9Pg5jqxxtdRhiuC;+Om(i4~?01 zeU3EdPRkT}v0i=IaUN-ndX2OD-zZ)F%$=z9Q%*S!qle&syaKvQAvX&?@inygOyXMw z$2aU)+T&^B+XcTudN1Nfb0`~2mrm;;gUe$%F95gQ1$mQ5e_Zh3KY)J?_1EX@6#l(S zd&mIvq}+DLgWls_(tj)XHsV)_pUbI6&AvxGo$_|%@cumdb>NR%0KX#kl)iz`F_m8BXm^k`oiwjBbn)};F6!+^u`9-;XM>2gtqWC7u_b$^{CC&)(659NZ5K#B5nydE1_OY$6;!s-3JekQhkayKGn1)XvY1TRe zj<`b&dC{J;QDrRkKc&>w%a))NKg&(x3%pykA@1KUkjpt;%~OczbL? zgP9j)Jip>iR1wF@9a$VJThq@u=t3BIw#~4`p3PoKzQ@An!sIU`dBNQ({uFyQf9_w0 zQf5ODhu+#4+wL3)?=h+py3dI5!SZq7?p%JqCdQHYBQRGWZ<^UsXCZl5$d;4Q!CgMMafFaJi)ZnSzyx_>;aCx+e$CY9arg^T z<-^HY#;NLxUYoTxmfHrL@%qC?!Zx^oKLLyImN0!Sk~TY4eaSjEUM;MtC6=`*VAWA* zvaA#02MVcfaT-aaEJ3;8vjD~L%a~4$Eu5-m)OcU~c4a-v zvYr*P*5e`)JB_@L$6puT-E%DO-GEg;P~#`@Z{NaRGXOtx45z zR2;zRWb4akT7ZMd)=yU$3@#(3zXg4vy2$_wxDi*AY6apRYlF9zAFt*9SJOIer z4V#+`vfx%ch&LImVCX_t+#2~IHeP(&hJ~;MD{0PU9i1+}$4I_4O#YhOXNSoT*<|NZ z{HDbmuG6t!;qF1*aWO-k?fWn9PKn&7x`R{J`c$AJ{y8U~{7nY#u+bxzPtUk003F=mVQ9W^khqY#u+bxzPtU?=4y# zePENt3~uIP1~+rxYq!jnXqi_)o4Z@M!n>6)`oQMRWf3aOSSX;+-{S{1x6*!aTVuSh zXh=Tuu*tsHZo>yQ+4tI= zYCrhZ8*d)XufZ}x+KUft$$#L=-R{ZzGvUVCAyw}9Y=}h<;@DS!xj*|peWxTK`}2gQ>?VL&k@q>68WV? z{(I#7qoPj!T;ApHD?%7p=KEApProxcKUY-Y%U%D4qQ3rtqwU2rTUc5*39XF33+5Iwp3Gy@wAhYBonqG#E+qP0 z%pZLtVtxi|Ynh1lme0n4q~CK8nJgMJ`yFyHHl3nT#{b5mf|!s zERWNs9lJOj|ACuE*^G}k7*^C`?pj$Ey z)r>!~6vI6hPlcpJh{_nLGTNIQ_W6U8Cj2>Q_nQ=DJBZYDI3#vJOo4-vTnA(h>c}sv zd+pCg$Y+)$okBB|29MF^E3y*0G)O8mGpJ^&FD0(9gz9vLlPKX}Wk^}V_;iN9lVPr^ zsIi2Z=?ooMH;a7nR?V}7#SIMY%~NDp=9^_Uab*K>AbLsBL~2;-v%<_lPJ44`dt996 zn_A0vZUg@k^8do_yvk=I3jf2De}-??TfR*V{QTNg^bzIPhWv%5l6b9_sLt}<(vYXU z`H=$G`DU9wC@yYoGitkeu@k6fimv(vKl zc_M{$$9Wm#6TypJa!iRdd15y_W0blKPx_`;@?cQQa&U0EFx9mg3+&nSOez&h#?$l- zNt3d)kL`I2MBWGqUD=oFDRy%b+l($I`&O-ry_WU1`V=%_irQ`j{YRGW)H&KFPPS+B zp#N25RacHHdnxoW-znzZzRj}TZ_nt}T|HFC7|??EaWNeiy>a0bbC{{OY|k{XPbK@e zpdCQ29$&G|y7^|O<@l>Tp9ty=VC{7535y)l)#p#tR-|>I_imSE`o^A3cfafvq?i2; z2MB$MEplVhI8UaCofqtRCj@j&;`V)>T5n1&0Ig>c9_TzKHe;RrMiRTQti5cm!S-yP zOqO+oNo6f?fF&&8GD|x-zxO^Y7>Xj8T}h6GBav1vL;bEKhx(_1835&Vv9IVOG^?~I6}%f3vYQ!B zH3?tTI8UpEm)P^c$h3)Sa#)lcX~TDsR=$^+a^ybi^0BIMeHyw9s6u8KdOnTn1;}{Ywm+d~Ddpdid7mXSA}*fy>V0VKooO08Ykn*7 zme2nRs-3>h3o2v0J*$$yF3`kJ_N zyH%eI(z`Eb<04nO5wVC zI})qwaj2>V9Qb=&EyqM%{0V2WCclI3oW`F56#hq*zp54qmFwFfL#4|G$%;WK zpIx`{K1jJ-xKQ>rRncQs{a0L7XJafHSb)PkFk^hCjrnYYer{C_&T0DA1B$-egcaO_ zSiiY%r*Mf;(4MnS*h4)Hzoi5ES<2D&+zcIO@OP-CtmApNe@AhBC%u!R6lbSB4_WAB zO=36c8aK}1*HNmh$%GpLMekLXvEH7u<{k;TX?lm!x{w-*&6=0Sf#63Muxhg9Wx$Kq z*^n2*US!wLv8lI)skN-ygxLW#UVM{H)dl&(Ze*RcF&khZ9E@QOc?oSRcaRr5N>ND&^MK<-ZFxAk$&NlTqn;QHS!$SewTf^7F3haV0>{zm$ zW>zE3i`Sks63KWk=XTgZ9R1@GT*xc?FV3)^spoBTtFkV)tZPEn$3xZ%XV`@->OahD z!dic(W#zX!vEXxDhy~+e!SAGcW4{P*-C36RO~7F%QOpssws(WVeRDNxow}Kbn5!T&GR!Uu*JlA&J3A@jAioVK(-KA&5b=1~QDjt5mdh5^|F&RUEIYeHhkX&npju=b>qU3YK zGkUtjU71Q~)7>}1S0GV;< zsB}y2+?@i4<9xhF&sSE0@!v64P$QTh`R^DjaI%zc(|x-WjogxnMsCSOW4C0&x+VYj ziLsJUe`afp8ZtN{s7V4hmfPt(w72f^?WIP7x}VAOVX&1`K>p7MTcJ?9%eObJ4nTJK z_G)+uubvZ7>^A&|c0B@%yy<5l#qRR$&3_m_7RVaUVn_P;FH3RVBN1zTxKhlzJzSCM(Lw8eI9i&`6Og(Rt-M!{Bk zpn`N)?_}v5@W`&-Nq9VPnHFrtJqgbe)yZxzf<ctPZ{HAj@QEx>>{xf}mDy9jotA8D;KiNkIuL`H7p*x`!A8cAJ;einC zIuIx98;7GtM4(oo2^UCTgVfSA50jC?dAG_;#mdaeXjKl0ptp_aG)3t&1EfJnXoDy- z%W(czs|NfY2Ps$i733<%9~_z|cD9Vh^{Ewo{D2$@aD2$@aD2$@a3>L{zlo_q( zD9Vh&D9Vg2Zy04}y5x9w`HijWWZ2B)CzOnfsC6N)GleyAGW-;npEp zZ`0Q!23~>0F+AZu3DDB8UubT=Eg|^>NoMs)GE)AE6qUrbRc5*2c>tX&1eDIgE1d%k zNIfFbQ4vYZ5lDMmS)+SF7Cy#;tEFMPtC)xvw38xP>!ORrLzwR9l1u}+D4gSGi7dPegTMf zJ9D|*4n_JAxjnLO0_t!ST_wHcRoQt4>>VX+N-wz-AAc{_d`F2!+N&Iw$T$;xh5um2 zrN;R&%5)qv6%{{>WAR-)+<*fKbmv6859{vVuNv}~Vq)W~hRsrnd7rNt zwqqxj1lKHmr!n*<=yhj_T>1w?8D$bQ^>taEP__W?)#eSk-dDdoWt@#H_Q$p4aN2W- zwWl9m*43Vwkv%gbduFOVqHC66m*_NO2y)LdZ0|1LL275IrG;YDzRJ7KrfI*DqImI- ztZ$)fTUOp|nYnt&jHtz5QjTo){o(hzy2kjcB9<)oFdQf;4WiK`|mtEy9+J9H-Hior%idYf4OkUreg6-(nE8Wwe zuDkT#lbPQf)E%AcWcDpgCF=1coBfYwx8?t!A>VCLzPB`=)1!taePH%lrtcbZ)sQqNB?03NZFM*zt4^oup4E-R*6F%O>Y9P1q z*fN0jF8d%wmdFTG9ZNU3cM}@?jo4fFL5iHt=nwVW0*iOPfn2S!uUTbNkyIh$%-6id z&mxptrn(2OAo_~dtDcrg>$a)$v{vzeA&?jgl2cXwk#EuUGo zTOsQ$XwydOCf)J}qz#o(uA7V+-Qk}cCbiJRkT^DG5X6S4Z(^ z;C@mUu^6lWRN&N5-tuwb-%c!bmlc}=`W|5YMamXDO!`YsjK5mkg=fSzl&T91EE^Sv z5I4qqvFtq)T{|OL)w@~tHnDMFY+M1O^6{NSa|da;^%^%%2iDF;{lPH&2Btr7mrGUf z2lw*m2jlXzAKWYC9?>6Mz1APxO@?p6`a5@J+K=y5X+OT3^|~1~Z_(?Ed409WEZfTK zYvj5M*P=8oQ5P=vX`EQpIKipfNjcwX$#&ZIUj11L6^Laim}18dYTE95rLdnNjqScy z3cMCmW!dg~rO>wlX}fDOSJ*7orTyx8tR- zm}?h{H}P;04`=akG7h7*LDcANxMC+5{g_aOZpUr2)W_|a`wXcy7p7UG*UkVwM!#t} zTiZ%97nx#4{3~9>!=XGJ$ip}s#E=hdhM(=(oW37Js>bl1uhw=*Sq&7djSvNGyPbb=^%SHEllz2Y>5|fz?6h9sA!E0g3ByR z_#yHu$e4*6LGPk7co$vWLhqt8co%&FPz^tsIg{VUIkHusu+lMS>5tv|)2kN)ovo<$ zbbWMMOM$%CC(w&#&f$-0e8aV;SK%!X~^M&*Sg&H-sJVtYOZl z1=X#LGl}DxJhETB64@G8`eeSa)KT90Ddc>^7IJS`AD3_4PcC7NeU*Yryv5kF*~B5I zu>xT&w=82r)~n;_x%;s4k#&}3IVEJR>qgdw$LuNO3aMK{uIr}lhbyE$5prFBVk0gV zIi$WBa@}0FALFDRce0|kKCwSCt#Uvx551MCV-hLcL&^O1`$iOM=l(cmXHzs`;kK)&*Kw+fZ5;>XQu9|fCGHM3+#wkMnzZrR64A42hc@~ zx4-L(F~&OW%c8IQ&w`a-_xD=c-~DF_lHft<55|9g-A7IQ&wky19D_})bRL4>p*B02 zrvxtcjzV)JG8Y{sG8Y{sG8Y{s8ZA0XG+K0&=z~4UFglf zT6C0XwCE_&Xwgxk(W0Y7qeVymfv@}Y9O$AW_V3ey{I$4zU`&on}jJzi#dqOjI z4Kbs9^a9A(VI|8e*?_bH3F22ELHr6Nh+lyO@hgxZegzW5uRwzM70mNtSAhiaE07?5 z1ro%sU@Fr60uAEV)Nb6uZ;k&C8@GH4vIJW3CN7ZL&_H~iOpc3{Y9KyO=ElilpC54N zds8U1S-5cvH;r$u8@KQh-;10i#dZXcT8K7o`HtDbja#~4tdVe3-U41CTXUI9px>M{ z5MMNxAU9(!L2kxeg4~R`1kE$%66ET}EdxY>2IBLM(t>IrKJREn8i>z3mM^ifQVqoC zEhQ}q#OEzjXan(i$1Ajf_`Kx`Z6H4H1cf#bpSMDx4aDc2I9w!eGPxUYXL%*Bo&lG3_@p-4KC>w~+t5s+N z@p)$`w1N1%H41GYKJQG0HV~h8mO>kd&pTV84aDcIRcHh8dFLp!f%v?071}_2o;=!M zJGofyiLll?U#T__pSMn-4aDbNpwI^5^Db0q1MztmDYSw3yo(juKzt2z3DDKj=Mv;* zZrqZ)9Al|>nYNJ)#OGZ;R+?;Ethvl3SS0oOP^`JmCFm_}vMDZs_`IuD3)KeVOPfm| z!vkALZj9khk~-Lcf@6x=WcJ1pI#mg7<|J3*n*V}1mwsUkhDaz%_3h#PF8i>!kL6HXH^KMk6f%v?e6lown z?`B0Bh|jx4kp|-P?x5;05MQ)$OYU>1G4C$r)IfaR-HJ32pSMks2IBMXQM9Z%Jxbnv zSBT7$r7gB=TVO7MR2NB7J&ooPa79+jiL8=j=?ma#)v$3(tNqL+7|6$)ckuZ$*`43e zSz$VtfM2sQ7Ut?)f;EEjb9FAk^@3XG>RbXvMY%ec;BldK&CQ%k(Ax0BzC3faS%Ri$ zLx^_01r}mP0f5f%rB_(ArRanB}C1nTnN}mC=Td8{}YsEfq#nl+IcO=~g7< zV=lqFIREP%Yo1cB@~kAdWG=z}cC7gvT+PBAYd#I|;~;Yhc!#hObuIzZS&2HAK*xNI zK==yAHMj-S@E3^>^{V@t{ z1VVr8M9KS;Mj-UZ%@=4R5c=a!5NIP1`V$n|2!#Gbg*F1AKS`mDKa`cp3z$uznK2D&GK$hwg zCA}}kc6l3_yNZRM844}lM{zg#j{mN(d-1H93u%qhO}^v5XTRe+VXAz`-z_S=_)pT&4DWlVT<( zZqLa5K$QE`^xUV?M&^E~2=Vdaeh=&HDU-7NBOCHUSpZ&88gpvEok8W3%GkrQyeC@96|u}x|xMoXUsCo zw!DG84?cb?`}vl=H;Qn+VLw6{D$Hea=f_N~&9i84%Hbc{Z9$ZGtK1P#Re3YnCrN!R zvz!mx^KAHmKSGv1V%9;4)_*e6{kN;W>5GBP~V*xjMiIu zciXc$po9^xN0Ut+y;=zfFh0BmOOh)HxWvF}sc({CLzs@X0|G>AG6f0vJow>J~ z#)Wr=zCmS|!twfD3Krs{qCI~NL2pOOE)it|5r|xsT_Vbs0;{si40%Ikd#UWIeU-`J z{S_Ex@Qyh{e=Y*!uZ^j(O7FGjOCWd0nI7umU@LpJHt}8<6qG z$kKzv(&v$u8VF(QDeMqaG8We&eJ2y{-bcFA?j ziM~aQ_qI-_&}(NDA1hMeGA(0qyy2Hz2V8PF59@e1g9m=18oeG&WBB=W^ln^@{SB`0 z(R3hd_c&X$^XwUu2==WEXI%adDTjX@?70&9^b=p3G{f}T8CPt%dfD?~5qF0)ZoSr# zVX);q*q%+#*g6)e(Sy<`K4(<0W!lExA^m@__a0DI64{(rr-{RYvI@7lF%*RDEss_N`I25^Vj{5gm}Q}{CyKT@~zjM+^g)1+UQ zp*MbyAwRb;K)Y(qO7djYxHsN23&>hHI7eNYN3C&hLMx*xvrzeV&ZE>g#@~-=hud`m zSZU;Qzg4Bb4Rd%J+tg}qcZfw7m#DejA@jmR3Bla%kh$S$fOJr}Qw9aEeQ0iX%9L&* zU>zjxa^#ESUY*7H#@*6WbqaZp#79*_*==)M#;sv9gk zmedZzBJMn!Lkp7!#oLDc+(I0)<-Stg)|)WGG_;?Es^Ay$q|~^rqx}IqWS}eX`kzsP zGM8xoUTWE|`d1|+z-?eq5d90N!WT>bKCpu-e6jTJYY9Q&i=}@*0cf}!wL02oCHj;C zmZMe*&jdVC9tp?zQ-I$NSdUU2D{yByc6FTORqZd8_^1ug{#Cg>`V;aDN8{e8U7(0V zRBg`{KA$k$uAWFALGEN>^F4|i&Cb4;J!hT&XK?&CRo-DbxF=MlW23AT1{gT1I)vS# z9x1&3YsqQVUoqmAs)N1z4 z)2_z7@vXkA1QSd~ENqQxsI^X4#fVzBgO5AR9|mMywT>w%{U7l6`X9}1zfikuD)>d>1nS|J>A=TbD>tQGZ&9z2yUr6d)3{6tEaG`zHXxG>d%h3FGQvLbg&J!Cb8-WrlM zcAVqnbEA$z^v;m1h5M;K0ZIF&(R>lombkK#f3M7FN?F4O?BPZepH~60ne&tCF`B1B+F|a}C`;*iyI9&}cVB&M_y*tpXDxE9g>I_*m9%Yu>qnVFfIhiH&Pe9#3p=!^3;89iHRf*lxK)8l#{Z9@5xj5|3>@5@c{k zHUxzKJ){9m`2Tgmunua#Cd&U&E*SPnCg;)%b8rh?)hC{ z{>cTOpj$;f$;ww#gOFX$RGG_$2_f9hYZwpu+yJn+BVLMY{Nk?~A&3`0-xxu%cm{)_ z;(ZvD6d%T5msfl>!x~ropoD($7YJSPApH8p7veWw{2G37ElmRAq{JOWBRRs6uKYcV zlDia(tFb}giuEokZEH*!jYcV z1N22a!jZ0G6x(!OhHQu7UvywcIMVwUA*Hb%;YiOO3u)xr;Pf0uk*x?*fb?9d4jo6_ zL8MLj>JB1p%2#&~>4-asbm$JEh(|cm3r9$!9TTK5y+|)QKnhTI5b5v;M{p}jN{2@{ z^egS~2uJW?XW(*2ID!{2&ZTolI0CvIPUntr1jDfApUxfO2&NLu9pMPh#vWigcZ4H& z5K2hrj&KA#!jaA$;Rt@jP@B#j;Rvp!h`A#iK{tY@-ihCwJBakk`KVmZ9YlH+>zZ>1 zkzUP15wTKp2a(Pl;RsG)xiz$HY`(c*CdkrfDEOHRen*+tD7e=JSCIHj1;2Jd4TY42 zT>%$2uDC^)43xY!4t&hj&KA^ zSpD-=jLm+~h{U-g9KjkYHg|+0n9eHXj&KCa$ZCV;-R8s8mU-!mXeCgcAMglA`eFrd z_X8f`Nav1l1kbXd+!2l<9N|b`b{5jG|LYg=2uJ#I_UN2Di1Za#3U*5@pf99!9d{6H zA?Xp_=4HAn)Vyff3NO=5ff9<=a|K0PhN8UUEAi{R#>LF;T-O(Y z31*;l*NsNOw)`9@*PYWn9JUL#66&G2WH7G}pq|X+m!^Vk-2nAc`(9^e_9x&(WxwJ> zZ)vXC^7a-hL<5S`3BOvRJ6J$9k54v-!EFZA)UDvN=UaJ0j8%fZ1@9U2zhPAnJr>b9 zh$@$F$OXHq5#QHqk=82D_jRj0-`B14d|&HV*o~a^Qba4lIO>(}|GRmB8r1s#ZdUsd zZnM0PaGSbEsQ3d_rUA^u%WmBPv@);F07{&SiDtbkfNi~C(Q)`XA;x&CeW6sB6vt|< z7cjfwV;1m3$BGC2)m{zeKVj!ZsgJj4oDE9LEf_q)vGy zbg~#_^G)@XEUCXZKy`mCmK4$=*g<*`i~i{gfEl`fkqa@(r1! z9b4cZgv(*>fLYFv?#}CaFNSrzar5gfEJCbqE23wGzFP(JHgN2gcY(HBPg;P&*&cwm z)#673#+80F&!rvVR&^R;y=LL3_iX%d-K2a8A`N=tit7P^*>&J{}f0awzMKW*{j^&y*~zgCi^ zca(+rqI+Q-mL%I?=(|I*BOOm(;&wLiE2v zvTiP~5Ph;1z*uacY}1mB_nKOl<4#DcX4LwtV(G2Lyk8ZwVza(3?b8+pZZR>FKRB9r zUor7FltLO><9%C`pQXd#-xO|r#p2(R#dR45-j7cX zX2sgu%5Zl?@6g)Y`W$P9mxtEg&Zo7XM_8=Aos6ol16FHq??m z8dodV_~brnY+YpN}C8dB%n&GEs4N}W{BlZjEdU4aP_h|e` zub76&F@4c14n-u>5BQ^qKSA)DSN2+sNUwGHfj!gqYfR^tScvuJeOX$4wqIGG?K0Cs zH{72`+S;L9jCw;C2wm-;9z}^!Ut&4$4>?@u-&7A-I;915wh*iIFGb0upj|9zjsLeO zN(wr{a-J1(ILhA#mXs%|kcH^`Lb9X%0rilTn?W9Ap&RWV9wn2$7^0VkWMloj0=@q3 z+x8In0)4`5FrqGj*7ZWP75%%GVJ7Czmq*t#NGe+(ARo3r0hDrHvaDZ+_X$w#9?uc6oT}pnpe5_Bi!0ei3IY7*n=;h#P(HhduqxCoYNuuoC z9c92-Kn|UxqaMYlQb)|07BYV)xv);7M>CT|`D9)sXc=@C^D#T1FXZXC1QQo|qw2U2 zG!h%o8Hh-=YOUEDFgl7Hz}1aB;sJB(z$es!&y2#6Sx=iL)Wgv>6km_Yax2l-LU{_e z5``@kWwsKHEz-EC%;KW6#WKLQ5~VGc8GttI65*rc+cEMqZwtL0D_r`D;w&x$w#I1& z$0>#AZmA5|eY4cHHwGg9?8}gUE5-Ci2s2cd}zDmI6N{w zRJossN;iO4m;Og-yHMKk*6SZ{7V2(H-t>8FZu&enZ~8noZ~8n|`g-dH$KZ~`aWTFD z^t}%cdp9A**QdSuCd-Qj&km2WA#c~@r!&Uo={?1$9&c@GAMXZmI)J5J< zK9!2!IsmY|+k7fjyK5lESG2)rF=o7KFtEHX0WgQ5`-T9Px0la^+wP$_C&uUb7XnTg z0T5RBrD$^JNKA}nl-(|536MUdGTxUB2m(vX2RQb zOB(Sf#h;(q1O9=Zo;9E>ABeQslTnY+h;Xa5d>SHOBBlILM2^Q-qUG}uc?prB$0PDZ zv5Fz{hmvk6?Ce+>3#*kwCI(+NP`cLpXy_KkrbWprxB#%Tp`sJU)~$-wG3=gIC`63A zoW|XC3M8U|@5cy@{A)y{wfF?60kJzcUe*c{eZ+zCP5!*ZA6`~laxZ>*?gCM-FA?j* zg^TVc;V28?%RR>I^$mA2d+66hWgcmvdnGbU@p^Cxpu9T-*+2da_Wnyw0$j3~Km7Ev zWF~(m;HPIzcL>5yGkb87u@?~TnV}o^l3M_mT*Dt;no)8Ne^&En8Gd?}U^dcg2V&)q z;iuVD7~AuR^m>g+@8RccCjFfdHy3^dG>pbo#aYa)Z?bl^%_W!`Vs8FN6f@|6rdreM zEu09^%6&YN&zJ_~XAo&xWvsW8!6g-xy_WU9EOak1oAXjtmhzrRK4)$;!*FKsth81Z zy0z<~RKj*C^PiE=*5-DNRpt*YbgiPDsmB)Rn^)nv1LB>rOjoi8aLMcZd7eLy^5<^+ z^epNHm41ep7<8XT%6F`VZg7n5E%F2y!YNw~GPxeHlJ_`qd6hrU@Q3?0C3o=WI{ft9 zP1c_vR{kx1HbaTMc%rmdhCj{mQ_j7iQ}&0Jdm++qIwC_5c@7cndA(80Gw!;m1R`jA ztN6a50=Bv5qGZCMiX5(YA4gHbVY^lFcVShpbOAM0+pscJ)sVxBk+)?uUJuSXb`f=W zd=GOS&k<)ne-7mjFNrM~jh~)H<*4jx#L9U?>94HgMToqM{etqV5IJ%t)N&IdBJUnh zyPy0`Q9(rB^+nn=7g^}O^&g6oNxuy(qCwbiKaKWV;q{8~_%7u2T`YkILtfoQnxa0C zWjfjsSSxE-kkupNeYqbM$or>eTCE=~biLzwBv|bl zk5$G*@=9cFFao?sg!+rJZ05sBlbw*`hoow&Mze(yp z2#M1BpN*8_a9{l)Y|YueI-|(eNcPp~X6S!mU%gR%`|2-90snv5SMLNh=IyKh5tYl^ zS7%-G_SHWX@W1=&(S3E8+W+f)^+vL<{-fx1r{75T)wyq%x3Aui+qvO23w8I^*+SAW zY+s!XD6nmKvdOID$i6y@5*YjH0T}aNVD784m&w4;0jY91edrn?ebpntg<@w8|Cd|rEc$=j7JDPUZKv<)COl7;w%DOR3N=(A?P(g zAiZWG=&g`mvk;W;FF3tsA*f)nerY+bW&Q}B0jg|H(B%ru#uk)pIkc z{)20TOrO=j7OQK7Oy8B5PI6q&^kWa9)t%4*2HT(MR3cABX9nn)uh%SO2D0;n*DPcP zag#51%|d1{CCpv3kQqWz=o&$;S;!1!bubpT4{k-hGdb4?0bL_xa;_1A$3d1Eex-1> zYZfviH1DqVjbICzO6os%%|d3RB6qhB=o%q2>JiCm*DPd4D`?j&WX33H*DPelDrna% zWX8Rx71C=KGULAyutu+0$V^CNS*~5PkeR5UU9*sxq@Z21keRID7QJR6v!8OdYZfw7 z6x`mS5kCXSOzk3^cXkk?&Qy&OaF<@Qkl9~Z*)B|Ib*DPdaDrna%WDdMguzU2H zh0H-a1+;4xG6#Pspk1?&In>8mTke{L%wbxPU9*rmyp3S(nuW{}3feUbnIjdnYZfxq z3feUbnc4eFUb|)?Ge`Ao*DPe_Drna%Wae3Y^_qpu{27whu35+|&;i@7S;!n^%{@Ax zYlKX#YXli-X^}F(*fk5ZBY|_S5wsWHkM!p9Lw4w8yh%Bcybj4q@K%n1F`zhxCz4MB zXy#}anwv|qNd8!osXj?Y%8y7QI8y-*R}` zgyPu_KqZl&wWfV2D!)RdIXrDZ@W?e9adC~1S;S^ae$v_VR%S2dhHG$RDH`}PMmc~D z!&s8ttWYwzv|-_;6D2wo)R zH3}UT{DtLROP%?pOM_R*bBjX92bU7JRiS0UL*#kAK44D>Hj>MYid!B`U+diSCdnZMQAd%hOn`-M_Gm4*{5%_)hdeerd zmi#_Fk%~NRQH}WiT1FaQzO*~?NZe~$CDvJT7itX8!2(neDc^>_$7maIRPdgl63K(n zyzLK$4&c*UHoH9eR#K|x`xLtrn;UW2kMTM_4JEr{a4LZ;v1hY{R@n}9UqWk!P&|I+ zPt%Ir%fh50atA^i9>4OZvRtjmRI5ljj`T&cyB2#dmq(m0Xyx@HhK_St_;Tm{Vuha= z7JlM57T*3G;;+^HL~nm=ig%JqU*lNoQrV2y;+Wn!P3>%PG>h$0NDbyW6zXcCC4hs0_zsGINeyR@- z*18Ter#9=$xvZ!7lotoFdb%Ug#BO4NTWWNrEi@mB`ZY&Cp}^>SJ;rM3!L4$m?A20p zT!O{8N3s2(E(x|X$4f{mms5t@smP`WU>iY>a%^Y290{-ZDfZ>4kGicxz#m2$Qb8Bf*5o{ufuQz>5` zSmi3(QkMdd=ke)MMEI9~Ys-E=P&>O_xrudoYt_s)RI~)$Pc`#0OH3XJRY=`mW~to? zie_GB$-e>EpSoPiD!lzOi~E2ze1ktP;Ag-VUp9wNtiL zpym>|D(xQOw+?Blw0nfZtAs^qJK{8_nFohTyDwCd>g>Lp4n-R^R@Su^x(DKWA$nd_ zh3qavzaEl38gB-P>XKEN#7ix7Z^Vb!Lw2E|uL;S17w6i49=A$1!ET!V%MrDYT+N3_ z(*n2GvW{=BI=UM5<@TiNsELeLKO=1jhQ=lZd=6f82-dEjBFkn4G_yYvo=SEK|4R56 zjttnT2j}0ryVxBp2fe(T{{=Lksdqa1N8jjxKOj zCjB86!f;N^RbrG>;(dtW4Stz&?b1g=wGq$iptAUfIjZwjID=93|z2fq#(`z{gy+6x5pxQ_qc2ePPg&?KMbmeYEVZlvf4uT`4LenX_iHXJtw5<>duOiiIu%+ z=x;-^mXT(WS>*%BC-aJ=H~2y-`2#hg5^JC4omHT(p}K>x_pU~?M~tZQ$k2#Bj2h90 z5hI#xtQK47K5>1)DNjKn?H6@QbpMPjF^LYf@Cit|-Y2L_R z*o$t#M8_!4@1k`nuXf&%*rnFGY?B-tkTwo;$y?6awJSR5V8KVA&K&O&Uow%dEMs^WrkXF5PotHW*D!nbzzylonv0TWdC5TIoHcag>`b6TmKW!Zw>}ZFZz~{F&BfRZ{O;jq!7# z5VPE0>yh<9D>p13m%iuAI&uqJAYR}?e2cCs=Tb;(xl3q+R2jQn#B2NDdKojq~;V0Kh}ViAJ|L-$$MzEVIXM@+2NNA2k!# z4?W`KEYp=cIH2{AM=!jbXp8iW^EJWWIIVMgcFdlVyHuutz2tCF=Zh$~#zh|^O!#>g zx<2u%u{EEkkBJKnwcNrNfLFf~1LB-ls^=b!d&Bt_cDqqN8!|mI{uP<70Iuv<{CE@3 z;Z`pGF0rr#8|TV&NFGy-E7LcO@;eK$&p#fS@|ce26TX`L>a6%2qH4Rz#O>X+yhkkD zkSlL^UU?e#Chjq+&n59!4zW=APR^s$IF`UhK>25anT+HG-rxazHK7$Bv3d?wHS_hFy!jf^#JKP z-Hz6tbAa@m6A0lr=>gJjZUHoOYYGex6g&Nfus8@(c>g7^V|h}{68sf_JHJ2y6drXW zyRpW1lU8hA;meN!EYo0xUjwXt@ZdUq@Zek@+*fA8hr~D)_E!wrZvu>FckK$vIk(xYmKx*#?vijv}= ztkB{=w9s7=JCbCzgTIiCHIWxu=&p&KT@TrLhTa*Ht&H7X51H3b3oW-0r%_+8hwNBG zZwbkci~SfSn-rq%G!j+O;ye{;9ENrx$FrC8SbMb@*ylr3kkqlxdk}4}hW3I?P#;7Z zCmk>N9HL{#siD2#bATQUNDb{pSt9+Cuo#-cgO-Md_IttiJU%oug*(qiJ)FkXs5E{O z=+vlQ_HAH4y|YYJUiLZg>ma||=PC=QF>0W%NExppuNvH|vVa>~@s>ALEUqjjZFs3w z`Y{XL8~!91WL{HG*$qQkf;3g*r+JRAG_IBg4>@>;kNt+3YX@i@yNN1}T^B`3@v8=^ zuCKJvwTL|zEndj}W#}J6veMYzD49%D_OhVLSb#>$tALnAza&mBWv4(Kj0L$M!UgY6K}-6 zTK87VocCVWup68%-C!ruhKggc)8*?8cLG*nunK#IR9O^WL#?j$FN+pCobUZLLzVM- z3*E*3qfu$cv)^qehfDk=D7)-MzytOoVcJ`W(K*<(k0rAG^zmd_J^-{sTmfnG)(?of z#Y)*3mNwk=s6)m{?&F5u6OxT|Ge}meGFk1aW zLq8jmo#*q}lUIz`NP#K8qlNC;h>cWbVEyGR;dZMmDr&Aw6$QM+gyC%IBk zc3F-eweHhh?L|2}4@Re+Gq9q?9j4XekXVHFO)@XtJs!%qd2;*+Sd}y0{J* z_vsoMx-=v^!)+v4ZCh7zpKv#%b0@p9TWA8;@aJOu;BP!P^5D(Wb4U1HU|41J$2On} z3Da657TfsJs%d2s*|kcXqkn%rWQz^GDI{y=zZWHwx?OJQ zuur(@kugG!VHCr%<#jafjcvw$+ZXcPUEqy*K(`AJ75xTzeQbPtk`uUvj>-Wc6ea z(obH&8>~0Hh>b#_F_$Dd<|hth5KoMk#KO^%SWt!_9xqsQ?1{)w&=G9?V?a=N0R@uj zT;YX`79FsnXtE!oZzX!3g&?)15DDsv z0>gW%4W0tYCT=TplqOSMQUIG8Sd{7qohF+Z*gTa%y_3xiEJ?jW;uZ#$rKUjUWJ?3f zQ|}UNW#FLHiBMs(wSkqX;bhg;zzL~8p+?De23Dosrqm?{&Pp9lAv@TehI++evZGu> z=$Gmhhso#_hso#_hso#_hsiou946~rahR-d{E#zu#bI&)W`u<8io;}`D-M$r+_exG zr&(QU5Y;=;;4LmSg774Rx4G0f!jla)?|J_Z&es1~%6|%XS^b1qaz}C-^w&K3AYD8L zmtnX}KExzX@+AFS>spdG>wPFGeRJ8^Co)F^1q z?SeBDH0O4~8U@X{U2vv?=G-n=tDrfz3!={Lg0mG{fA1fI=2g=&h3Kr%IXIAtMj3p4GM1aw(Fkc@b8Z(zo!bRb z=XOEPxg8IF2bT>Iz1kuC;PR=`CYxiy>uiK8sswA!?Sd<{*e#{nh1o*VG3*dN8&F_7 zgwH0kjw6fTEJ|P;!k>gV{{`kDeD*RK7-l0iatJ@T>L=jz5Pop&!FXzDciUp!P5j&i zTPYj+8^1#ju2V?;+y&Pwq<-##8x&GMcR|F@U2v1)W{RJ?AmZmP_$`YL58($9KX*aI z&s}h*a#<*T?t<+KEfGI=!CeY1l|%T!J&S~=9KsKFXj|0SA$+1lJ&{BB!M#hMAU%Yi zDZLsratJ@uk$mM4en!SkJA|LbAo8sQz2m@Ng?z=DN7ZcPyy8o z3C$df53@|n5wl<;2Al8@{-HTMMNvF!4`wTo&@!Iwau>q?bO=A&{Zggs`6j9U!y)`^ zpNE09L-^Ug4`NouaXs6QJ%mc+A^dEAriX{{vjcR@*F*T(f$TitA^hy1=Ruh}gr6Nu z33G?=vqLCK?ht-9dI&!|jJ4zagmMT!8$E=d9sZ7RwnO;Y$RYe}CH0>>gr6O$oa_*O zcGORj)ehljM=NND@UvqSv_tsWu?pHD{Oq_kS#thP58-FW_Y=?#;b$j|6VMLfXD2FX zhw!tL6tqM5*~tppA^hxq%GnO#XQwD=hw!sg7YJuNgrBWCLqI!(pWRJ(P~la)Av_tsWBNenm_}OX&?GS!;_A1G1hw!s=RL^z@KRZ`JJA|K|XZ6)X_}Tfq{~hgH zDg$tCzO$v7AXUa9m1y_30&t8{p=>uryXcvm)BofJ`l1%kUGE#;huAJDmO3cx(Ie&oPFNbI470-bN zD6Uj#*5Bq(B94HRH#~%Y9C#&?zX4S<$97jXIa=WE&++=#zvCl^@L$VO(njiVQc4s3 z=Nu)?rw%2`?1EI4pEjX*wgXV(b12$}qVg*=Cx@pE2p+lCATEdSvy0eF#h-M>VPx0f zyB&BXkW=^#zGsvp7}ug3{Gd=$&g3`vQ6W8r-{2>O^b~%BpP!O^C35b*!7mEUlr#Aa zekCNYLp+Ax0OxfDe%PgW5Wj(w#Y6NZm*P?U26}!xR{9T@;$i#-F~fi5Qap~|puq82 z8!P>%OYuN{gM{I~aj6LuI_X{){@=P359K#V8T}6~wYn?d!0aWyCslhk-scQ1;XzMPQBHh$Y|X2#Z$o^GElP@4kx)nf`Dhp>Fca9|2c zYrzVfDRc)-foalqrhu)R#U72PpV!(ghZ_C%vMCYk3-UJNB({XTwCxV&DIsk;Q1XKZ zf3{K{4oY}LLPE7fg|b>H6UjS((2o~l_VL0Q%6YCAt71>^O4dL-?sUDF=td&3M0*;$ zUVD6LP{XwraNs8s@xJ}Ze7kJRPbT7h_%hm-pG?HVPbMBied0qjPqsMB zGpIJtpxQixda|4j^3lOH&g%(s%5gR2XahN}9w+$5l=VF4O)>nrA^u#G^Cj41e43Vd zMy|}MQYOEMh|3odDyM!CQF05LVUv>rZZy6ZhxM14zE>J2%|3B42`|$K&fVmbjRtWl zzEhDaihqinDM@T7iD=-?@|{X?oNpFv;V#&|QEy3Qvf$Pz-en{*#k@C0v2s*1KhB6R zk|mm0e-xu?UMMCYYF;Q0fYRwuEjAFu0=#Mvc9nDFCpyME$|ol$DiYtkU^MF%XNKEt zyWdtdpR^E%ZEr+2{gmRi7+L726t~4VwDVI6u3Niv<8HTQd9^m{>$$A!;+hqoQoM*B zIeEmldi<*-mInHl%qc+r0>wX@YaS^v!hx zyYe2Sl%r|oy{G9(_>hBr-81@93*GJCqo9h;KvlFNzOQ)TytxP1ref8$GVAs0!J2i` zhN`l>r&_olnA721e`)Nl(o$=Y)*o9kU8SvV1~hF#kgh8{XWYxg|z^P0lm=zDjw4@_TyuQ5&l!2Wp$fcB`VxECJ8VxG4AABDv{7%Alr zmh!Z#H10#DYANZHoku5IdKUUATESu@4VJ@$PqEllIu94;+*t?3 z;j^5mzV3CRE&{yoQX~w&60vh2D6Vo~{_RTiWi-Du&Vy65;CC!^qgO_-|6;JTze*Ac&o~c9hMjiMsQFwHo0GMF1%84YOIAh#D zXeTXg57s)!A7`k6JPLDKhvUfF;amFbDaWluhZh@Y>C*;o1Y9O6Yvq$;Y~#15jitU@ z%kh+6t8lc1ooz46Y3DZsyNZ1QSM=deC;aSNGX&;Kv-t_c!+(p&^3xExACW(vjtJd5 z{({K3mk=5MHhy9o&p&&z9}qd<4Cjq6gkabQJ$)R%#~9ZZKjXVe z(uUK=^+#m*Nc^;21C~<|nQ$ES+cRPzd|J>w$IlJk3eZ4@@`xEp*?w8TF9u zHg?{0W$Oa})OyG^8QDD+x;x$0D4EE!h4Q@R9*&|!o~Bh=K&6GaUiG6WnQ+)m4!-|O z6g5#snqfeF9~lC4kb7;Kvi`|JTU*a1CdrsxC7pN`;Qn%KyGo8GJPlYo z`EW1jOyBL=zoP|2e_Fe ztD}_=-PCG;Tm4o>$wVt9h7K$04v92Gzb84O++)vUqy6PT6|})x=e>d>;;NLp<#~K8 z(k8EfJ-Zz-KCPYyyq}D8_r^$z1OAicyPbPu90m3}%XwolB;Fh2EO9d+Y0^`yq|Kr5 z561=}bKN?YnIKgbx|d@|)B!VV zmZ?8LK6x2}JHB;zw76uUjQ-w1s=1#J(eP_jcz#K!!NNiga5tW`FI0aUJcVSfi z*j*+WdQnK$zmO;B^F$GecN+SgkZgG2tx+-^#wgFY!l$Ds;ZS|B%Co^j*RAlgDA}|S zb*+)KL=$NJTjkYXBUTkugp+5}CW)$X;=nMIB|cu%e>9dVK+Has=KvIm=#OK$|>=5NvXN0d4YQKx&g43TTsS z&JS%;;bs?vHhGcY6BmXysqoQ&yNFF*TtFLCd)y@P9i)}fn=w2^~cZifNBK~CPwnr$rd#|8-J!F-Jo)eP&rC@qJ zWZMnBCnS5U;FKuYv=H^Bk=zWtR`|BO3Ts@A@s%T0w4W?=Ulsg5%2aC7zzk`ah3>k7 zZ=z&kvttasASC;`Am3*DUC7D%+X=DJEk^V09F^c(wEJZpLmPcFK^yJ80d^;!kH481 zfz~;huzU&qR)Ti*I$*V>cM@}eZ?iFcGQFQ5FV5H0_TCrzyMgDU>3!jb>AN>s{8R5q z&>SWKmfF5%qJ0%s%PfC_pii$(JVq%Z7SMep-U%Eh?0r+HyirdkgP#6uW%hPZbm*CF$%nXb2(yMsIB46 zRY^{=@FwVjC**a+xIkLtJ*4%=Ec}85Us4)h3|-21?(0RHhXeNd{gF?Ol{&YmFxaGnOH4VtHd>}TO4z?X@6t+k2Fk!k>2j9|Bg_(tL5C@*Q3 zw+x-M3cBlJ0qU1mq_j&1Lx*~BnM{BNLo1OPLl&i{XBu4xK()ieGl;C5p@#TE%&BueUQGyS?Nq{HGWUFxk zuMXZ(TrU`Q908=J&-)1tv#5Qg_y|O*@2}W{&g%9VLcFtp} zabuHbncVq`mW)YTTQ4xKS;(a72!}Ummr_RfO}6a)*U( zSz-Y)<>_=zQ-GHUDJnactiHTKZp=%m@ zp&qhXhCU}GYZ>GASsphT=C3n!SXq~ejBR6FjQ#s29jbO4@qNdtW=??UJR`1}xj}}i z+mNQSpBrQ_`G&B}er}Y(X85(Cu5OkA<~M*g3fTpgt3A2hVrmLS# zlGevqul{XfH<~D~cA~3ZhTcCU+n)GWJ!DC%Pq~He$wXUJt1h=ehCU=DdnPe9N+v2j z*U+Js+yjX`PTv0fu*CIFx?M(h{8FvMN>uLlUZFO7$tK93kVZS}mD~!89kdm18Bg^= z_VT1`8gn2Qk1-*<<@B5<;+64MO ztlz-oP{>np5pXl=B8Ojz)J+fwi$>gMS#zA$;yw%AgybA%uH9&n775XwRoYEXt|M9P zqR{}^wJ~&MNH#W^$I0t)C@XnD($yILTnn+U^Q^x&oz)*+fI)-Q^I`=nL?MKHDAyg^Vddb*+VWDfEtOnP- zY6)40Zepdo4$0rtLl&Y3gk+`3JL(||(T9g*9g}~El8HTr=zBu4PLUaQzqah*^L1Tl zx6$|~t9oum<&kpQ8jn<|d2}^6kFD9}t!|?}f4wwD@MgG9gj8cpD}pG6_+5vV7;iNXp&> z++_LAe^DW85xWJjeCNNoa0+Bw1$d+^8+}ozla&UEhfdI%OMC6JOo0ju-7$p&(f#t8 zb=o*X&9HDj@cQ66DQ_u7<2qT{!XEf$VGSA8PF99+vT~y_c|0udjl!FwWb(qlhaBE1 z6cK+i(pDz7+f(>XR74?LVCZu~vcDI)ibzd_Y@?y?3CX@JEQ^v&+iR$wEj$o-t?;V6 z3Ts>+Y%5PxEpD^W{am;@%2aAqf`_tuyKvg}htrcHLl`ZZj_H(=zc8B^o^)u+B1fF&B7tTYaKV{G1a&?ExtlUXlUWW9QCDn)Ef7u z^)jlV7G9d8z9NrWs~S_&!y~gwe7tcLmb4myVV7v2OwEQ+-^4 zuC^bfPxW!KoOaD`^{GBieC>P!NUX23l{D5uIDxzg-8Qeg3E9zxJ~t$rR`60iWZxRP z$tfzgJGS8KddLb= zGQDkcI|glD2aZf!&^QIK4!R=+zUYpyc}M$l`Yg9(HQMJA*B8KZ);3zcFfF??G>$Qn z`&PnxDbiSjUaIPWet9)luQcR&Vu&(i|Rq`CF%fw zZ9S-xN}$g4uZ^O_@JmnAaw{x!=lIXmLso6*4I$ZK{^#|Oy=LgIL$djPWAyyGhQH70 zT4=e2ZlOQ49LI(x(D#I7$NAgqA=_!_w?ney{Wt3& z3(-G>WGDE))I%1cC)Q|<-EzMZI!2yC)H2yXr}&liplXQv&_6ValD7NBn3kVm%y0GA z)I)Zlp-&6RKJ#y>hwMf}KNXVQ@9&P1$-wZvp_^G>cP~b^n)@BYqZ!R~`=!KazOu0I zUC!GuBper-$}oR4(k6*Bgr)^s(Q|hIu9U-e&1Ks|YC6go%(l?ADp&$Od1@TMBXw6A z`qq$aXhFpcq*si`4;N)QKzw5UOwUxMy=vjlD7ObT@^#GmyR5ABzdMxe?{Ug@8eo;} zQ#lAIvUM;9BQ11a#FOAtSGJXgJ~t$55S8s(yhOT3RJJ`vbN5=6@pmZq*_><-i)_E< zWP3PH*(Tf*%JyijY`!sQZ=riUenUO79ct*sAsL+3=Id=GEZc2R*>)MtMQ5pO8&U2& z>>R4z){AV@T}Qs(;oVtnjW$|7ZdSv2W_$4P%#FzG@M4+CNcW4pEs)<6fw zxCVM)cjpGh{tB5o%NE{XA?4vux_B58yS@Qlhf7g2aq%!T_82Hf6+;T0)=d6!3Y6B1TOoTsxy5HKz`KSrk6#piyg?Qv2#VYEvEfhA2Eb zkJjsVC+BC~w4t|{!o!cW4@Dz3Lz`ot5&+yLN_ONBi?lKDlKIH zI7i8f)@cZbRWC-#hGmQKvF#{`HzoF0qDlel$rafott4u4jFXeR_L5vRMC})ALsVX_ zDPXXjsC{Gm61AKR7xU*x{OGZnQ_fa-&a=>sip{IThSQQgWHT+cmZ&4f{`->AsnTj++xo1sLHiwDgZ(<~dXe4e46UE=e zC{Be_v29_Z_?sA`*|&#@;%{QCX5Semioc0*ntfN8DE=lU%n_bXg^9m#l0A`|%&FM3 zmYCrO5-Av^)G+JKDkRatZIP0SmHYt#{u+Fb+Roa*Y98NHc<#3B2dig_=X{{t!Rnd9 z0QF2^06kOe(_$;~f{dOi_A$>C2h%e}2FIBNL(deMffu7xdZyqfq2AMtF?4$uVuqh| z0CE2+B%o)CrPg#KFNJXU%NzzmdZviKK@I&cd8R0+^-NI^dZwTcij8EP=YyiK&-F-A z9~6Z>kAoJ(2Sp<4gCfyH?s*7(P$Zffs6HqX%?wl@6p7{rst<}p3j@^$MWUsF>VqQD z%0Tr&k!Wq8`k+X(HBfy}B-$CMJ}43;2C5H=L15)%#HqCO}R zlMFT=6p6_On-7Y_esT~pR=U%rvUsgcOqJ6dvC>^GRUHRhWj-;UG9MHP^Ffh#kSY-$ z6p4paJL-cX@vtgKeNZIwd{CT(47l5e_DEx)`Ag4YD(yp3bDk;agMv%-4aBgbt|ge1 zyWbICHxX_gGj{DfKY@J7j_Z)RkKFEHcnZ+s zSRyrP4ruu86CF#WCMy&VxKESXPobpef6cLL3Yj+4+Z|KWwg4#R?T)D`M!~|%knMK- zOEn?agW#Roe=SlP>g|rH*$)(=WPW9wn!_lv72^Zs)Ld52H^&mGd0MbKmPpN4XkPFL zXi^IlQpXahqwv5(z9q%H-7yt$ERkCDoD`srB~ptupE{OE9j(yG0S|AaB90|eQO6Rg zr6i4&nq!I7@d}z_iB#0FL@Mf7B6Xq?Z*swdRBzO=MCv5PUgd%xso$t$iB#0FMC#O1 z)IR4}BDL~u2%d8+ky^#N<{V3;R{vcR2Kbbu5uOSBcHBMCv>RH~GO5 zHv9PsZuWylWEFKRk-AW^Tl`>p3fQP)iPQ$gZu4Pk%e++7u|z8BSRxg5ERo7NmMDf} ziPUA^i(Ys7#oXvfUCvIJyWKH$#Xb-%ce`UM&#{E`e`J-8VU8u(fCAg+i)=E1+v%<} zixL=)B~q+2{spFE3HCA>21=0H=xkVMc)Mfjs)vA6#}cV)o5QfQyKQ*@{MgYCqB^%y zCG2lJK$f~rA$2T~x?Ul5ERniFA$2T~x>2F3U=g^bZc<1cOQddAXjZT^4cu>8bm&+j z6>%()xx<`wku8@OQh~nXlXGIY^Uxi6P_naTkO!bs4>S9M2UJLjwMp} zc87u*$AcDYfiJoSlDVRHu?6ZE-Gkp)(M3p!7sUg7ep56wi_dS0Mj@Uk8U}2#=sVV= z=pv+~i#j1CFdr4^(wo6fd{m@6Qbh4lk?zDH7^P*pJi*e|pi6i~XGobhK@8vXKSzZ# z-I*CwJ^w~3an(>XOQ@=m0IDLkZXe$9*f6{=@de1hkuuYdHph14Ae!mV^w3p9W`K^O z;eClT$Iw+nX3!r&nRC^U8B7Utt{O5!C`!&%!~f)ciJuB*bJb9LUt;kB-j_(d=Ug@X z+kJ^yHbSYnYRHUMFn3>~g1P$=70lh2*h})7tA@<@u>#hF_az=Apt)+uOjOWZHDo3! zXs#MElNH<&-j}GH%~eBYih|oa6mvl#Gj)Ye*a1WacWEyD!n|8{U_Az2r4l4VeWx1e&Xc z|C{>~X_3-p%~b>KNZ_2ShGsH5OF)Os<%eAjqu)PaQ;FnPAXkF2906lMaa2qs8v$tH zXcwBBb1fwIlVqw-l94hQaplCeRbq}O&G`fT;W<1julVzT0cv#)^>7X);s{82Lst#G zMCi?+YT?-KRt#gL1+Qljs2DVaI{1jI2EFo;+-W2AQkv)ufLES0pE{H%b5IUXn@~L4 z0jOCy6zxM%`4u`Lho=n)9=R??-0n+cGc}H9TfdB?q7gm8wCI5z9DrLOj>+iT0E%3B zW30#(FI|MSygp>lDdDpDM`0OIp}5R4U0;Qg!FICfr%=Xg8Ts^nI9Rpf3#4By(H#C^+L&SzhmpgqFTBO zS)WRMlXx-5aySXW{aDW*&dMwI;mW6XSHeS9@JlO2)mVm_3pL{ith2no54;4($H>bi=U$|>$JfHkr51x9boinlE37L7 zd;$CSbC^qLPR_9S@+$*gC#e+GDQ}ZY^?seJ) zicWj+)A49N=xQ7V?YI^CM4WAjKfM1Su>oiFoqMsK`l)JIy_?r-HU9yrhhe3ni&S$1 z_0#nsEK-UE$yDzwfMsGP7m;=1VbrEGk9#?N+uLrO_TQi!_XWY#IgV4vaXFHd;}o)Z z1z=Y>yCz`IN5TT85jz*4*KG$yKH60q)4MFZ9LOJX%ome+`$xg;GLkQzg6xY43Uhf! zxd&i(smu~G{|TViBOvu3+%9AKyoIopt_?#~yiVR@A0zoTlE3428`- z$vc;le7Us49wS}2aU=@IN1z~hAeZMv=6MoHsvCK|`3t}@5ntYCdhZH#Bkwcw0eU^J zVFArx>&A7|Maun3U_S+SHQA~v29>`r$8-%^7Oo^W0-4l=uq(Vr}IIO+sGz54*yyEA?~8H-BBqUfw!9Yysa zhi@%sa}&k=l$Y7-_7ZLE1s4iB-KZ8rfZaKPpQpgrA<64@1S|Qzt2VmxEqt0t?0snk z-y{9cOzOOf^!G~N-C(pcHmOqjKIyy{kf-Z-((IUm$~=rDHKhBghUQq(sF+iMt5Cl4 zF^laGUo$>mTIe2G4lccC1J(OL{CNF1MpjWJyVetP9x*k<^d)AHl|R)&_t?Er zstWnk@;<(Oq+)w)t2Obq$4{ z-@(4O5&N{ZkU623E@W2O0%pOLCgzbK{O>|0l#O>)ai;s5!b@XPUa5y)x#+*O=N)Lx7SUh!0PD_8sm;=b1?GEuw+M2&T#DA64>v1(4un%G3Kk$blSMRu1* zQ0(`lUxqO_){R7s8-a7I+Z^O-;x%=S<^0u!z4s>T#Uq}^xP`hkTQCo!*I!t_6-a7M z2~Rbet1NVXYq1f@EzZUdW~r^nafpVDV^<>mYJ1c?O%jGG`t{kya7J zmu`cp>Q4c*y1E6}4W_b>`JMAx4fU#8_MI2L(;@;;F~8$+mR$3%I5`!y;*ZX zhQD_11&+3l=zPJXifvZuE_)Ua9W;{G`C&@;GLlrfhgsxT1jP}PfK8rrUI~PKl+yVt znzdhs=k{YH90Uvs`!fr>T?#9*Li$^HC@9wD3cHPkU5q3x>^Aba&$!QrIplUb0L5JNYt`F1ENt8hVPUdxx`?0@CgAx1S=hN+*l|*rESf$63<~?6 zH9JWP+iQg!bGbIspFy!ZSJ-kE*7n7)u;mnZCP67oz;gkzuod|=lLhKsz@V^zHJdAi zEww^EweTZQ&`-A3Yz_-+{Zd%i92Pd6pcE$HIRIH0_slU*Q8mgYz@xyRyY*yYFS@-} zK=`oy0NC$QP%D`TzQBoKi{FE@^u8BZ?s950uyJ=v5^PvY=IvAHRmj%>=NqnonnDP(G!vgv8VK`+Z? z=_*FS!po5DRQ!i>aZ@(Ee+Q)4rfholK^SgiKOsGbQDoy*Lwc^fDB7lMdY%fUo3iQ1 zrffR0DVvUL%BCZmvgt)fNddYkn_jFubyGGS*_2HmBduGyDxeFq^l_xjdHYXCH)Ye& zP1$sGQ#KvllubuBWz*43*>rSMHXYrRO-DCn)2H$ok=v9_uUvu3rSMHXYrRO`oClwN2Ud8U<}rHhrdowkexltDtSlrlXs(>FB0xI=U&Fj&91P zqnonnbCt7g%BG{6vgzoiZ2AHvzRC~Qz`D}WP1*E%#hSPObaYcT9o>{oM>l2D(M{QO zWK%YM*=3?v+muaT&Q6%yluci8yI{@Rf4a`5EL%uAhHc8S0R^^ASvHw<9Qjn3MG1^e z*_#pPzrfs-WiOLW*+-EY*_2IRbue(cDVx6bKD_>GciVChDB01uDVyF(4dKxxo3iQa z6w*!E^z{nqrfm8Kg>+Lk9odvkM>b{Ckxkk3Z&`G>DVx4sxl{+wvh>KNY+B~XxOPUo z{ih?FvgydCZ2F#Ogr{uErgvyt)YzshQKFv6rfmA&=TL2IfVSv>^^*T>o3cUaSg?~# z*+AYPWm7f?H)XS3s#)59*p$t7XJ+hH^gNqX|6x-$+vjLtZBsVecTonzEk??0KiVAI zk(;vF{!9-yWwQfx6b=37(;UN1+3cWGK$+W=%?_r7xlP&Z5Q>u9l>MLdpTABx+oo)- z|9o!BW-F=p+@|cm`Op8YWVKD%>}Un|v=7e16f`?V!JPkm1#|xMU(^bP{_{T)&^BeW z6TT79Hf6ID6|_y+>?8$kQ#LzULEDtg?x&n>Q#LzALEDtgPHmE9jcijkTU9QgZOUf% zS5~$uo1I=QSlg7%&Q#DgWwQsa60B{?W)HeaK--kf9=uyX+my{7x>rEkl+7Nd1?Bwb z2Ms8dZOUekP|!AIvqvhJ^PjI^&VPP)$!nXk**U6b+my}DRWRp2-|8Fs&sVShwkexk zphKW-%Kn@Gd``1srLV((K4Y{<>9V#dOFI&{&Zg{*NN+Ab97QntZG=rFk{gh$`;E`! z2p9v3qhca?7l0OycA>f7_XYW?BvXBo48p%7uAJDmO3d-3Ie&ovF^6a670-7*pjsh8 zvmTN|i8umM-f&a)Mes@_2Y{-DW4l{16oa(DEkK~WXb5%ikxkh(IZE0{y_6>UZ8=Js zPaR5>`9=;;n@~L40jO_tDB6dj@+;I3sVc6x=4S&T7k4_+jPgI*gfIGSJPs9Ma4$d z$H?4Pwrn0})E&?ki(-$n`Qgu6F9vAyB#L&wb0wCmLv8M6`-Hil(cE5}DJ<$mS8hZV zwZvm`#4m)zOUd~aS7}6}YKf1}5$_I(t4aKZt1_bLwZzxti2q>3?c63(w{x!A$R=H- zuj8om??GN7b#Cj7zWr$=KTQ-`6;fWUIljh<1h+OjNS4*i(c@)o*~)I(O(k4r3MOMnVYqYehKyYiWv`H-O z4@lC|M6k1}$;fly| z5bTTP6IJLtEc6p3b&?gLcQ{(~{R6fuNXEQUUzn>z0aD+7fPt)#28s4D2bd>@527}3s${$VeAOEw|eC-SoMl*Z@q?$zV{b=vSbTZXP||+U{!7l zRZrfgs_8cugnD13ulGgzdS9fUl)EH-S2!Ay_`X~l8PjVceZ4j^eheNbg%=zFe7q$_ z@xluk#kG-fy*5%1dTk`5g~|AxkfLx00lh&I+$RA@#+&p>!;VrNo1istw*>YtYvQTr zvAI{1OwC|Wl-h?uN$M~LyS&uZ3~OBKK?(iT7YK1FB7XhUh4_u9Uc+zFi*q$D@dgzd zALJvYPYFs&_e1opWY5nK1iYv+Rpbr=2`7-es4|tis50mRji+)KRR+AMGL^fiGRRQu z+(nhaD;mAj}i7*1BXiz)+NRGG?MR2jSt=~B6i zDg$0riR1d+5cs9l!G5AWS1#bfU@^t$Y&BXMG^EVk3_LO57DK9sn=kb}IXHrO%UwT! z@^-(QV)bzo1zzKV&nQR*zVajfOc!(@{Qx&kU>w4}jqFCar9!{K1%0T_32u|Xn_a+* zDpL~;-r@pYRGFG&@HQ9lqRP}{gSWeYduyrv91VgW2?YE|AT?E_kKrSMpqk}X;lnWE zu@jy735}TAk=}+3%~KCjC0SlnnR-aI<7IhKW$Iy7PBP1jDpR|(wRutHKam~h)sR~* zpJcuESqb5pO8b!1!@^X4Brpd+(aj);-vxtCAA2dHX}Pm97Kgm+&uQ?Bm6MWsa$`1g2jlpab&4 zOUMVR;zrk(LzD(x`%*CvfYzlZfuWyTgx^@|TcpHOD-kb99S_RF)Mxljr}`nK20iKo z;A@J!czeLvHZ+6u&mbsjaQ#tWm|cr_gB!@3b(syK1~(Gnm-?!j6#zuitQUU?y!NRe zkN9Pv+@~MjSG@R0vYSo1_#YX)Ko%$3A{sAR&yBQfPY@Sv*eq;O{s9DwK8&G`*#XS) zQCR*;LLXlsgnqUSp+8?QP(1rL6khZEZ=b~tFOQ|#$MT=W8 znwCY;;&y46VU~-c#U*?NW|>?REpD$+Jj+GV;tmQWvs@G{E>$R#<)UbDM~dy27G=38 zTHJ{Yu_&75qG)mF6@u%R<)UbD7lj6S4Va}R2t^I;yvDNC+o*J3BsJkyZ=;T^RugXZ zHtNJq>!nX>gRQ?tU2mhj+=6Y?jaO$jsuz|GhU&DblN5kzxQ=tPo2G?&iLQUxbe zK>Z#GO&yC5v-~|r+yq4_vBWr-AO}qXuPr$$3ZzsN2B`K(NFRabEpip}5zop8^?fOp zR3D0V{ZzF{ysz-iAC;Pa;H&2U(IuLE>9oH!vmKE zef|RBTS^{zW}_UMNW8mh;S%QIn@s%wV(&Y^w5pE&@45Hg-MjBymR*)AZP}&6E-bw* zEWI~D1uGp^5s@M&MFce(8(3lo>|L=&(InU+iUp&=uGp}|f-P!n|KF5z%L|(FnS5XJ z56{EiJ7?z1nKNf*PJPd9OI6>8W-_U^R8B*uxCW|-FJv&K9f<6@yg$k8blG8?o#?iB zbMOo{iMNqd!r$#VgR_)BhoK-XMdQmF3rK~ysJwI|>1VB>a0z&2^G^s~$MlzizQqFU zWuMJpOZ~P68Nn`~T4h7c<_5DxheWNvp z1w+C9E)&a*CZ-A(FQ6I>5QXkmCNEwk3Oxgo(TQzVXn$5{-xsj?%3A1;8&7eQFyNB6 zN%;QU-FAHum0<-BWCfQkItqu?!rV7=28a60u9mi@t9t5J+aWS=ic!gB$TYX}?n|>Y=Q%q~p z*L>2~5vB;;ZVbg5FTPSZ^w+03#8AH-CnGCZrRd8nS+$u(WEmF0EFV*v_blxab4HS7 zn~f0YO{BGidgM6nN2nj?ZmO+SsZVo)*_O=BCa|5Vv^-$~9jL0uYPQR5_Wcty#XHCw z3dBHmD$V3;LHr5zzoM8xakdZXkgby`8XLDbr zxgNB+TbL|R#&WafI)Z~KPH2TSSEgDRt54H4LDTUn(%g4uilTSwv-4}lxfaD#&>4nr zsi!&uDV?UE6jp%C3U~x*T*`eJ*)ao+35dDxFUf-^b0%BFYuAZdz5|mh^R=i+RMdi< z#_7WGe-g$2djWPOmphQ+>nDJ^(MZD_bOr)7O{2S?N&VHq)ORd=-QYA2EM_g~`8z9&jG@8I^om;}X=U;~E^}RKGZ9H=xlrO25)&s!>6p0&C zi(E*W=u5*_a}fK-0v8jl-^zc&F<)t@J5F`(YmZ4bvy;ArYrEr=|QH|aX3VJ?RO}> z>3!6Gx8hHyDM;-92;O@B0e@})$DXg?JK;J-%QL$%OtTh62PmDsPdRwLW(n|I2+euD?NYh)WYM;k* z=hNsf4+}s%;?H%u)vN$XPqMLGuWI6S)Y@^n-PaL`Lnu<@daHC%s@q+ZIFt-2*Sk*> z2h;kKzm8_l#tf$QC;w~(X#L4QM*&)Ys@;Ih7i4s)MC~TT$e1BJTH?`>EZN}v`2-PQ zDBUh$o-p@7P$c(IxpI7(m<)Y_kPjGo2hvfbGrA21#?De$jc>pXT^oj;w5+w=w$0OG zQOjn7@W1DldDal-$AwcuyCO!QY_pJN(Uaz_$0v#Fm!K zox))l`532`-f(dhA^3)iLcZamFnPnp%RvAAH(U_YB74JyhtN}-j-}>~qgPD9ojhV& z1b`RL!y7K%q0|9LzP2!TY9oXqJ_nFHjb4$hHjW77CJs~m12-BE8w%l-0 zliTD1FL)NcVeUl>7^{b=GHe0fgUQ6Ng!fLurIR;Sy zt(v9Vj=(D^j22eY?PfCM2eh!7Zoh<~f`ArQ)8*$gR6n4F)pQ4eiUV3$O?SMFajp3( zowWXFyCarO2O*u$us5hi>op({?Rp6$%<)NxeR#{3N@W~V0W}T@^$itov(T9(GWslv>p>Ut5KA!tm%<(2GO~kA4NcsXB;-&4TM8QP4-gP0fO)EdW*uct^9~evk!yzh%yP^egBm z*e%U!!xn-n(tmjLE9fuC?ahLlF^vrdG(jI5-p3IP6i|9NTEl+g7LyikA4OFKEuR%^edPspdS4S_Wzi%dh{zepaD%U?R^}<+5EGqk>P^edQo2j|tJU%@O1*n0FUn61q{FlgBU%{^xwo0UEKMHa~cMvs1x z9Wfjp{Sq&%4=pv|Kg13Wn8L6x$M=vd1U*9ndIO4Lc#hv3Kz&1YA-VPF*I-U2^>H!? z55~K2qP7)cc6j;&{JaoPl~=roa%PBnB1Ew`43fMJROof!CATWnH`MOJCZq-Kg^(8+ zLI%D8-7LsIMgZj(Atl+!UMW@droc``E(!xi-f@*4}hKZj+ehg%zYH)X_@arA?O6}4ZS?RuvCMAgYraOmfr#03z^c0w ziJ}H1@S}2D@f0Qf;uaCQ@Ev?8ua>RnR+f4M(pq8pachcv^BjV3+4I&EIrO7|IlG+^SFWWa>p!GbU8U7a-d`0&@K^^%p`lLfy*>=}$KP z2%A|d7 z5@}>!Tn~$V-BKO?ShCpe#A_8P;$Qkf!`DFwb4e|Bi!)LJc%ZE+LH zHY9a&?L8ICMppke5vod`W>o4XqKy>6WNQ??#gZM7dUh{lk16^~OExq0O_GdF_FF|; zjhOusCd*U04yYv$8EQJ^FLp*Hc1d9Gs9O9;pU0!-uZP-v+an|XHPTukn!N3ChxaG! zxAO;D-{Cl4dL-Qt^)BN|kDQ9_6M5%~&R4dZ^l85Eegp0?eQ*tK%aFqC_F^PX`66on zn?&N>N;~FGQT1@h^C3>!O8ei)5?_ZjlK4iJD(eGmO>Ch`-^_j2RDz!(mI7Z-Fx}Cj zaQ27Tuc)4Zn%u@U97{0ms0nxyzzQ-{XB4t*_s&G&F=_j(x(Yz_ObN^C-jBh(4Aq=IUHE=43|CQ_khJS>ZVp{ z>FgODNg3(X#(F>E8O~iIVn=JO^PkMvn{w{hMJHF_><^dO{>b)C!BbtRz6=csvq#ro}8 zPVD6UL*UpC)+)QL`ot%FAKr_+7Hvy67bh&D{2nT1x%z>!`uc8BY~d&P&^4};khWjO zl8!(cNxFVDC=w-xfE#+;ek_&&tRGSmE1#IYM6O|We{`=wbw0=Njpoc|6^-VG6S!a|y#E9O&ju)Ch&3{X z*eHVr2RbsR7lKu0CA(2{I!DX9O`m36cOs4I%VWa(6}!_?t&dSSZLXy#`4rbz=j5bKwXY(1W&dd&C&f@6&LBn=2}0w7{$_3e+^A3UTB{^gSJKKBV(>-(B!=_%(t3^5r}=hv zl8W^;PO)n&)w?k{oR;Isj;i+MM9w<|vx5K|o*xB>WOwmiG)N)z(oME+ewejZ@1|2Znj1Nt|y zUB!ad{);MjL(^el=$I?MIqh6rA3KVNCWP6-$fcJq=83)u;Lp) zm>DU5pWU?YA~6H1fhu??6N@)0pXx{tjlson#a4#CH;$ zCJi=a{KMY^<|tCkaGM`6ctxF?G4%z2dTwh8>JrBGbX<)whk2_Wlp6J)4?8`&8SHc* zmSjwGuMF|J@--8_I~;|!fXYnp)`4)ZQE19T!fvfT&1COxqK%>uNA!ZRU~`oBOcK?n zt*W=DJ`GeX^0bP{Bk$rS>y*+q48BbiE6XW=pF+So8I5dL+RGjl8RtU{otxW6Jd4Bg zeMqB5Jj9=CpX|ZOxxFWwE@rN zQO*{ExdG2({7D{QZlYf?{4BxTpyx9@Fy(TCUck9G0HQUw3mK~Fi11=AVmj}bZ&wcF z9`;kWe-*Sm2=iM};YK%VQhApq-AHY*%bfwj%qFd%mBQVGf5ttB@T&lqEuuej@MqAt zak%32bi8?42-y-~AKfb2byUmd2X`}NjVyqD-J;uCvJc$XlVp7=6*WSiHK9|f%9XLI z$h%m>kxFS>*nE-bj(AQy09=O92BuTKgB)mx7{?~f+U7A4|1i|(hR`?$vvHh{v>tpk zZ?H@2kxu~bL`yZ_YUV&Qo6&A;S;c8{A{BH452!jSNyBYYj+gB^2VvVtmiexy0aYI) z(SYiDGQr;h=**+U8;J~`x$ew^#G3@F8cJ)Vo6rvCcRZkZO8I|cMY+2NvchAUv&A&|%~I0HlCmLSdqj4EL{^9+q&#S!uiMYBpa zcz6uwl>dtKzpuz&tcoA^ zv@}3fj_Z8h+D3u#T%}KtMp0nAp(g0%yEU}P-5>iFJ>3KI=Qg*Cv6r|!oU{5BWV z+!O9^M#D(Fb>FV+&0V3uN2KAqw61UfllSaEvQ6Um%|OZ6Z@cOHq=?5+cPF*)!zQQI zo{HjdT09>3M@70t&W$#@K9(bgPHI}_t%7n`4w3Z9}* zjzf_&RNl}- z4pdsz99}p8WQcZ0f$*PrpwgwE3}>BJjiOxo$#B;B^h#g(x(3hWSHA8+iv7yhN$7)q z68 z_;0`N?rkprp*_CxMJmy+e2I4KSH46!dw%8XbY#f!$tv>5Sg2m?E0jw1!Kq)^RQdt$ zHvsr&y*~eH*B?SZ&JXBUyZ+Eq*=(GEezoflJC`A!K44b~3-#oOx6E-U@^Cp#!ErPI zIggp!oD|`HgM{1`^jeTgm)r~JXFi%Kr!do1FB0n?JB67ZPQU&B`>(Vkrg{o9J+=o} z@F~pnIC{lN8z+z04#R&{0DosJJ-!iA^b}@#>UijjkL0AM(JQjiX`S@+?%?hI<5$}8 zDa_0(?WS@8|0!46k+p=UFw@J9Cc`Ve(FF7>-1Ks)Yj_GXz2XE0|9hn!ox+T+wBu8l z|KOE&bn-8K{yNsHp2AFDIELFqPhqAnqF;o1rFsf8ex=!VKCTk5^I{ox%*-UBr-_!VKEq$dH`E49Xv8NKRn}9R!k7 zm_f&P7$>JNgV-s|W0Br~`h7WtNg&$wX-JsklMr$kiArt2q}9L!brKTl8!DcYk+Ko* zLQDkZz?#E7H=C%7!sLINT>PJpt=2a8{tEVvY zIu~L(L~%W@3wa1BkxpUeb)|HB3Nx>p#C-czG;$t$3Nx=qGf;-7F!OqngyAX7yj~{rpGAU%bdcgXFG)l-;xhYF~tF!K%*P)}jzO%YH} zVdhPJhV$wv%)Du$XFY|PH(fwIg_$=)>ubM?_8#ZeQ5h~bP=n4^*2fd8nM^@Op?2ff4%>6D}p&>K(`!*l$}0Ptbq5J_WGBp=7g zq(07rly!I)PSm!-i5;H)08eKx1y7Y1JOvt{9t=?~6cGv0fI*Vip2DOX@pAlEL0{ic zyJye>myc8_h72JCpE!ltHl!pQ*(;@rJ~5;u^U0t@nRND2$|Rc*JhcN*boNqEWFLZx zSLmJ)Pd31K~S+7%gb{EWy5hcx>UX=J-fKK5H zATx!%@a`7o_kxuaK8g2~;};=M$}F1>Qa%N3miGfa1wi_sr&%$CAvfc=C;b#zk9+d# z9Yi&S41kV%-VCV8{Z!%(L;0P1K;D#B*#1b|i?NXQ990!uzpM>mIvbi{cpnm1IcG_* zp0lJ0O8lH4IvyB=@4wBLbCY)v^wD?=H7=K9dbU?NA zg6<&fCF^Q*Z;;cR4=eUC#HRU?3-Q^w9)|d|c)tjgJqIh03c;FByLQVSO~vqrO}A`9Hs^Ky?%71s5}NPHsnA0&B!hAvr$Qx{h>dq z4EtZ=UmTY3c`gZv655-oRH+@gW|h(%_)JK@Eu{ZrME_gje^0KNqIB(_4e7rL>F-`mocT}prQ-Y~+^pnv(3Q~f@se$vqiiNA zr%;@sKx;YiC8@|OsM!>py%Q5JVE;I?hU=7bP%GeQ^c>*Ud~mveBhuOV*kfS_uVpAN z?#oy~PF}?I?m~gLYF`xD)bywWDKg~gLdso5`1&H&W--V2X`L zV%tC!GDM%|qZoH=YEVCMsq)=w`PVglQ2&zJXx@@s`tv=gnB85Oq)*1#u`T^cNxrn) z+L<^FPj8O~d!D$~$9d9sDX0yTb~ME}@FziSNUZx966-#OM%~A7ZkgV9bpeABOj7YA z2_EUM;?)fJqYU`_3^*U<$MWyR>^65pX>&!~DXP^8uSpBJ1=ye6$C}+-u0e04wdPK6 zE{k|R;BxK==WGR>ZG&)ukbX&~%oyyy2ka47;UrJYlXJxn6fakG_{35_zy8=Fn;qicb^R-U% z8SaaXsG|*asuvOERbZ&_vqbp{!3#|_@SPXIn->`e0}0v}s(hTf*Tsg$VU25pw1Ns1 zxZe!IJ!w|GBFbSiUrT2Gd$Sm_#?;)4Ox4nzuJky2c1e<~3)#&U;&7Zfq5(KuOs#ho z{aJ%QU7d;Wv1{b33ek<~D23!x^Mrb!e_I__1e z(Hwo6gUyg68GFJ2wF+w;_|j>t5IA2a_?G2yf#tQ-JW9L{Cchg;e+J=C*WHk&+Z?=o ziCQuDHJTyJ*e`=S>GXajdf#%t!|YB<$`!KcB9+)|G4)`^F=@F-i?$Urw9Qm83%UrW}5o3?mAuxRD}C5aj^4#|h2*vj3V zf&ZGj(aL(*j3rGTt@XH2pC;Sw1=>s%RVvz&n;LG6L|X23l`dsjHA&ELgghI(d4h>8 z%`f2Pra}%V?9@Cv-;f&K2>I^9Sw&H6N0++fF7**{xFhT6QV+bAVD9KDTF1(QgaV=JPb5gR<)?H9&CnA=lk20nEP~5V$8`cJhwOa*jSt ztDJ+uIaX1wgGE~jOmU(PWj%U9S|ie~RaVEoE7Bf zxkz{&OE?EmOa2Yj4J=_xJ{5GM*d70dO5RzgTa>+{?9Dwno4`F&r>>%{6y}bk#0@BZ z=e(u?7I%U5mxp1bRnC#TNi{BSb&IHrnfmVX0!*X4UG+L!_c$H#H zD3$A}R8G4WsUtYmGpm%%&_4;gH$glQQ(s|s3bPy364m7I44mTq1uI!+0G6QtOJ!Ik z)jZ8333mX^)oh?Vd+5_#=*6qq<}h-&TRbyP@dxS?)BHuD2sg6`d97TmwDcjNukp+j zC7c~)xGKzWHD~BR8Opw-5z0q0B$%(n-|2H-P<$2U`jCeNzeE}-?n9TBcWweC_59ei ziYvRG+G2*VbUqNJ?bhdZWcYP+tGsERLlRLJal4NNnq?TKJgW6+RwUZJ>}qPpsXm+Ye5Lu5 zK2HLZ+ro0E_%u-aZCLI!pT@WN{(E0qEt&3=asrF;qaoWwHsuufZx6=J~ zw^X%WTX;|_Th*pqEDw?^`f=nKWNS!mP1Sb&qTHpxE`6vZto$rX?y5FEOEDHYW}fEYsUMHQHhwYS;|?8 zKF^YM@(1mO%%V40vd;byNwTh6P?6p|tmy7ji}%G3LVEZ#>e&xc$q1k)H`Hl>*#y5) zZpGThW^}?9B*Z?2a@Y?%r%h*Sje~u&MKe66PsAbzb9HfpgV;9iCsni?L&58-9J5^s zS9~hkcnjDbSapybUvkejs7$QsOCF}20jMvZdHtGuwjB)9FN6gyDF>c^{~_=^z?J+1 z5P>%noK405h55Db0C)_0qb`{ZwOrAcS~B1Na4%#Q{g5Tg z^=qS^F>b8+0@XtgeVTd+&6iE0fqxVEo%$-J8TDro^tX_FWP7W-hADFXFOf!`*f2#Q z`MQpP=yor_v>*2xVCjJyrJxaKONE^lG)mF@uQ{$uIn4X60@47$du}IL6}=f zx)WF;adC>Iz${=z<<)Qt(~Nl^3fjuo5IX@M4Ez%W^D=P>|B9ziSHNS7ffx8Kg8xLZ zPT&dM03Sj5OIfxD0CPL-P&IsQRn{^!4@*fg%?`4t?9W6~mjK^;pa))UQe~(|Z~pCx zybIHHO1Vj&=IGRe8BCXcF3e8S=O-c4J~5{9?hJZfsovISQ!HDF2!mtP^6m^O{z7<{ z>2q{QJtRgg@6MnKrRuBCWg+$O7`41h^=2vMS^6|fQkNrBTxS+_iIQv&nU0Dvm3L>* z14{LjKEDsC$Hu7T-5KUJ`tAwh#V4R&SOWZ=q`?O9y`iJg4j{cV@Fv6SRCb7Y|IxC z%oF)vu`&Jzu+wTQdBT}`iG&t4Cn|CnOihYBor~N_g}^sY=OVoxw#d`D$d-Vm$TPUe z;RJJ$XK;~o0XtkmuFKvsOl+YUYYA?Y2naB{c@J|A!Th@-f!`;1dl~`? zJfsg`_Gp3cB$z#3;Ew@UwdFx-HXUW7tr3YR*JM*YIHK@`;98ciO{MD$C_VsJp#v!f za(i~<&hjqvuyLR=FJ+$$!kCQ@rrnlJgnA;o>rOm{$(9%sd54wtgF+rac57v=dXwhA zQO&Eym+2Gs)wzRJ{MW4b!yqg*_SdZV)dWHDU$f$`0wjw63s-C_!K`?J{{+}+brTi% zsT8FP6Q+ce!>MVA(#sk_#(hgSv9S0Y|Y|< z=2|W2n9~XoJF?vBta6!;c` z*|r|ZBHL=-AGX1^^=Q^;(61txZA;)s3I2}!P2gGs&=aw(2`qLd@AH5YI}`X?z+z{Q zWlJ9{iEX=mG5);B;upkNXPvK_67Jew8SnY=r^bvF)FrgrvcAlzf?j?M*aB79bM z2}&(#jGgt8Li&@1KV5eN)s3zSZ#NLici1*NP6l~8o5!Q`>3yH<;T6abt&Mfvh-5L_ z*JCotyPEA%WZ{8Y%4v0AcW~(>MzmJj@?w3$YTpB?gs6^eM2jE`S#3u)qVowtlXhey z+6+jVw1SQ36@uA_1a=0I)%K+V+em>MwV-RG0uStD3v9&&mLd}s*oq4rLJ$gU#RXOa zk^)Ve0u6%<=MDjiW zxXMllbP7KNSmNCk86&7Gx$Ge$K+hwnD|rNUFTp&5x{60o!$$%hTMT{zzY4fF$6JAA z^mH}%9-~L;=qcx0ap<=CG}onW#I!$VVuLKIyOPlDK4Nk=#`pLef~DhXXJ2{5B?!ygP$-D%FSj zydb3heT-V(ok6GkAiU4h=kG)6M`G0S?hLwAsjk=O=OOjuF=}~txO&ekrBRDC4YL}; zAmi1usC*?E4!l(F=@?UacY1VJszLe`${jIEd50^W-xI3uA@O{plHa0F`2IVgV|3$i zejQANT(KL6^T!Ed$LPiZ{bRu57~Qjaz_n_PwvN#~i~7p0fSp#IfifMW$W2<*eNmAI zB7lo8mT{4jkco;c<06+4#6^~Ik?W}l_Qi58@_vHZ7t6WGw*Wh3qfrgx<(g>_Vv814 z*A@TI8RI&Q5g(*XmyfjcLs~ii(ALep40%og+?zjklFFsMXl}MQl#wyQ$mHby0kX%M ztrwlB>Zj;2maJ9o*F?4%xRRUkN2b?rShR{|+UCYMIb}yv2-_knJjF_Lj3*_33GsG@ zRrnoGsXL6dRrsAxRagpGs&Ky_R$+iLnraJu$UhH!Vg+**HYoaLOZJw3ACYBLVStJ= zRiEaa3T&|4nw}qbI zPXeFJD*T}6d@avh<{wXF8CAGk(f3=jE0a|SXmoL{%Z9X5X?~R@Rd^2K)rC7r6|Ui> z%9`WFc(38r&q;u!W4Okp0nKHArDM3xorX4gAFu@b>s=b`ViC(}wUBK0aVc`67InQo z;h~em61Q=QHTIPfw{eLb0XeNsBB>t|7MnEduOk+hge)Fp788`kgUsR>Vo}zVSTvLM z@-3RRlP?v&5ENOxZN-auVROU;5u=#b%g+HM6))!H?vDXWMN2%&NgoIjMU;A^h!zvE zUNaZVH_g4tn2{d@uqC?u79I^7c@#8`x{OZVfVFt;k9`{naYOl*2AR3byE9-5D3*p4 zBVrWtF1^!kP?^@=IUtOi4(-3+k|Wi8UZ1ABw|OsQ7X5`KJHUHANydvgfhx@^&+MC6 z$>ljk9jJr{XT?sdFR1yC6vbC*-Vypl^G^!(K9}`=B~(SxaxUxr5kO9>tEuf~>VZtn zdO*bD;*iA*X7RqVn87S+><1QQE76IWp)z8dqFHZ9i(Wc{qIacj{=qzCdmU*c#$X<@ z9lk#xwBKMJvi%;A4B3WcPeWtZIsh;a*#uqyScdt-cnWzh!92_txZWhdJggUZA;CPP z5%>*)dB`Jhqsgug?T2U6(r`4iACXOR(-g9*Hig%bieI%tjBympw?FdtU2qg?Gdi1o z4Rt!;0mTPlHq*!2-GQ;$p}gx>AE?af+3$fcW>sv!TL`r>d%$iy>p==J;5jiy@-Dr@ zO4YU1xtW(8V<w*^>{H2CL*= zb{9ctuuAS@2OMM@tZx=I*slrZ1{2sh7z*b;xQgdxw-L+@Ch#`|^Sn&p!w-SMa03b~ zjVJH711F6q@P~k9Ue-U0WJY5hFOg$_&db(n;|^+)ki)9#`iu z7YbwpC_V(_*JtrOZXCVeomGem#+r4|JvF4kw(7GV@M0$i#blIshsPw@+QfDAY1U-T zN-||KiymUhj>$S>FJu;dfF+xkbw`qnL)yWLw)SPt%!<`QjPqUO_eUM3z(RP%=XCBg*8>)dI77D-t5~Wo%F%K* zYZKdcWyjOV_gRr*iqiHe5GmdP&$mJ;o?$75O%*AgVJX%Dl2ZSeD7B7qu$;{E$x`2> zK)PAOxzgHu4ITl{6EW2gzr2To@efFok?1`h4daGQL+yDadap;rxGMqk80~Ro_L4qv z)#?=RiVtvTH2S8ZKec4XxHy=LbG8N`4%x$b z=qqFM3#I76wdC1oB?#$K3~vW16?X&-KslcA(SVNU=Il?8RL)RN%%&Q8ZWi{WoKz~S zdfp=A^-Ix;@Mdj($Q`ircxqmaVa zUu4VuI&P_x+t|Zl;W~Voy1o7)yRL$A{Y7>?1?BpSZ2T6D*q6%n7ugLIl~E-AF;X{vx}Hf^z*uc2foA`ityh1?BpSY+M-w`Q1{v{vx}%xt&3|{vx}j znZ{Dh&!x)-vfC=SD3`uppWWWfXYA74L(xdt9nBDcUg;{6OW&{0t}qiBUTtzefOfMx znR~!Hy#6A)n<2{xufNFdXO=PjT9aFm4fu4<>HGD$^!@tmF)Y1@@7L!}A@RqVr?~uw^!khJJN#==kNVl0NhN&!MfSa- z9l8D@`#w>QTz`?hWg5i8m%y>hatt!yr+|R@V?9(ac1B7i`{2~qY${!U@iu^bGAuU- zZ#m7Wsx|qeUVc?=SWf|8CsDv3^(r_W>T?}`84NYgA3hRjzD~j)F^wf~f;&(jf22UE zfObawQ3CmnOAh6aCZ>h*Q7?ZieY&|8ebmbzN3Sqh{LmFItMQ)&*N=MnmAgv7cTF%6R^gu@;0Gpngk)YV;BFIKM8s? z6YyL&m`l|^UBC<6pooai5bzQ=SWSvOQ^1XGurF2NECH`{g9R?YwE|x2!qgVvyO+`m zH@d-QB0fjJn?Ow9xdPto23x71^M-JfZE|a2an|*o~#)yJX?)7`RS?yp~!_9fhZ^)SwLO^%B&CaXb~xFs_&Q6W-}R!*sm_ zc^z|gA#~BnV2>Nb+_}^ymz+WRqn|AA^f&wk4{^VZry)PwkFS^TFC$r9T<1c+di5_C zXiz}gm;Mz34GVe_qbmg(6?kOtR|zyOs0KIxYJnyO&8ShX5ol5{pD1sjqV4q({!N0L z5^N=&Hw!c)xRCPQBG8=RH7b3RKy$^RZWU;LEjlXi-=2m^;tB$8n>(a!R_XN;gktq1 zu9xudtN}4j~xLm&CdZE-4Iow})XtI0qY@VBlC_*+*6v2R_a z+fimvl^su1e9J-sf9tAX3KH~NSLuow01D+>SLx2v0>n&&yL1;a98&(m65Q?4mD24k z3+ZlTC~m3TvXJghHf3*FNcUI(%J7zjbWf5nyk#NXi$n=;SxEP$I)&f5O7{^^zjc+a z6i~l)mF~NmIqNM8>3)LU(ySI#lCEmVjJGypgGl!mq<-rvJ>W{ts<$kp2MVaSETjhs zsJASn2MegTETo4#EESSl7ScmsW>9ZgNDupnLA_-mJzPM&Wg$I6K)q!lJyJluWg$IE zIO{D7>CpmiDyc=sAJb#<^GG*(%R+iw8wT~3h4gq~rME1k_npjGy=5UiQ9!+AA-(@% z#_BB#=>s+}sJASnCqK%d-m;KB=sgDYmWA}eQjp%VkUk`ZehZ_S(qKFGdeVmqsJASn z4--&tSx8S2P;XgCPi@Y5^_GS7G|{u(vXGuGpx&~Oo}u-XTNctYdvRX9Wg$IF;+WpD zke;p0E#JCIXMF35ERy|9Z&@HaVmQ2Ip`IZI^o7#;{D&ZftY5;Wa{N<~ECjy`3Fr+d z3S~L|^#B?evJ1&gLm(t?<784FCnMzzybC94TOp<(P@nz)|9Oa~$_xH9V1TNP1j#xf zM6oywlDzd*=mHkH1E?ApYIk81(t_7vAulq7419f>VAQ7`29(P}O0to?QmW`zg_LAI z8I<7kREQ^=5InU5P#=dVvJXMUE0jj6RGn;q@yOK{?|jQbx|*74pTxJW76aL^8rAQ?H9_}3wK6aEqVAbQ15#B=;AyfnRwvb14a z*{I}phW6q#*P)vxiaB3v%GYbU>ontirq)6{C9|NdzzF%74wYXRsqm0o$8N^Cdczm;n<$vJ)z zQo?EKbx^uaQ|UFFrhbJg&@lPuoTlEx1^lN>Q;VR+*fjM~R4z76rMkwZsV^}2-)ZX4 zJxzU&^%_o7$q8fA)E^l8BhyrBp&BQ{BlOloYCwi{T1rjEa8ucyc+bL^mVS+Q`p{Nf#xTsrL%$l@o6b#rfDfH1pfH6bOEs8v~)g(iZU%FLy4xPlpak>CG^>8DcMjo zEnNl5*tC=+j7>{Plz((ux|TWr$h5Q#Gybt@>1~`foR$h0PD=$0r=i0R9J=6(%Fm+r=yQgwY#thX;I9PAulq7418i*dTK~XHnLYr75(;*lFTQA z5@o&;;>jiiPwfEIcOi=GLs0Pw)kmsmg=~QF$khYyIxVGUN={4H0IAbbe%;%&^ii5) z-e!!Qc^$1YFU(8tL3Wv!-fHNbC#GZY(v;33nd{|-lvNp1w#PB=Y#q)_uQOyxsTr7> z=3}OBuH%ieR)9MP+!?kmu+ zzqtCpCIuV*t=lLJdAMVs`~UX^P+$(l-$EjAYIT7(rE(8lfs~@b*b{Y%4o8Y9`V27v z+o{h0D9)XCHPAsLyywoROSWhPH6Fyd3j`{Vsc)J;DNs8Vl=xUhDtZpPB2LkE1VU5v zG{S~k)DC~WqV`Bh718otR#7dyXBSPudrlFpo%%(mASJh`0p8O^WAHa9`VN2d9Qlqw zP}Pc9^TuxxZ~PX;H-3ZRhXTzr>L9W48zeS`4IX(#CHvj$Tn& zCy&^khySWb{*FK}z8WcYWaBrOx}KKAXuBhrMz6?L7ds)r^ggUGnNbHbNb7E?Z2Sg^ zjo%=#@f+BU-@3H%8zeS^2H%M;$2FZ=zAi41y97om?&ZvWBzeVN38FjFn>Ke|dgB4FQ zs59yyx$zq$H-3W?L}uOi4U!wb!5YEp#&2+CC3nA8x-ypg18zeV= zgXG3ye*A5`9M?xQtX{H+qA_ zMsIM1;AEpWxKbe5=nWDZy+LB5H@HS9WurH^fr_>>>L9Vv8zeS*gTzK}ut~VcMsIMd zK=bR;MsIL?4J@@|y2)*EhqQ&AQ4@;QlbBHlchXi(?NnZaYT%33BiNcE8j!k0G;H;X z&PGbAD23peRn!~fuk4}$c+V;71FT>46|lKQXCozD)B-7iQ=j*YYm}Z2b`5CHxJGjl zu>tKF*N{&V)K2BMx`s;QJ>w$YGcJnl8Rxg7%%CcJf~a`UxQO?Ri(-4m`4#j5fI6~g zoZop9N~cJf--T?B+L3~2epgDjlk@y;5=CWlp5L9!(N50udu#z^I62SnNfL&W^ZZ^U zN;o;sPwpA#C-;o=lY7SbeW~-pY!$O)tkvg-d&YI4lKd*tdw4T{et%LHZmMq<+>CxQ zf54}lRVU~90|nH{dHx^)b#k6RSU{be=MO1DbD=^qInN(Tn^lO~ax;Jaus#gx>1}DDxglz^A8hHC+GQ71k}lS{?rAWS10HB(?riYInSRipia*7XJ~z8a-Ki) z6wa%Y^ZZ#70(EkpKU_CZKWRdK$IyomhVmO?f%f;{?Aia?P5IaP_ z=V4PhKFv#opa%3N1oQ?JMa3NdD-aYIvI{}d(J3T1Kth2b^>H#%I^tb8QQImflu<}Q z1^59Wo+>YRnmYhBEks=wqF5XTN!~&gx`>5d0jdH+?XJbp8_|N-O?ZeHAMS}Sq&;Ig z-%gZ|hLmI@8JvVtMgJ_MB=gCj1gFMGl`_dD1W)Y%RAq=F`w&#TLX$!~*#P5_i#`>= zlk@y)Y9{v~8Yp+9;dR5r_VJUT)4TKeRp#l`MB&}}xfnbf?ElrmS>vYFbbIY^8i6;( zm4YMa8zjY9Ks37_jGEnrKe%2ldPiyT+uVnM@~_|KUit&g@lW8}be(gNMXobmMX!z8 zGzD%IH2?{~qok$_9J7W~a5Fu>wCDz;rk2{FRVMr1lcTlOTg~u9_<6h=brFp07^D0nW z9`e{sJYETTY$hJgES6&+@wlIP%-6i@^jQjuT_KMx#N!|&i5y#q$4cVSzJjVU&X|pw z?@fJP2JAtF_?Z=-3>a&O!3#($n@?95jpZ`G1zb*@CSY>jrn7M`)0JqUUn`Rr^oj3` z#to^XIgm;{#F*VmFt7Fis+BVU9BvIuJ(x>9&T<{BL^Je>&vwSSR$NHh=wjw8`ZRsQ zDt3ZpJCDljOf`QH9Gy;PH_myq3S!Pk)d$34Nzyg z)v^ieqE$7nQsmovn=*U|;I7X?7aNuHhC0I8G&5Uj;ios7a8jcC>mZs=a%`C zKve@sxN#)J7p$!Qy4Gp=n~&nk8kk3tw4;X1^>*toUj|--wJ%dnkvG@-+$Nqwloc1E zin-obnm8!_Bb7n%AE^wA|43yJEzj1}AE^wYAF0&TAE`LC(Dt~ub6mJqdjWi%f03ec zuh>US>d&h|XinisU=D}84*l^~Q9{Mh^t!eqy{^G)YC3s$CnCr~_tb^boNW2kN{+t- zfWMql@fAn8Qr>+5vIfRg^N2( zU$AIi`Gg0wWqz=oDlZnp(#58~QE$BA6>-0f?s63{2-vR4Zz$Q!YXTcQ4ffbwwy6}R}69yCeN7R zMUzT~6>>pYwjgX~dHCWi)tJ~7F&8MWcD+RQIuRKx zA!Q;->(w!>@pP#tcXW5FV(ibR43_6bt5vYdj8MdCo)-V`f9szOlexKwq2E`MlU9h zBIP&ZmO~Skg!T&Oid>{rT|^BKzX#=NDcC~WQo)v5B7xxDDh8qiav-)>!M2GP7tCu`mn5d*kOSa^nac8)Yi{?|pT4;>QNRXHoz zO)IEi_XvwoaabIOx}Gt4IRT&SqnKtLD2|T15qy>0NaGl36(5g?dvaCWhN_0SA%;4vMG#QykFtL%FseN+)|X+i$^6xZkqQj-Ctt!0}%&QUCSxIiT&4>Pin) zFKG$V>!A0tVqhhlIwtgAk`nbi)O&^fOC!a{dZxz81hs@SDlr3a#&~BKc^ll)vLth( zzAe`BB}qew^>0MnJi?-9i`tlQE%#C&#{uf&RNrI?)s$VJm=Zpdj^bR3Qapy&D`gl#z89DWyW?&`jLZMI9R)mi(wo%z?PW>l*qWT&Phqf}gr6l_h;X^>wNb@tA*c=VU!6u%45bbch;}t3(JyKF>$z4u>QgRXT5_;K$Ro^h$LYju3!3tJ+9XF5RGt}$c8 z7g23^!batu5xs7hiIpaOMZL%naG;O+iUyj(0p_oE98n|j^e65jY&hJ;vF?K!;YUYv zQOQJRI}8>i?h3%!?m|7IMZC6AxjZG3V9OICa+lVTmdM4dBPgS`p^h@bcssQurTeSn zxtMPtf_KzSjT$iK*@OVto;T;(j7 z-P?{M9&R(|_iDHr4{e-RFgCx3QO6l3CMS`xkfF7U1{O34VWTDklhH(3Bx{_9o#Uh6 z|CK?;zuw@IHuXG0r8XFj3NhusBz^d?N(>NFnFJiqTHLx9CsLjyqIM$!j>{vTX zGS$pMILe3-w~7Tg?)(u~DTwjHk}RQ6iSdC24{X`w)W#4PGfghC&!4cZy{~*)yP$FL zk1tNf7yNSVO5#5}!LtM2ns&fjAWN3gXD63fijqUx+EHktXj#WDvD8gkTfK-3{c{w- z&`VQA_p!mSVbbNLb8i&2D0*k=+?&LGT8PM&v|%Glf`#zvN`xt)rA$~1HL_ifjQU89 zV-&E66ZMg(5)DkP%>rz-_df8i^v7y-V5#BC+D;g-Z2uk~j>59+U{pJ7I~-NnVoQ+} z2rKO3VJGR4V?D4=&Y~$>*SHF!?zmf|M&j6AE3dBBBQk8l+C@plVl^f1dA*ZinU^QA zs$z!+SW%JHuYPeK#L{Gx&2jpN_7F7^k2&nBiYj7Yyj8>?21U(?n;oM@#1(k~4>^X$ z7j1@V3sF_j%J|^2t%`W?hzKtm5&!qcCoSP91xe>Ew4vhXSSXFhpr6I1qtQdWqmDT? z*&MCT*zgVzOQ+Vk2}^@*#lp=)OX8S~j)A7fI_%#N&t@ z8WjKI>+u=EHr9_&aO@J_^Xh5=-o%o+iOs>y=z4@2#2)t-lW~ zlcTq=`u%qayK#doC|B`mCly=0p$$U8Vj{KTy;M;|PP?<%u?jQ9);cg@jAC3}GTLbQ zoEuI!+vRh%(*}F?kNZTb#UV->7Ge238n*M0fX73$gl1rm zVqx()YkND8qNQ^?_=5{&j>aOnBz%XKp1E8e6%rSwvp8lK>ws}zvTj8^L(-q9?ihKA z0(m2t+KMK-RG*k1Q8dA*E8ag=#+)DaWjBYlm9RC8BMVSpVtbfYWBNzNB7PVffBlm> ztDk*IGC36bS=Z?8^aab1)RV+VL-A44-@jgC4{6w?N9m~4nh+Dj)$tcDCSHLpWNXhh zvz;JwB(T$C2552|+AM7xhkY4FLS#*LFG>vrZp9?ggq$M;?Me|FNJq|YT?$lD6lovH z6(z=ZrjW5b?zIt>U3QF0m1W0Rsjzf%IV%Lf_*o2CdgrM4xCheKvMPYQg;w5UAn#bI zb8%PMJ?1`e6Wh6Iy`%081@?+m#Wi7(duuqM4CI`VP!Ua~(vZqQV>h0)1$eS#yHM+< zO4{E>tpQP7qDCAjVFp{yGT|C*gU1jV5e$vG>tQw&#U?=1q%{4}aWx4wGAw{&&Zsc- zqT-`tI%4dYDDVGDsIa5nXgkl2p?`F%yQNH>qW$h@;*^XcKi6sqHB)>oM9y#hT&p3G zDN4L(M)89C;Akl~HgbsdzPvpbMTH*=5$ZGOceSn6TCoqYw|IfmB%!Oqxa@Ydx>0Pw zHi`iV4I)N7rl6||-4%SI%{f#i%8ISI3?bMTJH>>6Nz)iN7Cz!z*)VFmS`v+Mn1@|0 zQ3s?=?O6Co9O0rCj-Nk4`1qSbL`*DHu`)vqEJfdB#ON230$HN<6cpJ%a$KBDvGHPH zn95OZki-iP6Id;=VdJO5geo8&CQ$T9ND_-0qrzf1F8rSh6j50CoA-cJ*89Vb~G>Q}Ud}e&i0B4C!dok&abi*Tsuz@l|f()Fx z+wnU_2~qdj({``P^P6c1MC1HENeAJ5X}sJvGKg+=Qmsu~kT3Bofs}=g=_0jf`8| z)oxR<>%}(yqlF@rD5SA12lz>3{HHUykM*=`R$DpGoholt?H0pAev5I3(oEu}M9~ z>yaO0v`&VH$vlEfJdVdBB88dQ41~w-_yU#<0mJM_V|XMICihw$jhlAVuHdK`ODJ`; zm5Aca7#nZKMuBQvxEO#aIzDQGNSpg6BiIBR*(Szo6_M{3@sPN+KTMf^FU9IQfCAMd z$Y>`Tka2PZ{=3Aj&<5C^|L(=f`0(am`RkTFT8zgNcCbVigyz7W+TQ%o9x+opqpjPR z>6Tj4q6vi#`7xmo-@#FOY47mR8V@P#PMVHT?Bb<@_Kc*0b{K^S8rhniP$-REw6ru_ zJG(xCNE>A=j}6b+E-`qbki@%f(NeFLJAh+sQIvBzc)j(vuZVyMp3*WR*l2p$~B=`bu2jj`0q~C0kj1(Pd3EmBV({z z&mjMIY2kmLAQv?|8w~q>Y!8M!ENVv^2$;(LdPsfL&I{Q>BjcmFVa)jK>`?8=hCBr` zPtZo;G-7B&p9a%-=!2|#{!UiRJ8_JWj4E>lC2n+y(4k zV^Q*~>`!d=?JXef6AwrYDInROsl}pJHvj%h1-hp+RlXnv-H% zXjuSdu_4y$wu+DL_#{fycVY2Cj(5Zs;jq~kGZF6iVkU%>C{bDDGv$^&mgD02c z+Q_IYl3rp@HAc=EANua)SE4Zwi~WR#1c~>Sh}b;7kNVlY@2+EkAtp12cDsRxmXzLy zHt)35Xm=fMj8VP}->Yc2irsW-TPz^Rh8)K9RB3X+K~#iDl2|klf1_ms?Mh@D9wU2B zKwJL1oR1-Q!?DEwu)Vd|w427Y;egc!dUiG&<{5~cK4y#O-XpdUNM>rsxweN%jB>$+ zMjO$O<17_(+H#dTfxCxi}c(&5p+#@zzaxL<%Q`(5YnAKY_h$%%KS@osNlxyFQWQ#g+#Xo=YtH zDGNTP8JnL|6GfZub{ad-76@;a=?d?p5u+h=zy|a2aNv$;fGu}Y50?5c6Hm^JF%y>n zfki&qA>chT3CWm!M>_k7?%1x=Q^9}lfzhA+3{K1cEaz8i;sF0Y+EiDY+}qC!*qbwV z#WIF~Ok-V};sOlQp2D$Ff!!Yy+P!T6#HO_IF10-ASeadRWPbe919o!h+3Z4`iV{s@ zIWXYf6I$v=mn^H?@l!SI-MV9z+%Si$?77gUB5*+M6HYI&4H}0JJX6_qJrZ zbmYL%7P)mrxU}u72ZwHqm9ALVOxm{{Gfd;(#WzFsaU1;IOHe;GWR7?DKf?tpp%G); z74@Ba&d;PrNv;vu`I*kGY-g=tNoGf5e=0=j(Eu_28v@v6%g7V{+t|q;vPM7kif_tN zsQB9tL+&kN*~ZoggUPt``Fn3{qJq=g##S)i*zjQ6)c<~s*_B5X1$JUuD5p?sB^|oR z9zm%c_r^NOZTHyEE@&69o;?s;Kk41L>o}U`@Q?TY(F1ETZE6aghtnn`k$s@CXz(dN z*o#m3B#S+y#(ghMX?66-gJ!juM0l_(B;@I+8m*An@h40pIxx<&@@SN6dsPh(w99VC zsmNv*h!dS`{8TGw{z@+z2D1c@*rBy3!bKM*WemmR{VhtggK4#M9!wJ#d(I`k*G{^? zVzKnZ@dIky3&eX|1Ug)lM0}`uXm?#l+4`ftWFHH*dt8!aVEtWT6Qi`q@vN+2I2)o>~Vd9_+DN1Rj99? z5AEgu5S!%*l0~##Qv6F_!5C2_fEdK66_IKY-={UHavN{s6y%jNSMlP=G&~YRS2H7XouR?*4y}lXgW}#$C zYbckZdd-cSLQ-4$xPjB16q2c+4vQBq=ukax`rO4cW_G|QY8E<)6ev#;aBF6V+0&=1 z7IBH@yv0k)X3tyPp-Xw^^3Ik+BD3QhIkkG8=w{)f8P!L1DxX6dU$|&N^}N}gEiiQv z-f+n$NM_8Og?A4Z{T@4i=0Zz=oOs2DIv|66T~vMKOi4sj5(Ds^TfJ}*Wx~Iy$1Ipn zEzH2enG33?&XsrEc^y*7%i{SnrY<60_&0mzqNC6m1_Zi zX#7QPcf#MZ(jS3@Cym?O_|4wF;h4^YkM(lhQ;qRALaN;>Rs;J;%I$Bwt5>XNhJHDc zUN`sv(`K6t$TY{ z9FGt3A)%lTPjmRGQ2&8g3&Qr_jq`;)-$ zEypkZ%&YV1WG~mRb+4C3nZc)T_pbDUS^mlXFT7x_0BgST0>5}o@5)2GTxycLmTgAv zr&8_!v*CqX{AMru)oWh3Rn#m)|*a!6by4`J?=KI z?;YnCuiJJaINW+1m4AcpmKbmCv2J^Vw1-!cR$lP@&7T3fdO3@DyXRIC@`>eyyu@=` z7=NVqq4Vh@C;tAVhk^KV8LNH04;5|PcptURi%Up_S9oZ-k2X#A+WTwQAoZ5z)W92D zDsq#z9x1mTM{W3S3Ov2n9e)x@38{g(^f;eTf68(@Q{m?wM~3;Qto2@cwb#-w_Zp(* z3UBl~j9Ylq;eIouUa@V{<1e~hi`MwFulCY6ddDt;QJ#3>5pGEx|LB9fnr<2Kd2^{x zj&M~DWB~ZmQYz%CoJkuV2ee};SNNWsasZDA<^4+eJEpv!D7~5>bG;jc;3XxviV0rI znY3n`5}YjrpDV%XOz=4qamOGDx z-F4g!pubJIkH7;e{UYye7!T5+DsHwce~0(5<8O9*6|I?BIk|UbN53!7FCEKnv_9MI zZoD(xPrc`sPx9_C{v`iczr&+GS@#3Sl6HTaO#%=^UbHUfQ@nq?l=No2vt73>;XYbH z>U-F@6$IV8k^;kt)XadsT~4@fa-d=$hS%l$~A&TdC@u(#yX zD<*F^W`;i|{;rercl4J3Q0Is~>aX^V+9RTO+)Iy$e$w`c)MZMK_!IpgzVCnz zR(r^w?i3;03=9aO-fv6qD(WB|?`y}@+pz7s8@ynVw`5k|^SwK>M)-&QVVQUAq^rDR z%ezkY59~FnV)&Zexy>7ZWIQ^u@oW4;{CmfG$FBEkPa1Og zXf(uU$4OssXUgqiuJn%U^CW!j$eYd|)qAuTtR9J!5$GH87J>AlWgKpHrQF_R6#%J6 zTj#kOjd5Gon&&NDKZyPx^Z%H855Opkt#5pv=cEiRAOXa3HGo}60)mBX!lEcK@1;lp{CYtqk1!y-7X3H_CQf6159$?478u138HMZhknb(!Z%}!b`M0W zC)uKS=dH-&^?$%*$Ft%T4w0e)RU1YDM8$}(JXtuF|X)h)h_O~xMnG}!ro6K3y(U|YzZRSCKASg;LvakLWEC5 zFh;p&aP4@C)Od93C;@x0l@gqElbsTh=yosNFP!2V>TahvD|I(hTsU zr;kIwz<#(VO{v*)YW2O;ytXQU1_fw|=mJytxkh)Uac^33XWBgPNZ46QgZ10FKiBad zHx5m}`;;~93D6MT^d;8#e<~yVPpO{d%pYZH@+VTke?j>!$M;`A@BTp1TE$zq2`0K* z3%m2JD#x(cAu&B;I!AWy<)G~FY)}YlQJaGm;nb*!`K<$v^qz4&7bWzJDFzLjLs?b| zsjE*Ohkd32!cz}X2ne4B)|0Zm2NHTs>!sfiimVS|eo%62^6I|T!r@7aK6#cBYYX&l zp`H^HRtCh)TMy}sXwG$wLcw)gLu`KZKTwW;incyOHO6Hxf*~#Uj zX5n;N^NJ@y{^!sXeTYWsU=#qgBnL}gqxGbxb8x?8eac9ms8xYU2TFf5Bb;tdB8Qo7 z=Ed_k345t1_K2B3C8R~KqF3^2=?xR8UlaVD%oTO-)_BsA7hwOu=Kclfq_0!4Ea!(D zZrp0Un$oSdXcs;x{0oRX8kOkYk}WjZE{drxx2~UppDn4eN2>;f7cS~hE!rl0U@1aJ z(I(l^4H^@x!?_qYjaF$`=f9h3m(K2)zCK+Piw}RIr8&ZFl-7kSA7+$wSgSqHJ9bP3JrWiKgT13FvV3oVLG*BY))e_{%K8when05paA(2u|=9Fq!3Xn z)?6<-Aoi177H*pAnHqydY$n% zhoKI%YMWFhNUq>pa{9noIBR=BAfBr+PM^&+Mq=OeeftK9cpl7^ZsJ$T{H^G;4yxM(W)*99n&j;tC(@(uS(ZSpD><@-HG)#T@jc^qMfIeu(4t(0 zZIfCg71KM}&V1S$Xa!G5uV$M)(X<6#ESOokuof(xiO)BLxGfJ;Cu}gWgCS5Qg-r58dRZL_Wyg8i}25(E)Dw*$tCg8Fu z#Y~~#G;hKtH-h0-r*k=^GQ^ramy^J|6Y!tTeiENzo&5A`X*IP3^QX z2lFn%kVLwqbVfmHjigqw_Yob zAL|*@!}HkPkI{s(Nu|^rZMB0#v|}8*>dmn9PJ#04rqe*@zjYb1KJ*fc@B#(x|7=Bq zP+vv`+gJ>3tdG4=;*6hc;l*sC2<^(V?dU1Z_mSw}Srx6{(CRD=5lP}|ksLh>L|$}= zj>1oB%!6VkX2d#NTuaHkLSDtN19NLk%&5F7m9u+HPy*C^h(&;?`CK109%)@e^0l{9wpR0#N& znEU_j>29QPzi@_+OtbI=n`ob|wZ{@DDk@q-DM|Q&L{4AlltG?{U=-AONNb_cyHxn!NH)%P`(g=P}GR zqO~|tL7{>%Wgw_VmSVHNGb!!ba;f9`4$8lVLT;2b_E?G>b&{uDtwEkf=x8o)uB)}i zz^ZvYmOhU33aQom0O`;oQz;XSK|ZcNTvYN;7YNxWDgX$UgoU#E^%8>A5KgWnVI6VT z8gzQ%DKSBC^KazVk7mu|lsdW^4bK!|a^pS#1Eg*`g#rCrQO7wp^{+_Ag}N$b)KT1^ zG~ZAIk0>Ai+G_JCm#Emt%M%RKbPHpXiJlAqBe)XG*0fF-uYXOKYQ&FO)<$sMbY8O` z2iSjID%Q})xnA4rtbuw>3gpnc-o-$_D3xYDF<-BgumvPqZ>D+JjkXOx@bz{P4>pT6 zna8HrtF9i`G^BmCZ7)q?MbX0yS=`6~Ia1F1S=XJKXd~M8W%bT1 zsYCf)=e<9X=~-zGi*yjr2*?`-tv3uWZ3irMJjMzQ!FtgTXRsvpJYhv7h}DRASB=L; z0`p9-u$0*hYGW5HEA~loHBpX92_M8chC0L}&;p4!KnW+F9#bP&mGy~~tNy9$oiw_! zni749lo>t)3A<&&n>wpXCb+4cl_of;ot-8m^%x{>9OPl`Q@AJ>H`7ErU0s%M^yVd{ z<9ShseFLTJkvgasb>>s{vE`@DE(e%zZO-hQLPZrf2fP9dyXV+K6AX)Y#_-fn~)+Wijo{53(oFi+Po> ziPqxRe(qN>Ua%mxJ)4D?sz5V^R2K7YT}Ku>e$9?0G(Q`f3txcG;aFvJ%z}QagB~9G zJRLN7=@v6RmnX%$H>E*rZV)oA0^v;HTo|eHan*I<^`J1_likE77g)Y;-km){6Kd3Rlf?R2E zovG{6o;6bi4>6AEom%%A%o>V2fL4y!0r&78^i&?05r|^Uk&Y8d{RR&M0FdS}Ngifu zqSg@`y%>Pc`Mn2fA>9k-i=ZM-(ZdmyJa<;nhpwm-#Zk72o1=>K``{RW{I@bs3I+2Q zu&uAo!wwhOGfuaJMzP-OdQKbXjA~f`qbBLnjwY zloQdq31##yDAXbFzP>}$!w0)D%6ck~G5rT9DkjvHpFH>DkD|+WlP9XuhIDxmNf@6W(k&YL@|IH|_R7oR~Q%6k>&te?2(Ohd_0}$X;BjxO5mx$68;zIj8+?D z%v9_Z%^(fC^JuD196zN#6yoCvwNq7|UBrks4`MdY52&iyY=?Zu-zjpjB5n?nQZuq%a~a}0ISRG6Sd7=)s*n9gxMi>hX0e-1lUMeTX>``N`xkPn+E zv+(ojygw8-Wd`G!ygF#Q{teCUo#A9PQ;)i0x)RpBl?fCk?8n4pa7-gvQ{hOWTkSN| zO}9FW#F?r!;4)yuqbr5A{PDe?iuh={fwu!VMl`Jx-BAAgEYbRGg{! zJznZ%uX=_GoM0SwH5JYw=~UsWgxq4K=7peXYi*^pq^aB&>c-cK(Aa2^oJY^W!3J9B zBbbL|{BuB9a#jlmOrC<5=+D!6INs9O#~_eCkaEYT#P+d3T0Mi;Z!L+kavEGMW{EW8 zUuuzf6#whPX#z3#6p6biRaA>oV8rG@G#T~wlaDA0oFv5ze8as zqCKt`Uj)KZm=Aro`T+i(WUN^8&d-eU8?mcG^(iKaE1}7m!a(0hprsP%8wvCz_@qEO z52}eAzskzyVMw4h5Z$4>ffk1SK;YZ#UG7A+A=sEX;ght5^6q5Jf&Hf(u==%nla$&%T=E$pNubn7*9#w zhB-*}Vzyidzbe=`9evu%)k2jv+^3(_J{IZ-qS?~q4jg}2viFf#&|)SYVtUx&%ovk zX0U(GW-;NJKqU@-B)LO)6G-83`E(YpHyfe15DK8(S8*C>;1p)I;iANt178B^SF>C$ zu(t#C7_f~{Vlr#5{&)0&sFpv$cImB|T;o4A-O60SA(>@=0IyK+jnlpQ_1OOQ%kA#~ zsQKS2yiXdNIrgu-P87@}-dXBhGQoddDAZpXC>?BOSV|JP!oOFMhj&* zDBGGHJ!fj1EB&qkv$LPI+a$wtGSsyg|FvZxTpgz z*gDPhd9IgAHP~j$XsIro{Y11wI0lnhl)-1iT~4=_kv+V;ym(zX{iBS+DzAoCK9u&t zrBA0#6PN(jw1pf6YSJ3I26(f;0l-WyCq1Jl(czrxyECyH7EWaS-kCO{ES(l2zBb2w zPX_O#GXC>6wdK@SwscY@^%iC}ER7!~&YX%KYwHd6t~MHW94mHXt8Df>H2XA~Js-{9 z2Y-aH`y67I7{<`0uwNLR%QQd3qU&dxPn7oUMeV|)X}s`}N3W%PWc~$(cwiRl%JOc8 z*)y}B$HuOG`$_^Yzb3_e*CucsLF~QPW z46o0f3QMTmvk1fz|M`LrYfWx{{gW#vh`0neR3RVY91n8Z~9pT@Nu}RdHl;N@9^m zj24q3VrT1}+eY_KO`omb+>V|NcGJO3kfFYX;&##9!SpXfzlWRpekZi}SghC|a^(m>#+H=#BhcXh zTBGSw*FU=@hfb$3abFTrxoa_S$y?+~qh@CCf>`{_UvAL6;5CvYr}1vC8NbfWBKcTy zy4YL<2gzz!6)80wMjlFa)5?q_l(-Xa(iOQZ^T4yLiKr|>+>;q_6S`pF(YlFXr~;sl zu3io;Q`#o|hAWH?EEEe|Ll4jxQ8(^b@!68q0s1xoj)l{-81k8TO)NmkueTDF*kFV; z6&lSXJh`#WnDLADxejvsJZN9-1?}6Akwp2yz7lFtJtni?17NUS3 zHLp>?dM=>8gJ$mF^@XRCcgm7Wa_dg9k9g}+I+f#OqLSs-TVp4iAU!G1g699NyBZV( z_jHZClr7_bL1MeS9m_AIU7(+6ybJQCx9^CB_dFAwWA}v5Oulw7X2tm0zyZYdiv_FU1rgr3MT(;3|> ze}C5PcRd2e!bWq!f}hj9Us0>d19UfhjKp5Dfft|?GkmlhMI5D&vaR&~3^z30cIdpl z@I9)9-;tGGq_Vs4;AWTlqf3gmkQ3X&uQO_CNG;vwq#;Rgzc=qGrM0>!g!*?!G!1r( zcWGBnEmi8mdwMcm@5Rhn7unL1=^sg=9eSTcFXWWL(uI9)B+Hg|QC+&CpDP)SHAC?- zCIRx0ol13dji$jdJA<}jFJwPd_A@#xnZ{?}!@)jBj2O>giM|Z2A^gJX*fSBW&kKhF z(}`a*e(iO5@B#criAHul3=oE3A6D&}3$bt7;ev?`bUD?{fMO`muP*;0iR2& zXv4as5@}F7Vqz{@DY=hG!)%H4lszI$)>NI6^Ndwh+GXSP8SkIE^ z(+jB1Yttdk=#g#wG{nV9ohD2}Ue+ZIS)F60kMzp^w&a_)De9W@LwYuUL$TOKf>sU?!M!$tU&_?4WRz+G3f(~=wmG7a>61VN!`7$ zH26*x(-pOgY^lX`cM`=~>8@}Zk0lGA-e*Csjx#70Yawj|IeQZ!j3qg&baObE)k?Yp zvS#Qp5n2NHIe9*2odpP?_dfW-_sSIGY!0Zd?38YHI1(d3y?nHgg!wq7u%Q9IrQ~#i zpfjeIfri?V%`I-om@{Pj=AP-vs|g|~y%6A}z0*-$Kv`7+ZO7C0055()g9GeC@nEI5 z0=(mTPPltGIVBt_!+{pqZATNhxjqHh7e1l~d4q6tq1h_H_+t&&>W zXVXx4WgHT1?t=o$a(syu(gzI=6mU$V4gv0BKJ)=Ix zQh!o&ve!*2DDFReG|f5=oD80?0s&2~qvvrD?UYNjcRX(!HY9-^wP`b-O?wM2NVJU; zlUWuCqaAn#q4|(q{Frf5D){%L58xPxLa@arfv7zXqVh3kd*Kw$i@^miyoj}EuS&g9 zjV8TFq%(L8NH51TvX8Ozk-8+k^Hqp?R`v?Tc~9BW`{Se=8tcYEHwXO+gf7s{^fNZ1 zFQI9oXW>HHoB7D55I(4f6dj6WO>aTBFWo2S8bt_<7Q4H`|xmu+bDiAc|v5wTrq zJi8ohF?_zal0ICc0l=NEJ{q7-h!x5u^|CIk{ywkH^Xk#hnfE zm<44)QW3Pb6=(9rhv<1J#Kp~y-!nwtxN`7fX>4DB zc#9YEkJ3&sp((Je zU;usalJL>7dbVcd%)6dk&Arc7VwMPuv^2y{8PYaelkm>uXO6y+9XEz??5*78p`7U!`*1eT4&?MmFK;B$r?4xRI)DLsF#g)O^*y+J z8)t9prJES>?`K>K6J>m`0CjL^S0JVVftTem)%SzY0ffrsV7@O0vs?})uuM|9ucgEJ zd%=2NhTD+Irl5jARz>aCYIVLBp&Kq|&`o?P+uypsOmL1$o;)$u&mdnYg*UnfK%MMI zYyK-m^M7@Az-Y?Il+^L*_2>Nmu;s+J@2$3+O{BG@EgIzWJ%PT^HRaF^4>TBM@XpW$ z)4S=ldZ)J2dUeAP|Dx-8D7MtUbfAI&g6}R;awUulZcR<*-qsYZbehzvqIMCsUb*h6! z({&k)#h(e3C_0{+3odHO1{#SFSNBW?H-C!H83#DH&eVuO4Se?dYuaM_>zQW-^)C)W8k}Z_& z^LJvAGEl;|r<*3mr~mVO3Yg<0Y;krR$kOj<57kGC10R&LM>_Jn3KmSfP6M$UpOD3W zC!?Q4G+@4Bb{2;n5u!WMXrc{<_s2WZ0f?wlUbV1`0i42F1m2#R>5b~hU=>HVL*45& zVMYf^7s)El&)}hZf*SV#r)t$;otz;DYkmNF5EipJt$bL|4cF5hUY>(jSO%m0IMyzz zXn(GEDB?bu!7ar0akEw=+QL;Tw~`e!!;$na3H~vI+xRS!Z>y~S3E4v!F>6H!Ie>@Q zt<9)DUtJZkx(U=TAgd2sP|io`61U5n;Ese1^fa^&Vg#aJkoK+jgF$F)z)~xXF(<%f zZx56?Pi7`XIXrYKxNPVtR8hSb$A(K-c^G1MaQG`Ie!_|zbRTxLtB`XXa<(g@=;{ZC zQ$Oe;Gm~uPG)D+HkHJr$aprJ_#Lo4MZwT(s;MKBT*xwSXALvGpI4K@llTfPrp*9-k+4*$2TZ+Lms#yu5kgJm8fG~6`hk^T^x-4{+mpDLX?AE2nNDrfW?rC zpitE8W6wBru7{6D;yrb@!b`zuKlO#ms95##Os*IEW_O%O9JU2uv$Gk=eh&mQcxKp& zeo-Eeh<`GQx4dmxI4%$6agdv?aq?!zQM^sP4g<c)OH?wvP-_p&h8~AvbaU-gV=fHaF#uvN`lOIaJoE0*;^@9&i$J~(0Ib%lGRxi z@}3Qx1`Ohb9s!?yH@h03?ek={Yl7I()7=I4jk;ext104V#>6sYnWEf zpUwmIQveUtF>$ZJ0ddhOHGk|&vt!HYD!16sx3n)V$}oR~2`K}%R#LK=uCmf$DDYMX zhRcwXKFzj9rO$$SA3Hj;Rc5J8V=DM*U^PpJY2{x#&k|X&@*gM;+WiQOnLiW#0H# zb~O}@OsXFXg3`EyupQuX*T9g4IB*vCYUo29hLOj8A>3aOy@0La@MnRtzt&WTOIhFx z3pTvgR95_NKs}W$sUUcH9KsGegP6}iS#<|kA_tNIGNcF8dR+6LnsI5 zPYYr9;caTQB$Ho)<~WAVayU*QYK8Qg!{O8<1eQyA_~iim!eoSY$tihLUS@*Lj~Dy_ z>A(FF%Q&in$ut*-A^@Btxd_xL^Zqp5 zuHOt!@!3|)HD39DiEFH98ynvk)reEPkejSOUGSIw34eV-#w8D*8VqFYUvQAy?=v{a zvS4tKA2A2{jPQm2^v`&MeJp4Gu^8SdDZGa&kqNmLh$HusOr|J@bq z7`wXbwAs+UA$eXNGY{Y3fOsrW0SZ*fjI!$~FD7uQOi`bdWqgApF?_f+UuOBmWF0)R zSd8Gitm@z@ly}v%TNOwkqq(nQUh(y)!}Szu!GN)46Haoqc}$~?&)Zu z9*U_w()!`A4gON_cL)BM;f0|dknqFc9cvVmxG)x=5+%RXffwsYu4X@YEfGU6!Hja2 zndRByOD;wnr5);E*LpdA2QRluv)>1@Y`J6<{(OD1L!45e_&%`9a2S(B-U@r+bTLhUjW%+$qe3-z9n-u0t=*$ z=Bzy9vQfGQp3&9C5KqVk;!YKid6r_opCPxFU*wHN0k{W91`orn5Q+QLomRiYfd(O^ zg8Cf?Ek2PJoprLQPpftxHv)F5%@t-TMNJUXamUy5P@)FF34>Ah8i3gZ3Sn+;o{;0$>Dvr9$1@Xl-gUSz=VPZ6 zzr2>#%S<0OGg_7mzjMT{bMi7g(hSvmXc~{_dZ%pPiDq*BJmq9;KhX?SoR`xqC(He~ zk)#<~D8_wAUCYjl!%%&Z#+syOn}c^O!!1r%V;eS?0r&a7r#|4a_m~*#+)mc1{N9ZB zmsET2QHWaTWG>(g*I&$+#hJzA)TwI{kh0UoovduiojeU4lSqzBqZVaD%k_`7P`zV& z+6(9K0E&fmlZ!ZL3Xi}>_Yde6(Xjw}0LVO23U$TJ1Ruaz=whbuOjGnflJBum{h#gP z{?AnXhc5=hNnCqeMO_8Tv;xco65fQDA7FPj<*p~&*3T+RrfA_kGY)%sI4yy7^Con^ z##HNpMZ+vd*9XB2S6bGxN^|`#PZDBA_mV0wj zDM(kcE(OggDo;j!2&R~2(1*Yp_M`VrkS>wq z^J$D0U|wZ1SXM}T34HsTeet+cNdJBs&qhrP%sBQNI0f;&NZX+85pBPq_i!`|to^3E zN`wGhjw>f{{Zk8cU9|bKRQ|<%Nt-i(iX*04xW3P%T(*{({*GuOXw!yBm*b5?G?nF6 z0PM|{PRiEV$kKX~s$iEfB#Ar#%1Vp_iFZ$Vo`zly718ajs*!My(etrx% z6zKti{vZ)+MN4M}Oz3qET=;^m3>iwN$r|fI)Qqi^Jw74FW3sSwtGKly(sxx;>l52(h3!I&^#(q-R?K1a@3<1$mub zNi!RS_Hc8EEUCO=J!w4OGl2whhz%n1b1LgapJ)Lr0L&SQ6Ga@NYjL?F&IsKE$@~~~ zv_s#^Oh?i#IsZpfdH%cbp7^-~^7&wg%|^avm1xC+e6S3R58^!<;bT5!X$f?LI5f5( zf$o7f9;jaun4J>M2ip>FW*bs@q~2>$DW92Jc^?-<%$8b#whNfxz7HEbqBk%K;nvoA$|NVf7 zgdw~zrW|LI(6m}6o{=YG)PIxEr}(l7Mwxl(65`)Y7*QF^^3_!phY1I*ao#A)IS`R6X7J8-o<#KoxWcuj z?p7cZ>-lgokkpd>08xdTHK9g?{)8*kFmUfnZ|w~@^9ZRvoJM*n!c7Cqs3)Uh^>m5L zn*!u+v12+*;INk?W!rS#9p4VXJa3LF$K6aYCesaS2rt@r;1ehlw@R1BG3uNvDcZMm!8cF~=2Y*wSBhC(xH!=NNg&tR- zb28(+07&M5Jgw3es`o`v(AXbY-`EXdV!Lze~G z!CaM9{fwAR)0r|o7Rc%no{e*?Ez#%$DQ3p-miv7+kIzSZRowFq=&EbjZnR8Z68C)o zv?n_P3I#4KLS(hXrW#-qW@DS0=iN=%Y5pa7XUJ~&0&mBaowOskZVUL2LO#ff?ONqN zBXh4*xxZDpi)HT5W$t3+{*H4m53Ym51QcV9bFY?a=O3VkMs-gsMY0b{CH8n_Z1rKi zEzd&k?}9E-_q#Ip8!GoZD)-wmca_ZjHgfOa+}neUx@XCjCy5@SBZYV&q_jp=k7df^ z`dZsAWOI934v^X5&Q6B;2YJY6V~HqHVZ&=Jo7w7w)4eqy5=l7p+Iker!MM z1K}c^gwrzcNW-mkp;QN#P|VZwnLOuD36|=0I+4Mb7C>bm?1nnz5fQ$SI#nXR1ggpa zdWcOoGnjDw76@Yk z@KJ43k1_Y4@J%rr`9d*PhSF91D38ki3Tw{aYT?4UJ->CUv6|w4s+;(aOj?(`Hzg%y zyt<|H4>qlod4@(}A!(uM#U*|{#09@(tHnJ-l$HcXA^F#B^bHS-PQpD*wz7FSFo$YR0eK+6JX}$Mj2)t0Jo2MMex9PWqmM6fky(|;kL!}Cp z!`ZL8-ECo(m^}<7Li26;{lvizvMJ)qsacME8_qt6FkD|$`1k=FFu&uR=yC36Pcfxd!)?t;{YBlLsfE1~ z%Be|ZasP2O{aG-6H&<>3D>ZdvZJqR{{K`~oJLQOF?uVL(v8lVc*|Dbldg@b%Yf6*g zGd5M+ByHyMR_&E=(Sq7z5bvnBv7&JZZ`-%QACk|%$6+@?usi6+Of*mI9xaBW;&W1K zI7eqC@J~6ssOLbKNsv^B#{tY1~0{v_URlfwEAl-Fzl!t(PD;R|d~=P3^R z+>IVRGG%Jo_)LWn7hyqT8~=Zyp~clQ6(&KUoo1@wjP zynq-Q0jkb*uDNxFJj_wYzZl!dN$kA(iR z40Q7p-|aTpDh7)3{z2gU$2m;}r4c`n<34ok5k=a zn9^tERX0_vofRq6R=R_{BsYs%>Fzkmi#@ImfMq#Sy$ECwX57QWCw26@+6>7TrF5Mg z&L%|(V-k9nbWU*1sS@7+{&!i(6I=*FXL6)y@jZ+LVj|pE`R>d$%1E_E7rw_L=n*q@ zNO}RF-^ojypM)ZsV%gWFM7{-Kxz5JUV{+?yQimSJ;fS@ZM%0(e?6g*g&;o}5hWbf1 zbv^Fi6qBH;Dx9(x+=eK63~tr%v;)9eh1m>&(0)lT*Gt;DU*3qjRi2f2L(){-ZOxVK z)^EBRBg`XFE^Uq<%KZbn$#$c|_`2_i>nQ`y0|QF&0M#!ayWj!KfTFAn7i*rPR%S}E zC-kl2y9K9( zQZbI}YM>|#JH;Kc$ z#Y|IqIMs%_RKq^90>SU4nKp*6W$40mWU1U{a5ozE+cb_S$*a_F1dE*`*fjX{-_3cX zIVbjr{D0(xmps)~W-QumeAif8UuarbG)z)Y2a47g9O^2URzJC~6jNEc4@bKuW7%BI zIB;CBOk~IX5?JoisS0jc7~kr2$Y-=1PEj^Gnr^4!CT5YpW#CigRbm)Ged#=>Df)77 zib>&gwVje+PIFRH7+r0)Qj(9ZuXIqNo!TbR#5#}zEB|!HfykHuJr`LgLVd;un4pTP z71MK2WGX91u4H}m8}M`a73X?A;G}wr$Q+0(kUITq2>|r zPHT-4e#}tD7#}Np=ZcsL5mTT?w?NA88I0o#h4M=HQFSp8E6a2x$D{pKqWy&{X3V{H(|=KzBLk{%w1g4mTcf?8HD zjLy6}bF#SOy%D#*MP1yq31=^N1ml!3DBX@=bYxSekM;(0N2mhQ#|OdOj|`l=ML#;p zemu`E*{I_cmOdX6mgnLYJz#nKA(~cAty#)^Ub^$V7^IhK+Kq#|IDGL^K*Ize`}fkX`Z=i$s-3PTVj16I@DNWqv{O8^ zFvj+Py@3rswNhgghPq)dvE7ZEvy*7ANuC+vct!feey`$=?8PF6 zbz9r=l>9l zkBxL?w0wabAo~rTT@3ac{{XckLt2cs3O!4k1BWy-v*w7e$YA>Zwty2fbZ0ufjsw1k z_*Ce<;NM^S)r3_=w8`lHqkN5W79th6e~ z4b8Bt8ba;}Tz(k_O?HRia;k2VQL|@HZO%U0sOu4SYK2P z>pw^odQz9ohWHhM`Y5c7T3QLCVJB>WNLeA*OdaX>G3T>ZV#gRB%B__K7z$={{<{A(N=8HXl4CR3L3wTubTL;HCOik9NK z0=zQ|a?R~vl^m>uXa87y$X<=xN+%Mo<_SA2()X2%=>zT>kUYJwEsmbbEvq;^Bxcqy z^5RVJms%mM!s0)s)xq%s$HiM%*P!anlKWdt%=5sQFoV~|KRJXWdT`q>$1OUDKPa68 zmh+952pyBh9~LVYgYRUPYJQ!-T#>%(aip)yb?aUY49K-1%z*suN6ZxilHTo)`j3m= zX7^txKpLcv|47!oeXVmP>&G7o*_0%sBd&)DwaAGND$pABc*edwFlfNdPuPfX5Dl zn>476lsQ(jD|ly4e?iLmb9HF@pa_MPk9%ZYv@}y(>)0jEq*Iig+A;ZsvoKO|%O1{) zZf1WbFSh1F8tglOIWph-6|07<6jn?3g8Z_x6kH?tK2ly)+tejnU-SN2j9U+1K-^rc zIyCIGrVr+&Hcz(vV`lwDsJz;8Esm)XERAeC2$%8-u9U6Nw7T;r#8b}?whTLSd)ElM zmL<`Ba@AH6+jix_r_IunXbC$viDAjO(LF6W$}zD7n*0cw%F_rduk?s|O1ebO7Htso zrCjZB#l&g1hwS=|ZQvJH31Zf%(nCq`PBzoH!h?t6maut19WDEf-x`RJTe>$Q1h$Ps zY(@Nyc$;#|7l?cwQVUhFo!|P@KjEIf=a%snTR2S)Kt6kKtbU*tdcE63H%9?Y_F?E9 z33L@7&iO8dEg%{#ZmG|J!3-o@96t)H7#*I*yZt{ykU2KF3yvaVi-wR0w#J!EE#VHJ z-_FeH(o|+vKWPEBi<#9m($4%@3mu1$z^n@EEZcDCb@~sVEUtWctmgc_qVD{z^&&+$Nk_o8}!OZ)4 z?emh?UMubT`$812T_L>$Rt57FR#0#`z300=Y;zTLy(dGOmiO>kdlXt6$a^Q;EYiJw z&laoCxSnwi`q_k8-I{VX0VfnJx!rPmZWtOf!~#Ef#-7)ei+L41uvX(r{ZQQT6AGVK zAJ>#oUyv*Z%wnBnCvZXo7wFop=fLhdAWO%gmi~|6p%eukR%X${Abo)L&|9`a=MoYI zBKkA9r=yXjL14iSH*vOBNaDPj9GrY?u6GSx6@k;3lai}Hg zq!xJ76HTgS-OY|2JKlkuR2B-~CEr&4)~j&O@dirf<@s3(BgvYUxMokWm>;W>pKA$A zMUCh%Vi15nNWnG@uvc43Dk1864L+&I7CMoXD#2cHIj`$F`=gc+1x z2GIugv9NXBmPg0-=kEI?tGWn=PqAq3fRAt<38&z&*dNPeJlcgNPxP`pQ?UnRrV1Ao z;&^*>Ny2aM{DgOW-2~3WAu4zDhiwr|Kg#=93Uprw1OEj4z}mnE!ozX9=r>w`VEnYdQZv~Q`my_5hqjS2p6{C2Axc0 z6Lnz=xPozjalEiaA&xJ#ECct6xF4iDMG;&mS~?#(BfdYYq+PCG)g2ZBK>=cDxNph>gG zIwmCaOkaYRhM=lvo!p#**otsbA;K%2+}4A5pIdWIUMcX7`Xn|hT|Z*cOJF6v>& z;XmpjnO%z$?=57V*CHpDs>;mg5!M+c74io4PwAQWaWgCHlh!Jyz$4A1CPp^k^JY@J zyIq34iGc9*rx9#wI-!6UHiNV?8+S}juGAyi;6y_&ER|L`QpI!g(+r;Ok zlt;R3(XsiRBtc7%e*eg9$USSWFxVhs#0-ew?KOCFGTaZ#xEoSa@`I4L$?@<;jOkg$ zM_%Vh8*^=DrgEug zpi!*lQa4_r)H!@b4}c!KxYRFXsT)+Ohg7LA$Wr&pQeQx+PftUs--rCMt-Fm<-$M3s z_>!WnPRsiESvK2dVH+CZ*t`MfZ3+>DxQI2(lpFGxFrP!C`Q~jj=+{8*jzdgrx)P{*Qyrp8Yz^G=$`6Evl&4@fam>nE`qH2wBk!{HAB}c-U936$tf@U? z7N3XmN{_8CnsSr9(s%Yaj;9wxTm3o2F&MyUDNbmA1HH^r4YVc=Y8bp>P!gNd2C+Hc zRCf3=7kBbpQ~R*K{iJulOIpm`@YZF^7aZ#0?xW()HvuIP5&6TvFLfbyro3JFa8t;# z2(5N;-~R=ltlG1mY$$mX$5#`B4@t#EXSo}F# zA^O1}5NNSxRPXU6Ie497HM+Ms^`d8BNPbe;JLt}`F7aqY#xI@*J~9$yWt6VZ3@ zQiOBsEt-BcN|2qomPE zw`U|KRk0u4UA)65g6dDJUBnw=XAV1R^_wtCd?H*oefoEgk}GZWsI-*-Uxz2YwJ|&! z;T5y~xl-75Fcz;F?}sq1VJVeX^nYMb{!S;NKNv?%qsKc(kD8KvsR3$a?SvJw-o)uQ zW}>N=v3AzK7T8cX!0dTB1iRpJ^2YYd%fED`!atm6fNkq*o~JvjZC#@A@{wVe_~F;5 z*h8ai8!_VZr1Xos@}UO8tHnAFiBWpij;t{{FHghGX8YluoXR$vis?9RCfpz|UTw$H zSBj`z37;P(qD~wsF2eBvykhnF(lpUwxWKJzC_{R`zYg6}Q3)SHC^-h|D{Nh$NVP?s z9&{AH)A=6zc9k^2iW^dqW2w{+d}9LX5a!jm+dfR>;~Z#5Fuo&`sDl|t8)%owzGF3u zq4U&b{b$(oVjRMARQMc+Cy3RsCseb}VYk#`S83Hpk8ORxa&3P=?vhb2vw@xiOn31L zBYLQkwTIo22REJ}&Z|k~;bEznSgqA755I)rQ|}1GOvGx{P1jv&&6*xfeYESH3XNDp zlya4U^xd?!_jFx9B<{Bnd;(Sz$5P|O5L`dEd8LTlP2)9@jx<&e+iZB-gr-fGbqu&v z9TEIK$1&=AOhhsa?2mTo7JiS4=;BNrJ2qm}0tMqOhx6K`;n)tlNN{dauOmdsYogGHgtYcgFcbDB&i|6!3&J&bUlkTCh= zPmjFLBEuJ_cb2gxQ;L!PyAi%Oq}4pVPe}U$dLSgMPYAr_Rf5?Mf4xOM{rhSa0r-1E z+TR-zc5lcn^8)7wEWj1N$W{;(8*+Ewkdya%f)(5i>c^DQ(;}a&Mn8Iov?|aig|zoj zf^7Na`pfYr@#lXHH_r%vxLl5JWwzkkWcopVn@mh%S$n`8RnpNNanHB~Ay3B=k&8NhW$OZbm zfPz2|8s+b2d~XG}$$+yK;3dj&A(8WR6~Xf)$Kzg$jM!|%qumR+-Iyt!r2A#NdxzZJ zCnV7mTo5!5ejM`SuV-H$iww_D(Iz=h{dt~Z2l>RFJN`HOx0zJWxge1-nC4EzmvGHzds8@AzRBnKH{r zSN-=P&1o0e5jdX25VeBaN5z78&KBUya5ESZj=kqM_Chivh=Yaw+=H@g~p( z|I2^Jf`f z`Klqkem*$AX{1W;c~Lr#H>X>S^hHLxAD^+8;6$jg?*Z5H5a{s;8`>9R<29FH){Q#t+%=(H4F(Js`hLrvBJH8jpMY zXp2noOuXq)RZoDdP0=kA;9D8-7nc)unfOQ}9#bMe z{(3D&{1q3)CmQjw7sXc?@!c7>kU#=c4%SMtt-|@usl~-oGyW?Tk0# zLk)O2?-+*^BfhT@e=*%qC)-GF;ZQ-G3AJsi@09)a>oN|nfzO06rv&rG&Rz?%(t z%s&&6`t*u%Dm_aT$M_-FrN3Q~<5jx)mh`uP`7}wakjD>~V!$mk$}#u@Ae)Nt_rKKy zRnq+ioIgI%h#zUh*Z0?mUtq-h>9Xu^0rNqUHp_j0$0vTGDt~?hyrg%`dVmADV87`T zV)3&D_5CvA zlT|&9@txxnjd){tP23KSPch0OL;Kb`TX$6qF$$A`JKuy^Uv#m)8mbFJ|Mv#|GHu%iA2~BmzZPW zWYanM{U>m_776i}aCr(Y?=tn8Q3|*3$V>(IfdPl{zL>w4QVT{}@%gfL#TRh??QWG{ zeam)BeDU|opD!-DG z6}Q(nWG4AL!Sai0kH4K^b5;Hf70vVXFqmc87mlj0$AG)W*ti?l(?}kdV^#v`%P-*i z^KW0M>KW9~&jvbeaO{4$pifBrU*b2fv!_}?elgxqdCF*)T4xLJ0*8SR${}iTC-C9^ zO8BQO95k(w-;m!~?Cm$7=CN?QK(B|}XTZ4)IElZF__ywe=kgMlTO>qWfC8)^m&UYr zJ}uA(glxxl^{0B4abRZruNs`Jio1X>=Jc>)i%emaDS!OylE<0TEhQF?Ha*cW&VQuq zj8PA;oZK+Z{`~vasQi1clEo-Gq~v+bk{_c^wrapA1@~uk$kN(m^1qU5x&oKu!0};Q zRec7TtdEa0;(5&Y!{sx)V2~y1#sDL4XG;t;qR0 zgmQd%{WF~DEepO(rbY7GuWy(~^TD)+6$CsGatrnwPhkBxml*KefBsxt?{*`-OeKRp zTv#vD5w17oZHt8P*DLv78w*=1qaUu-I|{BwMc40xe*V&ocrNdV0q1Ab>gh=Rs*~KS z+6x-UczwT8cBy)PXsAbyr+=IhcdPWNM#ok87il}@>zAcZoK3U?o*q%<1R3@C-GVpyU z69ncB2ZUIdCYueol(P!1bK`aUkRhi@eZxg77y0<(&tLMBMTXZj&M()!lJhRgUBB-A z?TkOK@;@R=X-wy^`5>-4{E4UiTcIV#L2_!1H`^eB@QCeZLv;R>r!1o}dLV zDnfx?daN`@}Gw`l}^?-(*hRZ9tR>2L|RDqIzi4Tcqj)-IY?KEAd;QAQ#`s;}| z;_K~J%>N+2pYQU+oxEP&&>mtUWLlS+?jTtA-u{Yx~`{p%d%g#QHlkaw$jMc9asI>}D*t>#fbg&L zdVa&tnPMIF4XM!m>%6+rI`?+C0=jpZvdcxx`;rc7M6%B9;+3r+7?~OD*jrmMoAF^MARQ~arYS^Xd8^gs9 zR&eVLI7v?)U_HFDZ~5C}8mi!aZCED_`$Z!>?h{gB@V=_v`uxLG{w0n3(`di)Yd9E# z6>6#c{{ECCs{BI?1c4ucCwN3i;sTl%$g9skU#3SDT)N7ReF>e!$6wA&BYm$XqwD2D z5By;)jo{+Ps&cX$!!;Tge|`Is6r7*$lk^nj@cQHN4NF$(?JijV%msk&kp3kFY?t?d zlqMoeeLF1^RlWsA{RaPD@2}(U&%Q|t?wB9W*f;$9jvsFO6a}}@fRpnKeBynBE9P~} z?VXvT;QafBKYyVS-=lGUX`hOd`Tg^}Z<@;At$}W+{iEJHK>80E*6_X|B}RGv_0%d; z4kEV zAI{|Y&)|;yhj82T|GYeZ|4L>nxZM}@?~?lmc)W)G{TUs$-vqvBo+_6#)p4$m<7duS z@g^f)?l;mN0)MFa0S~etiR9a$$7H_EVvHM)lgz(B<-gg;4<{#PVHhhV z1r;GAAPyjEP*4vS~C4@7>O@|UO{zAwS@j9wA#V0?sjbC#bgQZ9RMl-s6yS}xl0%nNtQY-5V$Zhl{s zJ4of~$D2@YeJ<@m{$qb4_wsy$KL1vDTEFjxL%@shR@96OcWyc?w}LP?Zt`MyM6}72mN)js^&*~M87=WsLx-c`h$N$KN;UoqMmIZigp~Vde|@X zdBca}^Fwmana^qZ{7w3Nu^ctvZ0`kl2T%?hsAr4H9i?)?UW6|7JgLtwpdLAbko6|? z;20wKP0BU;k!a8M@-uxZw~v;;P5O@u5udtX+n#@g-}Y+ELG_orbXW`hu<%XW;hVR^ zpSB%7+YY}}`QNJ_#D9`+_VHNmuP7hhmzukKvy?a3`-%AcL@lqbb57$r#q@kK%1x-A zmJ4?buRQSiR(*cDK4)A>oQLv%DyE;VjzRm!I^d!mW%r=_ut{Y zyfB*!C;sXW@srrU^z$+Odgag1ep37-&$sDwyg(fOi+;j*dErjtEc27pUy%Po)b|@L zkM6TC<$Pg#{uF)#GTnMzOs~m9yAyt!@&`sUO58VMx`yjxy1(diDW9Zk_)_H0RG$5z z*elPM>hljwivl`6XWYkxNRTUSjC#&hIr!mHnJ=Ro-n|K4puE&;(0fCa|B=i4x#(p( zwT3e{8BW9g#N0SJLt5J1{MDHLwrEzVweB26^gpT3m#F^GFV?-^LOZgXqMm#Iw|0De zsvV&nVGD!)ds3h8qWTwMTP^fZYB%jD-5mAsy-@g1{ejJa=kN#f+3?Mnp8jWCv0q?4 zJ$Xx%yGrdrK9@;7=?o9^y9>js)|9_;Qd0EK67__!ReRp z*n&S*eVM!?eJLh4v}@yCQGTt~Tg!zzb)aJORL6gZNV&oM(x=@JsKF`PKIu!jCF{U{pV)-;n25>hlNn zIrXjoan$#V9KZA@k-sO$H{Tce2XcJF{gMB5jxYVRSbm%Ge^Pnr55&%`Ka27wO^XlM zk4e19^M;?t=X2BnQ~d4k%V8YAZf#ftM?F&il78ufG5ymTFK1m2p(VSI#%a(+FF2lZ z4@bGNDf#Gb&wFJ3gi$N(KF9qYrrY+bn65M}<_r7k=i5l9>(ux-#HHA^?bk8gJCzS{ zjP=5WIBd$m(f-ljM7de2KlBgnyN@!0DYxa3D0f-CKJ3%W`n;)5U-sK5_ZI4#IzI^g zbf}l#MLG7Ce1fr9VV{PinrwKW*_m zRs8p)K7UKjZkB8Gk1;*x$yl8SNtWwLef~p@#}FU-UaNSB_HTJ|YPpzxtMb=Riw5M= z%Qzu+u74_~zlZHZzQ^gtD_HW;hi}VTe%arm{EMSn4AAkj^C*KuFA6>w~5cNKv z-1;5=XL8$iigMf!4(I7O1mOE3R-T=sT)tgxRG#gM@vuetsr_vIygsfDq^qslma#ZH zZF+HjnezGkmMVYt4$-lQzwn;w)Ck;Y(ZCPTXz#`s#r!t;e5GzbKkgu7(Hh2|s3qpZ z_!!+irsuqZ{ZlvxlPx(75Z3q7mqhu8G!EEqMN~|n+-VI_j{65^$zBoOH@a|VKR4Un zc|y}km_O*p;8+TU|3t6wS1SKG<(YqYSsI+w`}Xv%L3(~geW0d)1+K!c*Uy2ERG#Df zbLsmY((yB5rW1X_Z&Q8Dhx+)uVXx?)?L9y3d7h6x&+{ww`D`r@)|UY>|0nf1`+xW` zyx%0{75hi`j`qD=(@VSvzd`xkcZd%VFZ|9+c5=f$F20}$^J$U4@}*JFxb_brzUyby zx?{{p%``u$Z%N9=eYy< z=KZ2w^Hu(g1szSZn~p!~xTB6MZSMnP`ILBk#mLx16Ml=kRBK&W3qQ+Un;h@!Elm!O z4=f+<>uu^;(LILW=pLBBSI|+~9))B`G}hNWgsPGBD`#H<@mt)eG%fP!N*IrTK~{QX<;^d;hYxvg1=`Fbm_dwzQIBK z&CrqMV}0Wg5;MD3_0{@@drRSW!iT#Dk#zY$Z*4-94T%vD#jlC?4R)`cj7e6H4NUaa z@S_QRrCHVX`7QHHwV=Iwa$=;`Hzqtj+8-W)hHmwRWD*k8;DUkSI-R9j&*WIGXJl}4 zXc$@d_N_wJwc2R+#EOy_D#Z?&mxhqA*0*M00=!bABdhzyM*91sfD~t_du(OjSdc9Z zjE}|#JtM;tVM_s&5!&#mzEJRvSOIHrO|;ZXF-(>lx@DkZKFw59YuJrFpI8 zO6wf2ixr_RYIHv2CdZ{D!~FxxgKOuu&TA?44?uPIP^g>9f!8C_e#?>2N#4kBsySoh#y z-(V?gUwB6Qtoid>+Cw>{a)TE_2u_rw4r(DPgoV=)v%R&^iLp}8#2`W#UarYcK$M0j zhoE#h45-z{j|o1GHg=Cqz$iTFEoqI#+rcT8)|GHhOSN@&ORc?S)|}Fck)gicfw9u? zNC?D|cw(q;V#SC!cVcX9Efi6Lq<>&da99jV#1>*$e2n<^YD7UsM}`rzY-HX22oG&( z^A@1}pwjzC#)c5f@R&HP)<4+2eEe8Z6`I}XY6-$xOc;$aw}hz2Pwm6G6CoIS`^J05 z21X}F#!4fj6WwEomC0f0CXV%rkOms+5Lw{I{pl5{i28b8CPc6RYI;hwk-^>?3_)|1 zCXZ+l;Z@y(lYJ!!tSXJHLO+Bi5Mma8AqCYJ>T*>N64wTXN9qW`sSfE2;l2ugV`Bu) zs-YoEt&a7S21kaMH-&$s4{KS}T0uRPTPn4V1?8+|cByw{a@k;CXp-pm#4)i0kxoav zA`Zbfeq%jo1=68pv)U`cF6c%hKuMy~>g?H6IlgwB4b6km+!uc&q}11kupdIPP-|#W zgMH|Pyw&4R6rpeJ4)!dY?2o-u??|m@ZO8bVt-B8EUM*1mK&(myi)5Rl%Xc<1DtN5_<*Zm@fzXNCHDc4c0zT$wfd z>{^z=T4_oX-qA^(A-~`vmp!vu&hR%nFjB@E3xOvwGN-jX>-2dob4sY8p}rw#6crNM zeLWZ%`i7)^AdbhS^ObNEk4}#2_!Nd^sTA#U&S`H&J?T&o+>Vj6CQW4m9f25o;_=c} z!ruZApY>qCD!G{p7A|Nht$^bZRG~h#FF*jZGV7X**&ggdSV|)aU2TYnq4D}Iw$wk0 zAK;njhZzt-4_-o0_e_ipO0Us3HddNgRYS+tgK-I6t<`0zwhCk4U>~~*8Fa@dSl((& zd+XfArP=f6oZebN2O_Gp+m!Afd0`Yof#+tmjxauE^|OgE60l*{q`Zmi#BbwkhpqNw zXMj4H97QC{Scr+k=n$&Pf-m$u(wE5}8(S0IxVn3ACHjDol>>+l6fgF~(m|u2mU@yp zL?=3WzIa1M$%&EW%Ln^bO~k=DW-Ei1*v#1znm0;_USdL$*J`0Hp|nGodc+jb8@YHe zQ{xbz69bu3gpv-9jEs&;ZAfJ-TRWkndR@J@EQld1<76->v~o1UZp5y4e-z7F7u3*G z*2=TbE@fG3zzBVbc#MA9B;cJ%^r*rG(*r{I*H#S3ACi%-wze>fW2y;-@j6(rwj{Zr z9o4ck<_9bZ<}WO_mV&yGQEHfdW@}b!X`fYYAtU2-?abEjr))6(n5LHYc8Wl0Ef$B& zyoIyoWV6m_k%VrKxQh~@Ey`nBRz;+0F(h@ZWl^OzYtEeZkUqqsBu9LQ369hN2HGAb z30BTqn9Izhd+NP3f7YT9RiQ7T0<#!O7Sv!=nNOmnplNCQoW&)C)G_kUu_eq}$1wg& zDbW!P^h-}NE~bqP_l_gnCPpUUwXwcYEGT@st|bk+#Zi(TBdU~hWM#aJmR5&QmTW~l z48$QH>6>+OUa!Z(=k$)bb4xuVL!*Ozh|kh0Z4nrsW0A#HS;cfuU`81Y zA#;&M(fbkMy}{?Pzp7Pd&Fg59)kiIi7Lrz`+R}kh&%iKRNPU`x9hI!3Qf~!(DFch7 zloeS>+KUlFjHQ|o9C9NCNB5Fb+Iq%@$NW-1eyXb$D6E&mEM;Zi+SMat zvfPzlovWc8g(b(@wXrRQ*3QLOZCE_zI%EZekx~^R!O-~fl39dejEvij) zFUJtef>T^7Vytg@TsVia#av}cZ>E(bT;eVM7T0RccP!C)Qi?mb5SIkps%Fvm&E;k$=n zI!vCxKou0C+|h^XG31P(LD}J&k&?JBcm@9ILq{ZT!h=anfv|HRn=6CC-(sot6~0aj z&BbTsp|Rly3OSgd6zKC26XDuBvKni;x~IoZxjSyk-SJcIPMC6cVr=1c`A&H}GKv|9 zKeSdaStBY%7I9K%2&!6kFHi+_B-ZU=Wj#4I9M_UIFOxPWa~;GA{*AjFx>E(cnBI=8 zmIoqcGbHKrJk9bEtlCXqm{-U{S@)qCVg(;i@8r;^?N5acH+JHfr;Sa7j<|nvxTl19 zXPsD>t)f{=V(Be{rv4GEHAA-|H7dEBH;MJ701R$eqpk^6U284Fc5JCgz(|9K6Ng5z zs-Em$g(X9IO)s1jlZDQ5T&8+KiOhW=MJ-rlV>(VN&=&hjz2g&D6SkJ43V`v^?j9|1 z*ba~|gNdbng!DL8bu}ms%8?Zo07x;4(oU3y(YeN3>Dz*T;C0DM*0n3J86dLQNElrq z$;Ab@?;adoA*)^hba>HdEGWhJI7`H%WrN*VL89EU*&$C>^bMjL0EoMLvW*$s$^v1n z+ghF+#UeiR195h&Gk@5GGN2L8osW%y(_0q=Fb5k0LX_J9P_S_^IkM%a;lsfqq!@wM;^XIFw?m=6U;luO5}`HN8N{Q2Qg>zOT!0+8$4wIPs4 z0niL&w%-RRde^R%xgwmqcJ1i$k% zV`(eu#*V>1VQh-eLa!qoEL6uFkhe^durdfkpJ;`Al#W1D$WR4?$Gh=w*guv_AF2%5 zVUrEZvEhlCGJl*HgPz)0?U;TBuPlW1Px#mTrzw}ehFgq$=BnN}h_+M31zek~b$r)$^N2F7b|#Adwch>5Ua zylis0Y-`F)2bg=f>PaBvFSUSdqS`w0sPcJGECv-Y{>$lkuMJAPplVqhU-? z(D)JVve$x2!Nhfne?rMZOoq8(EGKdsSu4rW#^e&bw0v-6S@&S57i{)QW58y?aIfpG zNslMT3z*no<6Krop;~2fu1LBo6b?z31+QTW0`Z`8d^OHUR!Et(I$~OLdM`FTXn7RH z1f~!3I5=?jnX}r1Qq0zv157B5k4$1yQ=SC3xcfrpnA8q>W`g9e&5CraM?tx2?GXW) zPBK#D8XSj$hz3a$CN(&131R4&+bv5Gw&^k8i3lPcwlYmkt2%7h zk49i*2;tm6IEf8Z)y~j8?$}rZVGS3YDGSWFvkLcMzX%IzCPF{Q2$9l-?o5`N0ibsc zwxFGOK3>WmQBt$@h{S;vlos}^kllUhy``F__C#7zbi2djSi{#njy~-klKmnqcW@*l z%Tes|!ONluTPFBd^Ot3!tk2PRmU_ZCN=6D2W@9QL)re5VS}k-OkwvrA$Cxn6Afk6+ zuBsSwNz4kdF@k!)j_Lp!k)@Hd8JW_e@5RzW>5!A;Qj44ZI0{%5Mu}sv0#cdYF*$g& z1U;*W>vl%;sdPBVI}ALru;K8aF5j@@SYOs~n5A2UVV|AaMHeR1ybW`#;1Du#6=ZhF z2qFcUqGVM++%I!fIU)&pN0(w>xfV`c@yDyjqVL1g)i{TQIHvzNJzCD3u%A)y@j8OCnjB6 zUF=|egJW!MDlXyDQ*vYMOXf_P+G-(u>7OYw`X-x(1Xvv>0c7G3?w}Z?3i^=<6ykY*2Hp;>o785T|w7U02`c<~q(gF4<@r zz_e!>dJ4sO7S~q1Xs$9Ccq#QHd;biLogvuN?6r|mfDNK z0BZnAcM^xy1CijKrd;J~0Yg8`w?mAD2u;RPrtjOpJ7+ z70ceRzsCKgUZp6jCqcREkmI#J;R?O7|`XkM=fbtGXZ1xtgincRX4~; zgvVYo^oL>mrWmpmrD4d6ohDvP>1hdP+ZhU1md@478eGq`v18y}Xh)Mh=g8YTtqWma zc?GH(yFijA_iv zY^!Z52xE@>a;gtv??+MTGBC-Ib~;uH=7wDtKAt+v)v#GIgcFG6G6Sh|atzE^BU9t0 zuAi5MFBVUjqQ`L|p9RA(R3ppC&Ux zsk1hO7ModJ_)KE5h(#Ce(hk$^y~CXxJbh$jf=z5hPUR(2CgecQdqjoAkUbZdk7LP; z7(@qY4PGl*haNNrP2XBS8jBCdMzNn^4-vAZ7Bj zWq8G4q=q~_w-_NI@|cDZBzG4WEL@04CsBbyyk zDA_pPY?YLdl$(Wo`h zR^lcaje*}ra0-Qf0tK>XQk|i%ZV5AZ=~=QE5_m^qxiup659oC<>$Zdv+16vq;=5Z0 zy*|8>I*j$5m=WI6FnMjD5|L=7p}m}rxkZK-%JRLGY*Z}A3ysUd5RbV8q-V+L!`#Wi zL9y_3Z1Tl7Yh{-Y%l&*V?0fK3He_2`d~lFJnim3Tyxh`;vq_1M0FEsU^oK(aYQxAv zhz%K@w~O^g>$*q#Wog7Rb!ov)7W+jLozgYUmW5L2MC>^nTHimmh9#3^7WaMOd%PMl zyV5>SHaq?72bi;Bye@SD*_G zZ(#}nsn8>g4xw|!th>KoGA-ey!*CUq>Xo2fHfH6eK6%d!=eEJVk+3&|$MEp@u=Wh{ z2yIpp#ZFof8=_!5MUbtPx1!K}%F90if<~(hFFusdoHb|RIpL&1gir!LF|KD2r$Bh7 zSpZ3<^5PfX0gd{?G%D=cVIC^7!ByjtmgPW%@(A|J`#SN+LbH?>wrp9QTkGy|gcDSyow;i6%x2BjKMCwnW1eDU#~upaGQ*5(n8~1H32f(3vIU18ZPuj}|f} zo>Hff59NnFdhH306 z2p8FP3grk7`n!h)2G^oN!hCs=CS)4&g7n}3y0h^xFGise4Cp@bW-cbGA?rD_XXE`w zO^P#8>`Mk^E7;q`4CBiaC^IJ1C`ibER8~;(stjHS9>Yl`-s;C*-q_FpwvW|+xS$&q z%fxtChJ0nZ60*+lE@n%mv?8d1-cUXnAqQpcTIYJaA|YFNW}K8TdL!tWJ#S&lBAoBx zJ-WDG6$>WsmSb}~>P5FB>BHT$?~p=Xc^pFZR@Sfj%v!XY9?q=wv5zYC33SWJ z)Q7jn*{LcUV;0BX*cp`VU8WDwh*P8<9O;s)pN!D1@vs!H_2C#?-k}d(l)Zuw8+spC znBr+lL{EkdMag;;2O$zKF*!Q{y+!qerLN!BJ1vBRKGKfPT?B`R*+!fu)#(r25Kbqi zqA$AYsL zEIem{-JeyNb%uOXG5_q=R@W240jr_VkC^s)hr{rx_JWYnNdjD_b<&67||nL**LTkD>iyiHUQ&IR9@7AQDb#0L7Yz@8*DkY;`s5ry0U3Z z<0XyIN5)xzG%ko^LLn<8=9=$VQ0TfGvRspe%R~26XJ=9i)SXh1B7HX?BpUvY_BVC)*pm9sG^@Om`o)HNFvEe@ef6#MjC_o?oX z64muQAugs&K%lLz9a{-C-eG;xt0k@4|EzaRuJ^f=WJCPKzjSR9_J^;PAI1s(ve?jC zE3NqYuZ9l+=ko7A*Cy7Ct-;zG?^0tOkGBlu^c+V6P=~pt>>pqyA%7@3oDAc0GFj5& zY(U=Tu}5|mr=qzk)oiP+?UjyM^Oy?3Ox5U6!a-J?+;$IQ&{=_fghA|AQN#|AG>iDb z#t_~kTCSp)rAFhX7;Woy(^T(r*+8@h_H7j$A+MG*YaR$<`wZ{&%iBt|_~H^4Y+5v| zMdpdqVh_fIVe=wT~7yg@8su-68`~rSjiN6V% z6No=5Amp@Cd-6<)-Ss-Hw1^PDIuZVxRytRaT~1RpN3T+H;z{S46x!u9MYOb_g(+w>|WC%(wJ2I5PdYb4&_Tods+ z{k|Xi=y#REZ!0LeTCeaL;jfMAB>at09fYq|<hgz5?PB>?Qj;qDb+|s4(sXvYL=hEED^&^k+gH;{Wx{PL4XM;A zWm+)Dva1rZc+h28D_F16{1e$B6qI|rUcogGU*udP@g>eR5nt-u3}XJwpM(c7e-ajW#_%Ho|7gYZ1^|yciO|PIXRe12OQ5&c>g}-rX z_HOa%H}wjsM-@Kf)Q-DHse|+YoZ<`7+b>8vKTl2b*8qdA6xZQSv?n8^;B6sA9w0zg%_CPtDjKl)l+5lJnK@d z3swM(_^sB(Uh?A|_zx88plpt>re3bnrQhGz67Ht1bT3y^msV4kR`*g@&N7!)qf-C( zA@y6MI&E4h+fU(TPVKF(JiuI>PEnB%jH6<+I9T0PmF+IpVUE6Vtk!u_m4WrW8%b%MfKPMxi=%c*xO+_Ql-mN5_> zw8oh+Af9#VG7W?qEg&+s#KWxFWekXuwXMPW&nf(xQ=iaq`MQNoc7uk^cdR95X^dp6 zwb^~rT4Hv$!bhC?lfvCCk}?L>zd6OGyUHn#w20V2zQbz2lf5CkLNt7Kecr}8+4Zrl zLFz(vrFVfDyFT`NkYclAzXxiYI-Jc96#F@La-i7Hu>wG`1+tR^#ZHZ`1;tK{t_4NU zaI689_ss1(MCKvAqMpy(F;WZl3TjB4GbH-%kB zW!n_~!>G1b=@0b3N3WRf|48A>Ul4Nv#h)#m^L1kLJl78(qv}BR$mvmY~r2Pl*)uFjH<8={MuEE>uj{=K@&X>}z=rTt9T2JK(o z=l#rA6mD^f(7T>47Vu&#VAm?`7rnYNLRQ0&>_$rhwonXE}rtW4GIjmoqc>}R6VvmK!@r~_{=P!)DBG26LTbulDxCQ6q3YsQHPxJo-gI*CeU}Q z`?Id>U<=9)mSwLi5m=U}E_bl`+_~>uSDRZ3a|c_HJJ^EU!4~8WHlO=mY1!{A%pGh& z?qCaY2V0Ok*nIA<(A*j2@cj1*V}52q%tMkf{{@eEu)O<{vWS_P#C5d^$$}DrEhrJ# zf)atvm*|;XA2O{}qmet?Fj8Z_?{y?|=<)>F@E?^(fSa?RSJC;^&*nu~Ujws5&;5>sH}~eAK)u7d240)jJF> z$s^0V<8)PyY_^nmQ`ciaK|#fUW$-azqFOevIzu6wHI-M<3&;4vHy!K@3^jyEblm7i!ATzItvtncXbT_EUSy1XVkzu zb}*6UU0ufl4ZLF?8)bRN?l!W#V`mgu-mxE!EbrJwMV5DU9Tsfh9XqZl%R6>Wk>wrx zsL1lJt|NfneAu3vhV8b(u-#e|wh(35UaQ?0G6%~$ZaE@4ww8EP7oZR=2vD#L(7L(> z%et*A6WDy29?vamkoiL;_IT`2VCNq;t>Yb6@IjO}>>NSfS7JHO^zQnh5^p-Z@KDOT zXX{0Ivx7Hpi1O|>oi&F1c|$*i{PS)KB)xR8kHYtrcyqauv825Fq;83X^t`DXupim9 zj(6M=3!=Q?oDNzc$~!j2Aj%uo9mt1DtU5NtAjuoH!yw6YiY%jw^%q%IU|sIeo6r3!J)T~m2jxin<<0AO zx6+Q!JFZap&=kQPb>pcn6C}@Pa-C-K5l{Q2&FgsgHP3{~sTS5iknvHM3D|rlH)gYJfF#}n#rLaf7fkZ$Gh3K*6CoNGKi1~1Q|Sa znSjk_!diarJ<|(x%bl$so3HtqJE(l)1eI@upz@6kRKB5rVp&)UP*)q4SJtmL}YeSYPJWp3(*8r@L0x~CgB8IvWmF7yi){9M;T zDnA^6O5ISjl+PECrKwwrUR$^n1$(`YXbfC54X~^)S{GT4VjQ9(n-A*9=HoiD`OuDR zKDr~D5AevcUh5hy?EN2j;ERPG0DDvF0k8!g09)Vzumv6fTi^k(1s(uf-~q4&9spb5 z0kHoA4}77}17J6$9spb50k8!g09)Vzumv6fTi^k(1s(uf-~q4&9sv74@W3^N9sv98 z)B|7(JOH-917Hh00JgvbU<*6|w!i~m3p@a}zyn~n=Yi)wNWLK%y6lBBHh-ME=F0~v zUpP>G+BdVW29+-ss3F}k@}Vm^!{y=h`)rG}<68>9V^o$e@OpiH=&gFiHu7}h>z!*L z{;qS4#CPu>waT%@_Vj;C)8Um)!gpvg48s>IyveEUDSDBKbQ9L)pHEd{`95R$R|qdM zO;zUqHK+2LcGX9(Gm*Bl6%IPJUg0fH(9yVsnh-6V@O+~>Z&mmmr+QT@wHGu*>OR#{RS3t8>Z0!NIhE>8 zVw}2ZEM7e%yxSBl`M$z^)mWTl?U&e{gng>Jow~ney35qPr|B-Ix|43^y{h05Q`DZ1 zGWw>@APjlr+s%YV4h6bd`$sGDX!?QPNu5RY8X- z%2@q%x>+O-twhm)D%g-Jy2B}2G~0~KE>(EFQ+fYy(MONzRoW}@X5HN`Y)ee|A{9bg zBHUPi)* zm8J^*(-gH4o@$D!siGv1`GWQ7mZ~#Nl{j)&mEOxzEy@>lCw+9NiDWYr9`6+Mnsh3! z=qWAYOcNZXb+RJ5-t zs-}vP8oFOCdD=>HMJF-OVDQl@;jUh|U5S|sW+F*d(x}Z=;Ou(}w;I(+_-CUs!UL={ zoyR9`;q2ThHB*sxH*-4iInFf@^SBsw)kwU=<(i0V&dnfR>RdB%uX8hrchys8bgJ9T zPy!k}tO?Pn67HpETp0Qq6;f5fXh=opnW73IQ|(x~;+kZ~fa%V+bZvx82j|dSyQaz7 zne1g|cP+I$>FHBNNn3xRDx@}<@gAYvxAiLA9DP;tY`qHiM#aCXbnYm;#5An_o}Dw&@0^AK+NKxsy43U&5x~&s~d<}o*z&GHzd;|pSFhqH;`ck(K>QKs8i_yVTodu9 zoSQ-XS?8LGKkwX3V!knoA!36rzTIpZ{0mJ8#}i&;uclTnSNK(@2yZp2gRsZG*{c#x z8r4Bav#^2d=0rrJHk(lu!biM-4aC>03FxlYuj37kkq(e}ceNr2@@9V}MUa?g2SMI! zs1qb+A%fuZ7o&~ExZ6tBNl3S2HBNYm`L>faaFbJnEFBUO(yW4xIQ4BijpF$pCjZQi zA18q#WFF|?2tB)G)sSYlh}oT|pQ^#}FCl)jeX-qkgF>1D7ys)mAJyVp&O-9$2l`MH z65p&Z1BcYSVKs{&F>5&p@`lwcg2XIr5X@J3fzg}S6&SsFU4em{*A*DEd0j!q>DkO@ z)m!hf_~@eJzV1{$Q%v>pS%S)ESTG@EIsNPDGE4at%dVVeS5C7lr`aXF`hRM3DR~3F zt;kuo6*=p+WLnB!59qdNs}T+w)porW% zDF&zOPFBtjsT+Q2y+`(9HSXnRWcD8lOZFaocA3KKonkgSs!P#(ux<`FDx0lvfm5um z>z&H`;OVrwE?w8C@@!jZKbIC&*QH5yHR!u@GxdtrIGy-2deU0B{$au~O^Csb@K8;M z9`bbx`;6)!yuheV!nYgMK}Z`4dRtmBVir`G-H0iwQ1_)ySQ%@zFA&=OyM7$VquQ7!j#j(Bm+lkR6C8z%z|oaL6sK#$tSFd! zS<#ZN=0Pp}FD-I2mi{CwY9~w2Mo`e(Z&c|A^eX)b@w~mGvBm9K6a3w>>mYn&ub2+m zJ)>|}RRxOhAfq}6nc;KT`4`KsLPbZIqDtPmEZrY0RU6@{W@Pz(g}-o$cDqI8=hxj% z-G4GgOHxH?@1@2uHJTgY)267FDoTcpn^l^k==0K9_iifmxT)@VV zQRfowq;4-LZG%2Kz(hKZQh1D0s?si$eyxdQ^A+|ObuQugMrChOc%f0}67t6f3ykD0 z&?hZb$CniH-Hw8YNK>^@!H#xpyx7X5;A^Vb-)BiGmRdHMIT_#C*})ViLso-6+EcI6 z9Ee})Tm$ib&NUJ*cdjXKIQ73$WePl!ic~1wZ>f?)t29*`Q}tS^r1c-9j}9@B#3Q5n z=sdlOM~Ek!Yam|ZTqE&a&Ltk9{tHy5z$2+hh0>cWRrNN7{*p#g-1RDQp{2^0>bpjj z^L2KyKKg`-WH%|i#VNkh!D~Sa*{ae%)~mRZ_&(J+Mom#0nFE-s}TJR~O+6Z}^jAaVpJua0G zHX7w$!?aWPM=e!572WC7_7u^Bn6eOVu%c91x^Fne>^@|=7ZLi2c+#|PQ3Vf}q9ueY z?2U{X;iyqd2ty1L7I?w6`ymKnL| zT!pl(V7awT-SsQIO5-N}wQ~)`zjLmUc=Hs!6N{y%EEf`0Np^wBdWk}X$_YwTDx zsX3mwzRfeAPJFR*4aDzsu95iN&NUHV?%WLG4bC+af7H2|#HZ_4A9|%LwRO5bXz({Q zAv{62P|qA?IW&zok1C0?_0x!_dj{L4@rJpIpl25mGu_qBeJg|y*_mf2)t_uzsmVZ1 zMV~P1+X(rCVhB?zI>Hns!x9y3Gezx$zc!0%R5Z&JC2Nv5sPyTUY6;;PMs<8i;Wnd| z(6~34?xdZ5Pu=wkOI4+}PqI`=Nb-Q$9g;DxnU*SXNT!e4^(rlpnCGqF8i*IUTqE&f z=bDJSoSQ*>r*qBuP@x^mRHmS%rXm$ef5K9AeNrJaD>yOfQ;`*xDoax({(YlL^Bfq9 z`7~7$xmz{Wy?Pb@60g+r3Gr{#tDEvxGu=;JHQ^&hl?k6TDw$^dQXf$i6GOsBEma5M-f9xIxb{^@D+`Xa zPg9XuUXba;r#sg`-0EB-@$JqfPN4n;Dg*x#_8V0uTx(Q?aGg;}b8XW{OpW3ZPFSiA z!YxK6(ahgmW}XEz?>B2|Hz!%=Y*mr_^eWL!yuTiB6-D=xD*sQt7KC7vCO^p4Oea3f zxd!4_JJ(2jxN}X!M>scw_(G#+wj?)55WDwur z9L1C=l}Mbd47^ARZ_AoDt)J3#cbkdoJ;ytrn^gJ3Ie*M zdn(+r64k`qZ7OOjOt+8i$s}t)e&94mMMO36t9|{NSj}{;u9}cLK?qrXljIvxWx}s` zLPF+O5bMoq%JFW}bmBKUmzc?PC#ejaOW0ymnXuKU4#JvIW$xZ*s2Du&$8Mc|56Z(_q1c8EiGPItU*zs!I4*qdE-Q0-EuF^(sCmewT9%#QZ6A$Tbr4 z3lwlo?(B%D|DA3E;SY?e{!k$`74$UR4!FlsmD5y7Ps7h#rs*nKibu@PZNN1U@9uJq z#N*B-#iRaRR0dH^=)aj?U7$~?si1iKs>nQ3R8CVR#apk^Z`G?5kN92AH4wkYxkln6 ze6`=4)Sk+}Q?Do<;U2bX521O%0&!}X;ZPQ1CCXyJvULU<(uTm$((|kiAY4vZ{l3lD_ELS` zL@I<#4RZ*8Y*I<~X{riSdG^UNXqzhB-#h%gg%o+z@~#m6%cyLcDsV-Kg-qoli8)QG zWoY~6P=x0>1%Gz#Rhs%x(~|MKR9Bps$5e+|sx3;ts-Xo*Uusjp`tz@`8!LS5%r`@}jV5s$@)M_7ugd_#ERsujHJa-$C*q zQOZxuk3oyd&vcL5Am2fFpzUav4_8P{1vQ&0s!-9Prl^`KN@|jy^|fg#DG70ha}C6u z&NUMAP@|~C)W6KE>LBF#23?q>rTR%x@j4@Z^AoGQI)z~Q4$xo zs5C{P=mDiXRno&ZtN$kTT2POvNK!+oNRrX!Wv?NVZv_TbPuKsorI&+OJJgC7swd75TMZr6CYM z>Rbcy6V5df|Jk`F;-{UPK|HKSVaTI7?_b*Cf7_{p@HKXV)^)hTvz+2*oh@2DtJFQ;8dBp!oM1obf9UfHm3TUrAl@I z(p2qC^^B!Trh-@Kqo++I85qvjNB=O9sqAl}8fM&bp|HEmD-ZYonS%Iu_%PB4)wkKS9I$}6VACKKs6SmB{Yl?l%0h z->51f^DkKDEK%vTmMV$T4f^N`y^05j`JK0TfS70b;2MdC^n4jy;sNTv-c0Bq+|Q1s z%Y?5ms)O(>qsoLGMs*OQR;=pj;(3Z<{GR7oG1rfOrV%Pm#XKcA?NPBM|ib64u4&*)V=M|_oY4a8SF z*GPP=b4|q8IX8p&dgq#nZ*XoV@nYS7EF4R()C6DCYk`YXkqV`KqqnP~xiGha7k+Nm zJZ`nTvNTmvS9hrN!M2TBCY)hZMtGJ{3(gh zld+T{e=w0`twj-Tfn%|{y^J0-Ey;R_TKHR<1@F6-c8Gd+(yLSj@sZ9Y#bmlYRi>b^ zP~;URk`$97FEf#(m=t-ji6q6O$iXI(d_~!;k6v#gNv&l1Xvy=7tT2(JM5FqM8}{N) z;!o%^aEU*e?ro+zF_0pcnn;rSmHOx^&uKdG51dPKXS!=mb&@+p__LA))1j@Jnp@ve zcH)b4FSDrZjBMSdEfvJlQkA(xucDfGFP*o`YYbFn*QJU$qChtx(?M6G$tz$o4kjoq zVO_Gsk4*MiRU>B&3YiR_3VwKoTWvRlu^osUPxX5~i&OwFL zRIq`UDq2iM%S_RdR8cZQ9jDSf{*)g=Bj$@dSg;dPqlgi|*D}lq`Rb2|{Zt>blM;@2 z7YpC)& zM#!IA6K%vh`Er|(5h`LD(sp4Kz1_m2jrDnpReRfiDBRU5rH%FURj2M$c&}5ere5=Z z8>_@mtlIc$#tkmT8d+ejZmTIAaO&R`{=un3)OGxAN%R#1O3`hg=&fgU`>bFhu$y|s zXKq!tB{m#cfT@(Xkt*8=-Cl46^+okRU5#GoMB**pwB{1i*&;`Loq8FyI+vI=fR2uk zF)K-l=`Kl1{37*)$Pv#p?uxm@ES1Pv6e-}OowW{`6NoSMR@p+#dWX4$G)IyWvnpY3 z3-L$IwACjN-(lPpEyQ)^o{%?plM;+It85&W@a}F^B5atrn97#&dFq5RjG)Lr! z-Lxy_(rn@rtqHfEtdK>Kq{P>F zKQ)`!i?y07UMt-_ihiV5^o(p%OjfWJ(xhG5L3)J+(}}t953YfjzwQXGk@y%-+eCbV zb2Erfa;};9ROev*%LW`IH*M(mkF(44A( znN~Ch%&iESP8{<5$=aBVTde57@)i{IX=(oLRP2L5MRH?zQl^;tb*kUDGy& zUZLrT&LgEg%vZJ4fMmykb8f~595bDmqZK%cS*b}JrcA*igCYl+NV349$o?jhELbU` ze^n5xnPkDbQB&WjS1AMWU!0>RWlANcyF(e2;Vy*_I%VPt(o_|u`mLo(N}8r>W2$YI zDk*%Ls-3Csvs8&c;G^*09(omj5NFOcsRqC3vsdaB{ve!dRI(q$+>S7j3gOvCC5@e_ z4l|LY@Dyn@k))(7O`D2HNr^k0Yas4)u90|=&AZZ*XX@`Z6FLY#XH@lSh168gNm9`p zEmei7Zg40OegMhu7P;axklneHl<1HlKS~s2cnzsdR2vr`;tOxDyT~; zI^R-NnCfq`GRJ0UzNqC*5DpQdgoz&&0RhptwmrC&m5DL~pm*i4~ zx>Syt71pKJL$}w*bmBhe8i)s-Yb0J|3x|TbRQdDtDs`#Q|6oe>OG;5wQC+IY`KGAC zRJS{o)QUdhUu1>nnCezbl{Ac{`iPcFT`I+O9A*eq5ufq2llM&gHURaH=zDnF@L)Ft7)Mpb{TkeZ6>QbpcksVawR zzO(dNu*wB z(e&UNi1~&pxJKe9T&{_j8w8M>LCjwO0oP3Y7f(Br_(t6eXgch1u~PLc}$7Uv0XRIVcq!YKq#Z z=uMWYjfy_)R6ZW4=qOXvPDQIMRXY`Zz^S|K04S%Xx_J-%BSMX zov(J0A1Qp=sA@hHUtHT^m-s|Nk$5NP8i=`*0y%qejhiCinuvGxv@?jg=K;B9;+MMI zOyUpj8f_`;&}i_hG$De3@DzJXXUQ8B9%sMSu;fIA4?6Wrh0L&^t4g!0FuU1q`gG!E z%{MfA-q0BFEitnWf_9??7r`AB)3(Bngx2{xe{F={HUCs;$BE{j>Zi5j_nR}SG~)M0 zwGq;Wf+-3upi?kyAiT#cDANMIn1xv(E#Pl&fT9JSUD8qYs!oc+E8kd0SG8E&fE-Hw zm;UNBUm^Uanc7KHXPUD*Y3k3+GoAS?3yw@@sLpSh?k?)~_tLtk`{$;+i@Mzn$=g)} zs`E0vB48ScsS&QZW*u+nFA=2vI(J=DrkYPMks4Dmvw|NdIaOUd<}Pj`WsSd4S4mCG00^n`rMgn%`!jk)!s*0UIoCjZwR4Tc*ErWi`~~M`5P#9R zW@7%X3-X;wy#GtAn#o_r<%#$A8o-sG9!X{(JQb>iY_*9h7U0h`RSQ zMU_-{g}T3Dx+|&fWR6MQ52_Qep82gRc*YbZGXbXhj-_h9Tj5rx2%l9-Dv_AQMeSd5 zLB7C`YJ%I;0wmm(m}Nr3OE2IJO%_4oU9Gwx$eTtbMUc48luHv+{;a0(ubZGNB;47m zqwB>Af8!Kk#k|-_*kx1~AuA6DZG`VMsZPSr8I|3t@P%3+ykxnDLYAlCz003yXgAc z$}4Kpy!aP9q>T~t&x3$#ApVfbWA=HaZVb9gDm%);Bkhay5&x)&Q2J!XIH51?9+)UzI_Kro6GU;Zvm+4APz`r|!{*|z@R}_Q2jl_M*p?i7e zExg%TGY~=I{VY=m@`jd(pqmg;YDlHPkcI+7o-8t?p}>$QiwtSV8^Tds^($NM0n#KF*Q;VZZ@h)_@Ghcd^I5< zP2WSyQ!pjFR*S#N6m>GY&zRkvb2T0&^@{$Vj^E34cg|P%A*Z(I^+C&S3E_u~s$Qqi z|JcY9!b8lc8lfAtgpkIez=SU|qn5Dt&T)#+O|KEMjtdr!7izWjsJ#e-kFVnm-H3QO zh4`oSg1qs+R?@~gWI2LD-rVF3^%UYetU{6<2FBL=Jw+L}SotDI zTxVJO4lc7jTC+vMv+Ss zN5UDzEHE;?WF2o->31(g)I?v(2AZoJKrq z{_G+=*J5?`wi0g`pqR-KveGfuZ7cDHzQC&Tv>xpy=nCP8>57n;H=nTz>LT1^u0kff zVeP>fLOMY5*j`2Q?-yYadX<{?MeE6`gx@i$M))tIs?*h;1I*Ma;ekfg2oEtT%MYe7 zf+NGe)&MlW#k)(nkeDX|hD+}*OP(x|(f`klh*W(>mqF;Bj(w4^+Zg7e|VHhFd_KH#$ zD~u6li+LV%t_zI3BHqtXAiWUr(|YKN-e3#mlIu~*pf@0F*QFLb$Jdpps=%4lc(^I5 z5Y~M>nJP+}6czcWw{3*8&7v|D4e1&e&i$&wH|RSQpynuiNL^4+1!;Ee%(rRb&8QmfbN?oLG^w3Q3!}YtM$$N11|yGD zi)a}r8b`}O(KuQLiWcyCih?)j6+RzTQnL68xi zX;hW(;d7q=M*U8o{?Vk$`)R1W!lEZ*ATf&S0*W8qz=-`dpghK^gmiUX*sZWg%m~Mf zs@|p0E28>{*3GLdG^&Kh8kG^U+K?k*w@VRvrB+#~SD93mke^S%UxZ%uRYI@Vq$?}w zs|N?`ReB)e&pOwvb?g^?#$P@|2ShljkFY#FUm-OWtXOHlah9q=$louMzBEykjHs!i zHYyr5-Ce1oJ`4Lh7 z&1O{x;dFbYqPmMhYJxZOicV9J)AcHb63=n2fq1TSjl^d-*F=1#b2Es~aju#8QavM+ zp-x{Ka1&`}BQf^^Hd{3#sf^p3j<1a1vgHdI|gUo_9!gGx3B3xxu8%_V9QC)=h8&xKpWu<8& zJjbXm!uySCBV^fOJ>g5t4Q)*d4>78X(EqeZ8~yeslWHSmfm^2qJq1QdHWPoG!@#`}5s7&=9Q(yA+4k#*Mtbt;< z(eI#sueI?p=E;r&2I@d`2G3T0yKknQN;?FqOOw3ORknc?5m+Q2kaNB?eU#rScCc@oqI?=V4 zLaHigi%i8Ia>vXvO_fYGKdsrm%VumN}WuvNu=NOeOQ^*&$VHe?mOTASge{lj**D3WPtB7jq z(xfT(tFzvm`tJ^>I@DPoGXJ#^-e^?zEroO~n%s_R)DdQSTb7vK_A90S;!@T|6!5c- zkC{sKMGU2yfitu|zm9JLQ>qyT>wow@&e|-_-8sScM7+77T@HjvG zxLDzhPQ7TaSh0KPRYn4Zd%hw*0!4U&QMH%uAD`0okg^a_z(0htUTX|p^_#U0zHW6< zCcNFKjPPEg+6f;ts!aG>qY7%QjWzawRbo5g@m3dYgr_(~$iD`PW=8mLCY2FhYg8K{ z>lei)(Y83oWq$M3Hd04neJ52&J>On3PeT62e54VIe~dZm^d zRj2DtI*l)=w;wRC7r40X9?hAhEHHAVM#abU8a9o2GpyQiaJqGbH+!nmAjuoHwIIox zOX?+gL$3r$-h8@Vk~gfbAjuoHftb7c?r^;ZN#3xAf+TO~(jdtjHl84vuf=h7%s16U z%y4N7(-lm5`EeFKiFQfIRLJdtd}bv!)>|#NC24L;^2z$u#;;o?Uh%*PZ|+tSLp9-R ztX@}tuf!YoEE4mEfeK^4SK^JA0FrrISc09krNh(PMtJiXBXLm48}^z(k~cH}8E-A| zhHWHB@`hC#BzeQC4U)WZy9HdY)eEB>r9eaLsY74b4*foP}*y~(POZnz^Ltc>aXUhPI{`KVhU=3 zO#-#RICzeVd4Wy_cC-0G$4rHsClqWQ{9n51Upg;4(<~?xo^4b+p&M1?%wKA+%Qgp> z=l#nVyVHjKY8qozPM18pYN|V#*PNi!r&DYi)v;V5XITG_oq3dIx7sWy6P|BWJE0p@ zRlnMXKsCGg(s>qqc z>P!~=f9*_8(LK9rsylJ!UOIK(Pp|M?i$c!o{~tSZSIw@&EGQE$GOC@>jVf{``!yE) zf9*^zZalkcsylJ!|7GuYx{jS3Ls69f^Fg9u^#1=hNHpn|&mp$;lWZpQ9Q=3{k;OkT zHG&6R_p!a4#J{OXB|ow?Y3f6^uccWZ9j{j@81Z7~(pu7Iuh(mV z&-hCwe9J&o6LUMdsO;RAK3+E?gGq{i?p$h;K08&fFp2PoMwJPFWK;(sl^1j#{9AI| z85Wy}-=_1jqOvgEzgXQ$x>xZwauuc7P$2IiHUSEl^flakIWM1)_Rp%n5KAd=aM$f zbh|J;{(QBB;fcNlaI!*bDu_`kddd`4 z2#+*H)l^YZe*Ph=^W5s`#FNf75U+Kvk(hrnsi?%%&%Y0bR!R7n8_JDWYAWdXPSZVi zt{lbX#B=l+xCY|6&NUMAZ#s#g#H}tjgZM1xnu+WF(A7-hd-MZNY&vbpS9NJbmH1C7 zRQXc`2iP`B^(P8{<nKjUz-Q}o;uP7#*Oa~a`|Mz!U$V+E}= zMdkGhnMXk%xQ{A4&=j{59&J=cc#cu+=PK+pDw|N~&TOYMUt~4jUR94RH_x>bzQw4F z@QX&Z=L_7R*x_0ZYasr%bB)B`ajuE@Zs%qYAANB22l7b9 z<5Atb)f&BEkl(5_JBACmFSf@HC?;gqIt&==};m z?bOb?SGkAnSuWaN;g0*pLZd)?D?GrdqZOXy)L9BEPW34qacaH7cRBSjg`ackYYMkG zb+5t)o%(~qzd7~7{i4--=oQuGb zPW^@O<>u8FE8NGaLlrhVHA~^yPIW5mcWSl5E1V+ygi)0*DZI(3MT9>vsxnPC{nqOh zF8q?h-x$?FxUU^cEFwJIs1Cx{8MTP8)2I%@bw(|EyTT1leOlqW_3a4Q^#O$&UTI#v zR^iv2I-)5)?ba(2jw$@GQ{Pv((}AV%ANn)GlZ>hmo@G=!;kiat2v-}`PWX1CvhOJT zsZomvUvdzQs1VLJs)Mj%RE4m|s1CyQMpXzm8P!4fL!&B$4;$4{(jM?&y<$N}$j%Wx zQ?%LteT(*Ei>$*b6JB7{;zP8Dy7o{;W9-4L==kRoKM&q>N=g**ue-s&Kwj3l-M=fIzoW=eg9l!V8^xhr$my#owLyyi@%BiCdkz zUE#e>{Z!%aoO(jxKbaty{ZQdAo%)T!r=5C6A-|l55xeO} z*8EH!6u*=|Rv&?St->>$ny0YSscwbmIkigRMNYk2;m4f1QsG9YZc_Mdr|wqBkKbVz zKf(U9OZ`sWTNWa;i(=kW)NVdYe-hEBugCA6Mv~ zS7$dUb-PP_N8wMMdQjmLPCcox^wJoODA3Ld_i<`Jg|BhyXoYWZYL3E+Q;QW2I5n*B zElypi@O@5wSm8BJU9WJHQ+Fu*u~R=&_^4BVQ21X?P18@V_pnc|vzID7)TzT2p6t|V z3NxoV6!tmwMuq1)wNByXPQ72@RZe|D;muCnrtpVO{Y2q!oO(>*Gfq9La5w!)0#xmu z3J-GXFomym>J)|ZoN80p?Nq|r@pE1Zl`{v@L{JOQTSJ< z{;tqhY+1Tu%hDBFmaf>cbj6mXE4D0Mv1RFsElXEyS-N7&(iK~luGq44#g?Tjwk%z- zWn8g+k_)g>_F09#h|AJNT$V24vUCxbrHi;MUBqSSA}&i8aTynJpR%=B#ueL-oZ|9q zN1GdG(-pqVsdPz|ahmK)s*FpjYizolapru#Q@>F7d#C=a@Lx{tq-(LgZF!dMtMJuM z9i{N~PR&+Wc50Er6;2H)yvQl8{=VeY_Z9x!sXr_Hn^U{!lAyuXh}ppkU*puN3g08Qou_b(Q|S^kOP8S8m@Yni37Xxm6LBsK3tltcq*Lt8Hq~ywPvM`OB7D-Qwm7o+ zzv&93i&O8{*i5yHQ}1gmvbqTGF)HJ7;~b9$#@|+3TXYdVXjH~^6cNH8kH?p$gP5c(lSmMco6xxwj?&T z=SWqzB23re+0EK!9`?5LYlVMt>K_VUu8{!e=1WT>x#55X{TelrodhUaz{UtFujol7 z{;F5mRVL;eK1KWEOt-_dn6M!JD6+GORJND9izLnVg_`=srX_1o_!_73icix=v-B#( zB5rZ6fq0&Cjl|z^u4#Mv+f@d|C;Ws__7fx2RB%2)L+k#!7=M`L8>T4n8&ma}NE;zP zN3IZ zPJ$q)fJ_nynV1A+N&qpS2y#IN5hEZ13WiZ8HK0raiVT8^5)lLuF5fy;?{BSs&OW8r zd%x#p7*TvuC>?RXP==?SK(bU*%!*>Piph(f{$LjQ>)U?H*tK|Ga3Z$E5DNO z>%ePWH)#TgoJ#tE7r(7)=N8}>+-tZvu(P%61hskBz-IYqo>Jpt)%JN3*S@6JU;ZofFEv;ERK+fUgR!3jR)TH88*UWu@x_^V4O< z^@G13zGK1s@vRqy25bHsYHDP|ziR6TSo2<#f{vhWkv-sL0I^QOXDczHLUN-CHLPYbt zY*x|pHF@$Tq)l_+mR^-6@P|$%bFWA5z%5Q|%Ltgiz0VIvFn_{pToufhB^Xx&zc=*y zz?IuUsi;X))<{bm`LRj07 zjrHRds0ui;GsRJPb)ZsMN^Qx6rsDibu`Tz&_$sJL!0-5rat+`ePE7*R3DW}71^dG+ z;F|tERRc(8O8$I{Joyu8J6?dtMxj>#Q(Jp21xPAZ<`ux?VrD>c$j!RdlM31OG>{QC zw_Y%jZ4giM(WY*>A(+^0LpB)U+z?E1ZNp-A`>PHI`e*n{ECol+n$EmK-o^q2Wke^JmiCm1u zuZ8iuU-^6je_HQ&8Q0&NrKJhmS z^R9=RxR?@N#a~XF_))>gU}CqfM7XlQR!_q<(r}G5TypP4hvPld0pJh3E3LG0$<#$? zH}{$VjK7W^0wQRu5-@6#OpC)+wjXdP}ZsI-@m9el}Hr&pDMnFNjjf zR6*!;_i6%fbZS3@R`z%J_A8D!LgCd&y^?{$>tv5L06fR3i5Cfm_QX^>nS*GD*95$l z^nN5)bG)v|PqXEt1itWJj0k@5lXru_IUX&UZ+PwMCQaa1ol0iXCE9hV+B`?_^}$uZ zKM1Z0{$X%6@Q;G)1OGU*$XKkt$hQ z5&FI|u$2w?BNv*K3MF>~U(}_>nQHC){>@ozd@tS#y+QES(RRPq#%~p}?cAdRkbQ?N z940%<^LkIVMY4$H&t3{rC68mqONgKHu|qo*%2J`^6!$d=@hf5_&+xbiKY7$s6!3k) zRSJFW!S7%EWgI47l}yR?a%z&SB43j?-xz0oxJ1+~E?h6B$8(p5WRf36;75Y1fS(Gk z3U0+yj9NGSXFY{M;AZ~7qP4joN+n}WqI^A;6$!6BTqwD5#_M$t-vDmvUKv8WI@JJ1 zM#-dEUDkM)+)GcE$rQDDb-{-QR{_rtE~zA1Q)OezN3qzL9O))a;7LwpCkqm+5x11#c2u1w0|RBuTW^ zlZ_P@_(`XdB=OqCO_C%hYu90F^Gbs62rfwozcXBV5O}LoNkR*?>+w+T1^4Lrhh>l~ zQuw{-(u2Tvo$4CxWj9H>Pf)%~H19r`FDx%h2*1_*q0Jz$FK{_K@UK6y0ocB8rv z-X*vyc%R^E;E(IB()5!16=II}v<89k7_h}-v9At-5GaMX`m!mCgz%hP5-`ZFZsgj9@(69?76AzOc z+@t|q$zx?eV%jVN?i*IX!<=dWBgtfPkil%vU;wzG3ylyighsm6d#(#j0P=^a_A&qv zL7Qd3&`v+JQYI&=%~vV#dBIh{7X()Ye>1omm@hjwy*}_IVb>47EV!}YYjmq$`bo!Z zIh>=`<`9rSB(+DUM@j;zlBcN%o#{f!9Kz&!H)#Ol`?5!fb*ew17y(}FR09|pCF4xE zQ$5^3aVlU!8aBwlLp|0okj%^q7~zwt@P@)}??M@Hyi-l!!A>O;|8)uRoW>4d;J)sa zEZy_9>pHdhOa${R$G8gkuCS|u?+LC3{%LT1;CqAX2R|6xSa7DB+R~Xw!q3XlW+HHT zJsz=qd%%1LyxoOfGK&oYGwGj#Rlh}V0Eu66e4zb9 z*G^_5CQrD@1mK$@EN}*M*wEzdDsny@!>_*>2JTUf@&%_^MN_ zG|A-2&}5ZwirRdFf)5O?0zN#rD)_eGYQ^zJ{}9>Od;)&csienvebG&tz+0V4`m#W~ z?ogZe1^n~iD&U2|RlyGhR|E5R<)+sMej)7o!GDQoQ;Ujq=pnf$pL^h|S}{(Y3SK*wdd0)%Ou3njOX0CZ9EaL`H{rmKlF~%b(Gf+~0B) zzoiP1j|F(!;40u9f~$ge3a$p;CAdEDM}zAJ?;YG&@YcH2u!WCra*>raBz&?c8z11Q zdbDAFkN2=K-^sZzO=E+5hPEXee7}!X_oZoUyseAtwj~>@>)N($$;POxACGi}(yW_g zeU?m2?3rn7TjxeXxg( zTb-Qy)HF8uVA9I=U=JGvwVpneX@ByD0imR9DKAJ@tu?3ceW>4=Jzkhh!6Zqby$ins|hI@ni4JL|&7L-b~y*Nh12(< z{t~ho{q8h2W;;3cl^!-2oVKNprocEYc)O_07h&*j!BxO}23G~|6b7Pn}jctqbCb*U=V^p6Yr7-GaqV^1{eFW=46$i~6x(v8Uu9BXp)U9JiU6c8lO}ZzTnlEz_9NTH;u4&Avf>w(Cp7u*c40_F>nEbl7#Q(;#F?;l(r_%p%vgAWgGEcn1RI_cTmnWM9^ z=WBn$-N94US|xzDht}5M|JI*a*_69%Ivbm+enTZ}6!{())G2~D2ld{SIvVk{14Hy_ zmilB`d%v$^b+lTeemq9O^@q7eZ7%q;pz7-GT(xFZtb|c-YwssCo6chCUX zQ*z+0PSvLi-s03SkZ-N9t7*QP;#Ie**ECB%sMf52BTfxpCwQR`_3%lnc8boBTV7K% zp7Gn}!{5}1F@?>FLEO*>aroncgF%f5GUv@P2DyXMp&nqUzwJYvF|O-*NwajsGDd7S z?{miV{-yUhJ5+s+FC57DgZ}H7=Jv)6(5H9CjNdmXid3t1dSj=^Jp|_kb*Es|FQa}G zt>kG;TA2w{W^=Dhi?v{VuS_egOpD4)@yfKA4Oe+(T7Oeze&z>$i^}|va`d#)%CxA= zI^O5jBS2pZTIur8N|%Qgm5FK8`uB5YB9(a|7W;niA7T{&en`iTEzJF34oEvETr!=F z`Y1#2{(j&!ibrhQmt&T%lgWdCIe6QKY^S)c)`0fnmYdi6+3JYGFm7e;uqE_&uW(dehwe89VD@xuuFb7cEkPX)9+z`y#(>7#- zr8+k(9(OnBK;kOOZcBl`_G7FLT+SD%5#Y8?)mgVV7uzZ8A38&Ct7Or8Uhv#e2EQ?ahvWexBF zUv)=-9H?fsqK;LrBrOTx#=bV!KPnj4X(K&4(l|uTajGbe5u<*qe$H|Wkx;ct`^4_=Ny>vZVR%(5}g}@S)tp8Y_LSOyKTq@t6pvhX1v>mY;YRS4Z$o@Z9_Je(R|1a!AzI7 zAsa`HG6XY;+J#Jzow~P&4Q^pAtDBPh z*|uddkG3UAkx|={4UX)#B^!)M+ma2kZd(>>Lfu*2ZGm73=WsQOb$VN0c1O4br^BaUS9DWBD~l`ot<~6bmWeHfI#0z`|-22N?^iQLL>jtVXf6vWgnTvBWBB z6bA#Vs8LLN%4-x8ob}NtmPqPsRI$q2YpIN<1a(f3QRSiUXfiPYIYkrnR9?3!Em5O8cBVCD>Jc-pj8l8jlIC?Kk_4T?OMQK^^$Tu$m*t(*ir8 z178j+;HZn1l4}nxL!$5v3crp|?*`MGy9&ExWqLmnR!r}u{Sub7yQjg$I$w5YjvOjno{zdLe|wap zE;RVoH?!gd^P;YYjpFFp-!F|DOq;F!s-lrjn?~_aVcJ~f({J~%>WKpf)Um$0 z*MTwA8ACnlAq2zo|BHtZEIIbXfmJ7t#Eg=1J7896NwZ=}IsI^wu@k}L)9+B3_|e5S z$p*8(O)^(mW!fZ54fC;07Bg;>-Ll!x$7zTK?}4CR5hN2^bBcKwRm{SuVh%=i%V5+4 zMjpD+M|%{OY7eK{$pbTLJ?eo^s_l<*sGhx0kZQMzd9R{nU~69vlB0+4qmB#0KjKSG z27J<~I&g8<&VXZ_ssp1;Sz4yDiCgT-dy}pV6iw*Ls8LGq5r?O1F=lbLf%{={XY`*8 z+^BShE z^#3{o?m{e_C*$XV7LQ2&cS((!$!S@#v@98ADS7A{8T?P?C}sJNCm`2c^ZbN71mtco zUthF0z72N>$m!dxfT#Njc?ihq+pIQKwWEVR<+)%rt8O!I)JmL|cqlFL5Z@xak|&h` z>4IIo0FQO6Az-AQrK$T89;tU-!vEtbOX{N@qQ9(x81)c6P0H8jNGe&Mxd407$GyST zR&==Ql09nSnKz?24z_a4n^7EzHpzpnFY9`VA|92<2Q7rS8>G2@kIT$R;HjXcUPh`12qD#)yg85}EZ3SbwjXs_no zPDbS!$tHmS z&>=3=N`;c?{fw;nQ|P=T;McUzxC)qe{*0@F_tdKa#?^WX|IlCLSq&B}dNBE?n>2wH zf1Pk>CBfmK4ihAP$yH&h-9URq7iyvXsi0Erq;z$4`*YF#Uhp;kQm(zFR{V$KbUE6K z6TtYBz~S{JfmF#_p9(b*;!D2l*hz(w!zmSNAhfE#{M$-}lA6qy^=s~x3^yh>xyck@ zc%w3n!0-%^_w6MS`W74Y|itAhDcBlAtx zOZ0yvKbzpd<^6@PEd4{Z>}c&hH>@ra{BBVEQPR(XdQ9-oK^4c3q^|O$n!uynt3?KM zuVf;;Pu5$iwZD=*s2J>71^J^|v-u1d|NLr75=70-z%xCmIyH!->cxQ6^+@;1C?ejr z6i`GeXcR@Hf<{rq2$xPpy^*(^znPaD=N0W*No_vKz-t6o0r%=PKC`P8dxzEr5f1oO zr!wIAP7MMPx85b%ip!TN<#~enrY7Sm;4zVB6})C}HSoH@^?_@_^@Bec+*t7Kdf(7i zwAnfl6MGZ1$WW@3dMmeyl(Q{1^2hhOK+Rr(Amo?GQ>< z9rE7XlWYKg<3hu!P;&7@FGqEaAC8Rh$v6)xEQQI(8B9>S1U_vR8`LH@1f$cLgPJLpq`vU;Mwp8Tv* z!26uafDbq|2t>T3Qpd^qe)noUEx4k8kkJCJ=~Qxma#W6;IKlxcTf$@6_+3nhkQc3Ajp(a8LT)UMDC0A#} z*eSB^1>YB3(i8kL*;r42KXEFVt$6L_CQTr(ot9iUER^-bYV%P6KNegC{A6%d@Na{w zfqx%dA9yW2i!Hq-CJ~;;Tc?35`oo2xl?0~+HAC>dt8`|B-EplfxMNV`1j(V~zKjfd z^`zHMDys@^7*uiE;YG4`*AJZGUfGsPa;oQ@k@w|6@e^s0WcKgF4G?Yx7iv)^9!c21 z6Yf1;lNM#-N88LQEmJb9(+*D{gZN3jVKSKRNez<$za(cjk7Tg3CpDaAkeq94nn!!7 z&F3uma6O~WuTpd~7bV2;3v#qM2K>|*X3wNg3nEqW5(Yx2xlr>Q!3%?mz#W7aF>R^< zclIZF*?7Tk1+}s=+RBs6z9hJtKUvC76Z}O`YpNR2m1IJtNez%x=HW)tnk26tq(T!A zB78nj#iBf~deLpOsT`)R&roYuHgk?=<8oz{8~$FHuIC&-Kz6OlkaLuTdgNR&kyi?d z*!1KD*S)+D!xVxn%<6ZAB#bKNU{o;$qly{uTYi)xfB1R)G}VBBZSog|kZrOUv}36% z(DOD~49t%S64(|;s=cdseTc443kt|=v>6Q~U(>p$kgZY0T#ZT#lw7TEt6D^!^&g1( zsam^%E%xqZ4eTmmLhsHBszivc%<&G_UlROVQ14fT_wmCn$$>b#{Htt2a^%TJrhgpC$B;T028Ox^72kF$o%l_P#-_r7$1$ zaLI}F51M_E+E?dS*YAV0f)8ZU^HQKK~4FcoSdG|q)aQlXKe&>~sCsx~hd z_>JHy;C}>H1^+X+8u;zt`oKLKcA{I1e(*BEjRhaRNyjcPp}uV;(k9^z~9H@VIE^QWl3r|7uD>bDa!4uUWz)=&vf3>^(R+mQv|2rtYE$y9fjJt6o?P)q8Djh79~aRX7? zt2OFE!TCYmBDgT9Ukfe@>Mg;Fe=0q=hG2hC#|ZKxO&0M{Q7?zp-vw9j&wvNV2yPk_ zzr?e5P%Xhjf;vXtANi8t_nUoxEgp)aDCvp!S#c`8r)d$np)rN zoO{V)-4ecAjy6GB`k3$l|F|$&{pcn?IAg^D{&x9Ji2P^;qi83=mu9lDoj$9|4Z*(? z*EVE>{By%%`b2%$)6agX1o*x%yO0D<^B!e~=|jeO{$XSGjv#-@YM(l7p?ugx%{ zAA$`5XNJ{0!5f_#;@8`w@X2ZHXPQ1SjfR1%d*L(SC!87vGQ(_88H<~}&l!-S*^~q_ z56uyHkymDz$}ly}3K+9=7|3ijD~vkcJvyI0LMGk0AB3W2$hQP$^8)87r0j|^-9hIcpa~#ZE5=6EH+N? zp45RHHf=jL?(n%#XW%(-+IDPkyx6rYkO67ivC;2CQ3ulTwq3FEbb=(!X!a~NSfy=! zt$}B#Mr}hj&J~#(f|+}5LpE5>b3^c#lycjU4VKB=5Im|QvuAbd$n!d2P3_H@YO!qlC^`D( zDCxP;{a*0bf~$bP9$Xc?lRmdDD;@gZk)M?gxQ%}(-U4px)F2S?lBW>=kmf&KyWTH> z54%u?(8QpC@dZ$gPiya)Va1jb;RNcDnu3$6m*Gq@^vpWtfXeS_-**MsW^H-j4s-cg?v z+Ep{((;R7&@Ju<{v;ltAKdl_TP!K6Qc0!_q5W3WbnpX%u5Y)HzLFTn;?Q*-D&{X$o z03R1+^S=V-kJ4;ydS)gYV+Q5ynt6j9M)$lGRKq3NQyF>RjQp8OFT}iz%FWS z#`l6h7F-3qcW_lO?;MzK4ZLsI^?~cb^@Bed+*t6h^f79_GA-UM;bY`zD--aS{<-p` zUkM^rb{t4xjtey}7UXYgZ5cx7Mb~cbp!9ZATeet9h|KIB8u*fSS+T0%+azuW!AmpP zAYyI^CUo17jp@oGHw4q~w&A}o;Q-m)>ctoVMll9}4|y?0sL#_u0ZH1v3>-*WC6{JQ zL^81j2e_IpB&~z1f{AM#e0~NSgvkxTYx&CoX2`~&B6CA9U1O-|tBP7M}?(qgraRK~b#eb24AYsVuvx&F3rl#^7qjobWqCHa1=7 z3gTu|H?O_jYYH%g_D_ZOFA5zcfiJ4H8@;JBgmKTgi^N?#%I*eX^0Y4NY#31%$mVwU zo7xM$JGct?f#9m(v-Bc}9qtQ4S%?6S%h8GjJi6Agu{G$6f@e522t>W)Ix^L6qP?>V zWoUmVsGh>V9knaG>W7IsO0CUc1nvt8_>fbLM+JNRO_^*r!RShINVeofvNooB+#?Rf zoO?kWk~!B?_%|Y(QK^&6Dv=bh>Qpj|=V%u%!{x^en75UTtAM{Qd*iC$<<{?HXIy_F z&mQ!ziWGn!@t1O1z#W_#1R`ECH{OuuKU};1VF_&SLK#96g91)*s_|*TIZkDF2}TCV zkS-?^V%ShkXo*8H=MEKzWX=^UwX$qRrA{*EYL`t=AaA0TEKC&*$4W6Qz2J$#>5*Tg zf!~_4F=^o5PGz4EYz7q)9W+_H_E($FDewWoRluJOt_nUhxElC~;QGMF2GZQhG;nc0&X_%k#|0b0<$KOY_XqJhL>< zEX^}Z^GuGwG|vg-`Ek#4m^^p&JcogdwB5UPI8sSg*YLS6ZhoE_a8 zD!)NHk@jlAxOUF$gCh=ql$SlFC)(ud5)T&X`Zb#&lY)7 zM4Pf{Qmr(pWPZ-pF@C<WL-_}f|#rIM3RD%3=13l|zn zg@%gdeLo5l)4g2;Ja98A7W`u zli+?bk!kzM$Tr%*zfFEZ? z;N^m=fL98x3jY44K3|?GCfY-QO$gL82t3oN>}N1i00@zWtyREH zw&-}ndKaqg14R7pjWve-MVj-GnlTn zo$2h>>U8c5-c4NFkd6CB8G@-)+ptr-_&*)_3DoL2b=!jWfa$hrJu{OH0_29pf1gmn zuUC=H@w8cN+~`we5cmrPZQHT&l1MvHfRwXs$HrkkO$LGF*0w7qcc+qL%3231Cn~2= zGhoq7Hkb*y;ir`pRmeFKVSsav0bM$Vrcz9VgKX4cL`aSuJN}q|U-oijz`0H}&KKke zGe_!3szwzvHL94XQN=tr(2>7^4_qtFvqhefK`YImm1fXNGf2*V9KaiU1|z2kGC1~; z-6soUV^kV$Bn>xG?C{Nn8Di7u&6#Hv0=CJ03nANNF=(4ChHaC@z?Ug-pZ9Z^N=HA3 z#}|Uyhbe?S!jFYv;BihhW(YD-&9RtP$pL5YXOD;nGD$T#Sc6|d0o$uNi+RM6j z23*Oh#u&jVPGuJZ)jvDR786|7sm6+ek!Nx&uBc=XwEMC>{#)W><(_jNElA~Dakh<- z*3r>H`ubl%0k3pw@P5HRI+ao4qv&W)20YfO!D0r7E6XE2bNk8%;iH4AfR72T3O+u# z8hCneec&0v^@C3cZY+3Yr%skO1?Mc*E#Y(IXj2e)-u4}1JAy6{TyaOIRuM$KX3(34$Z0t445IlRd;c80lD{6B^Flo0H+4%7e5&8+?E!3IZ z8GMkqw)5TEcw{um#tWmo!IY)#-3b`~e^tdk$16NUcJ~JLn&1kOw=3tg=*bhAZ%Z2? zOW?{`NR7(s%2|<52VE%7qsDf&?U4S9D!rIzl>wJ_YLFo~+o>$=PDZVl_3k8V0l5!) z1})%zPBlI+_>fbr`<3T|o6H<-9j7Cd`+e z%7Am7YMd{4n^ReF9+j--H>t1D!Hh{hs_IM+GO-aP3^9x}}jAPX1XGrjt zJ9n&&t9A1`QGT|X0GE`T&EjPRQ7XA5Bmul_=>X1m?ba=V?*>)OXq;lTBI{o8%HulL zWj(=fe@}Z5_@YxoFAJhn(vwuEiO?JuYF#M!Y*59X)RgTuk##Tl)!=G9g@5?%GOn}3 z#sqkmQ$zO&qEyn8RH%v2;V#sgCis(}dW!$XcZe}+^VtP{IJjETtw;OTQ)@i|Ug6Zx zHG(LW^duE(B2;&w)_#JQ235@H8SQ#jZQc{`^TAcXe+aG${!4H*@GHUfffohW4}K%K zvEXZTS(Kmdm+F@Aw!3upSP6me>ljp-uHG$v$7#$o2{?Vx>FnTlGF^~UAii+6;*kWtN zA#TpyB5vaD9p9V-A1Kbw)E6Jm#%!gL8-hu(ZFr{)NG>;wygG;~ZsvupFRMhh@M6>t z75qX_MIpL0!A-KMAMF?&r`8^bKP-5kI%Fpn;6qL|9u=fFW;IPymcEpnk;s6eS`FqL zf4%}zv^IH<^jA@V+GJH51Z|VWux;{x(DiRB?03BD8F0Q+gH(g$tW$KI)QtK_A+i5i zHD1+#z8(Yn2MtY(M{-vGnoNG>qgbaNwe=u4Net2 zE~pCxzaG?21aA-OFM@vysyO-5a1#b)J;A-|MK8M4uP){z2Htjwjvi3$2^{NGeK*0=ooWEja;izV#nl&k%nw}3sb;ZvyG!6)7aBll zIrkbUdX3YrUDf8b1ph9$N;kijOAi8n>{JH4)u}-s;w7~tr#;=P32Zo3=ZkHwaB2YU z-v-q!?{BzC<41zO3aaQeNxLp}lP0l#9aPb4k#@bRHm@!Cjo>Qaw}Y#Kmsql+YQ8n_ z(!uqC#|M|pd-8aXY;4{G$2m0uM9HkWbqH@;Fo4^;PzxAB$y`k{Y9O?e3k?H9s8P&l zd0FFavuPDkYr9akq2P8w6@~a&9=>I#r1z8MeW1v*^2PEwnXIQY%rYQ^aI-SF?F zXSqoec)C;d?+V`UR8srXv@5&N> za=PB^X|GY@Fg7lkdGtvWw#Rw`T-_zJbqY!)J((<%DQfcszy}3a0e?QYD)=kP-h6A? z?agGNAE>oN9~WFvZbp4j@H0V87ew5w6t8av|4*<1$dKF4Kf(x+6_AV z(V&1wIW>@GkerEUDa?HyE&~$Q&fma4h86IyPSq*Prk+7IR*>Xu!U8|*R*h2xV`?Nz z#2lHNr#7F~;Om2{DI0I_yFxZ4vo1ABW(p>kx=K>WtF`MIH%U);+I5%Oybs_Pf=l|a zK>HS|E$KsQlJwzWc|YSSNrH>C>wQ{i^8~@47gu`X!LiTp76*Z!acYteia_es#H;RJ z$t4M1>$^zA#T}P} zx=I6hkyFW`a*B4%bCYDCFVU{M)#hCVudVyW(t*bDG1ndhR^?an6g%~5;uQ}Ql7YtS zVUN`SKI>Gnq&}@(D|tG}T&QW+N7d%N2Y+2$Y435I;M#-0^PNhjdFs`~YqonOy~ita zlLqiKr;@IXXxG_pl1%eUwCg6ddGEnL(EXTk{l&urzq?&}5cr}~**a2K-|y_QEd>!T zxoAO~#~^m%2lly8D-}vcpYQU$-!rPGUP-OTY2B-<%}WA)HMpcC_+2cUlA|1x&$&qx zc(hY3;Au`ZfoD6_;;HBZL9wXEPgf@;AskO!?Ny)y?vw&bIrpeIq;jq}2lkfD7d(Ru zS?W|Wy4T5?{Oz$hfyv(};=bhC$+Fd>V|xv?`8a{M(TJBGxHxX$>&75(FQ`KYjXqHIP2B#(O#-( zR(})qZa5N~Dw-9cH;sZ8GZ?2`EV%hR1K*&1#?`v{O?K%);2}=6fJZnr2t>T({+pZ- zv?UUFkPBr%1kDN<+R1ELAc5P}=KXc7_1CycvA_7mYC8!0d*t*_ps(af0u}9AIfC_q zc>Ce%`GxqpM_$y?*d&VK)|hqfR3>^xW}~X0+Q1+KC%@ zvs2lff=HE|+K|HAjyK?KE;Nh~AMo1SpTO<>Y}W)vxa9KgNNFDL+V#r><9R`yQ!@9* zw&=;oo4*Jx?Nyrl6CMS)(Vjy4skPGsaI#bN-wD3uRHIj_D|_b}!1p;-2U3cX&j}XD z`VO@==)GX>oQ1K%H9KloR{jRjw=8C^QDNSNDAn^?eISO$AC z;NDIR0v~oNV*y-O0d4y2DM$__Gm;FL^fn`bd+3inSk_shvXCIf)h+3;8@F1t^D=FW&tZ4wp zcvtE`%2#rLF}m^jd4uV;o|i2He%PtTE`m{$aiU}z_E2JJ^Y?*{O9P7MK{bE@$t!G8p`s*?GLC)EJ{-l^mUdKvBF(!`EgtY3Gp zo*!JLIN|WyNj5g$fa9IYb{9M{sHuX8mz)MZEfY*_M1ZHbP%N^PT71ZJqSk8s&s|#)x)OLbdP~Q-|I;i42SVCd8@Nh%)mi+Cx142=NSruU!wQ%?BNPt}ey%i=ATHJvct++JnGFPGxTkZs(t)W=+9kgSu?F zj{L?7ST?*8`jcxnfjhZS>l1>LfsPGvaW{LRkFUeyVvQmUd2t48Bvo7ndet;v%V|xI<;+*joU?9mcZYSwqxTx z9<&bRhmqQP6rFywNk*3fw#nkWBIi*P=1OHi1e+a2eWQx%C36VvQ4?lsb!409P^#TR zdsg>3g!Zmp!J%RW?J%w=Obl8*4L3wM3RUvlu`Z#J$h%lc&Nx-=$d7FBhl0b*-67T! zV=FSS->Kv@I8HZ9yTwgYFZi_J5cg>K4aml#`91+mc%9d-i~hYyvd~RbfME|*-(QeV z%1e&TIWqaS+PsM14Rx_?%j3dgLOnRfWA8!W(tasFw7eivB_oZ{W1e>tuMfCTQV_i2 z5qra-d$kbaBUHOb07gd1a@ntbZ>ct~3HT$yRlvLhY<5-f$HT4$=9aDWI)R|O%hBcu za3jBf9okqBsj_;?jQItWL!U(>viMCf+y+J zU3#LOqhJfvTF-%8oZDl`-E^gjRLPS{gnr>d>9o-8n2Pb_I{O|5qnNhT$H~R z)Cbkc$S_$%Cd=frYOO}S;B|C;WL&a{;CGn(tVF=CI+a~0h*HTJ0wGKn>zM+)(uJlx zA^69jipM`MY3U5;3fYu)sDGthbr9P+D}*0A8`gZiQ%;wAHh z+`p}ytO)Z3UkmD8!2#Evl4?&W=6!;^&UT@}O(pON7aE+FB$=EP5F#@h%pK+RDHqBp zz~_RRo@gi2aCapa1(@)bD0*$*BDs!)q5u<$z1>G%r+IG&Qm^FiD83`>=vuw0So?WD z>U52wmz=zQDxp_AeEmHVAdM2Q*)lm%ZGI4fPYtdD=C?-8t_uEY*ww)N5UJVqfiDcZ ze()v1jRiM!nNzwzk}#L?b}Rrt;8$aZJ^XkbDBTOfgP(LX7TmAn_S zg3{rZ!GyjhiYs)ZUKRCTzszfFC^#;t;tnOyQqgmpEc@wdZIpmFIhEZkh|<4EYN~rpIaBcZpb&Z_C{IP;0_}QDt$iGQ zNYRE*qsB(hdchm%Qz@I23yOX{IPT@Q41>V)oysm3M5?5_sn8UJW{yey5FM2-Jy^;&Ex^_M5CRr++ zoWO|rq`Hxp6uicJJ40LAH5}iSqaCEcn^)}k*`VGjh*Zf{HbU#VR}=U>r?OP2Ygd+Z zuLkf2r&_5{a@SH*zxfr}ud`!mbZ|m#$E)#_63QiR>;% zt1<9)r&{+2B2_Yd5Srv($@JM$yB4@fmI@~|U#RpSR-2a!{A6$y@KeE6!OsL&1OGm_ zK5(|OPsfGDHK&I}{w_x=74VRin2xO@1d%E!)w2@#ynAJ-S5k+`%8j3b%M)0wYb*Qw3B3;tnn74Xf$Rlz?Bt_J>b zaDCvrgX;(17u;Cz>w4B{YcLPPB5e}BOO94QAU_CbtH^}aIvz-sEG!89z=fI-rGqf} ztD7`{?;X=I$?FB)&-1qLon+%sk+~t5tn4xRo5_0=1bxwi4gd!u=#tR@ImG0OLPcsleylg0GfWO0$>_hgwl z7I&>znFBuX$%&&!f8M&7T660K^IMDgOani(77OMvx}qDEh&0Ba*)s_Iwtl73Ud*~$ z5T%koSR$zf(s3NS@4@dog&Sjx%R*T8rDPA z+R6o-8q`UG=L9us^xN+dz9#?QK^cGZVk|__&L)728ujv zR7wV_I0VHyTFI!-B|hR=u{vf|>`cir$V??~tLd9FFHmPlvrQKD+hj39n=Ix}xfb{F zZPb%;H7M6*VMV#l@m?m&9Y00-mZm|z+<_;q-O0qbS}`a5UiO(k2;_G*OR9y}vQoCk z*}$e#$w53>yN*$t=Lp_wola(UO}(I)V-Jqsa_vFj>rS=a6j!@}xUt~b8~C7IqIU=)Z4y37jy4>?#oymCvoqvUf=HE|G!Z($g_^*( zYY4Scp;qM5K|hheOD>cF|KwC1xb(VcXTW8hssq<`Dg&eheOq!% zdiImj>?a*v(6^WQNfq^y_xqo&DVl2!)BQW549_Q3_cE;QRUc*mB3x3LJ_(I=?FMjP zr|QK5u+5)E*^vxYY{MkEu&v3qPi?+{fyW1zTzuoVrEDxZaGX<_kT#N{^Jw6k z^`W(KNi_W4^%pP(*N{1GCEZ^j6TXuuj|RSLR5b8?!BxRO53UA&IJiFWFN5m`|2nv_ z;3f6hqn%L~E$(#@AmO*=Xng{H$3LPTxmFOVlEpa{Y9e%%3k@T5OHjanI@JUo?VltM z1HbN66L_Cf!@w7uY62tmxmU)!n!F!ti{f2Mj%1B` zS=8S>?_?hTMw$qkS6Y+o3JDOytO!F4qX-jSNy0HIy`E8>a5I8BOYq#F2=`)8#W}|t zs$=~_@u|JwCG>$?K3R)?JvihlCCkcCf>%79Dwys7sJuHsY%T+^v~O>keQGDiIdpK!^^^gvbjcV6Wo3eOC- zhq+9nS6wJsb$B!8_bxP?3Js@1$vSv~1PE_a_9wH7er>WiXYqW@Q)s0rw2D$Cw^y?y z@G%Wbe*OeAyDWowv)CZl+_0#hbHz*-Dgf!3*!)>LXy6cQlP8P3S8bboOB*Y3Am{G8 zX@mG}%VJ=Tucy338AlF%v16l{iBX3rWGrX(O%yPev-%uSOgwYES=60sje1EiR*iHW z)Y7zaEK$ASRfDU5#{^deuMu1gyk>BHU|!d-f$9gJs4KDZ6M#f^Qfnsw;7ou0U2z;r&D#{@vfbr{T-+3z(_I~oegA-_hLO0ZxFSH z3TM>&1$PT-Pr-eH0!Fxr#YsCy*5|0rk0|ihf~$bP5nL5~NpLmrWx@4<=d9F;o==8u zd0Z(!8+YI?aeB504WfV;WS5ZcEEm1-wTbE@4ydk+^HLHmTD zQtgp{Uy}i}cXy#-w5J7?YA0ucZ>!GZ-77=ri$SGA$$TZFn|$Evw+Q|^s6PsB~iuZO=u_rw^@`8}{ z7WlBV?Bkxt1d%eTsD#iS?$rd|>r^WhN)}~=c5|-=@Mlg9r9#Q^d#J3J@cz%ec-9u$ zpeJ^^zj#)$FZ;{$n;x%DytjfvcSA{*yefaVb{(NM9~SV;;40vggR6qy39eR56#cVg zW1|QBn^Q@JQ?Ds_z35*11Bt&_&;G^mvt@m|du709ovH)>;#395lRm^nPTN z?bAU4>7xDd!ZhuTN@m3*w^njr_9UBhZ4cLO7QMbI>qxRbAnQo7PLh#Paq|+9G)j*8*)lmnZ9a9uX9iaR?=+^<0ki8bb_K0($j_!J@F}OVrv*{6QRyc1HTRnG zUBSnKLg@9Nib8iLUJbn7aO%QM}iZ z)Drz+`B^Q2S31?YN)V-z<2x0ag3uX}QxEtO=jPVIM6ogN0lz!S4onugUGd)+WRI=A z8+%aE<}}%yt=47+;hqYr=+&<(ZWq<;1^*?uN;kiqWMdTu9_&Bbvbmci3(-#6wTqk74-}jg zR8e@2cAcj-&l$X--u)=c8NcOZW7Y2_%{x>jf5Fx-?=2%zFL;~aD&QT0tAgJUXBXHD ziYfFEU{@Jgn!r1p8oEyqsgj$1geJIG6S%;sEEP)D#;as~vwPL?dM>D>BYL%CIe*0? zDR)J?R_kt3)2SPGFijD>J7oef|@3HUQoq;5rcQ#@*08H zS*v4hTrxuV{mP}2dOWIKzju@RUj?~YO}jRT;Jx6liz_|yaQwJyCmHRdT?1}X z|Dxd8K_w;9u5YQ$O9Z|=xC;21;Hu!m^sYl`DP@1NT3a%J2d~{p#%93h1fK}%NkPO* z=E)_Ab`$N-x=;)4Uk82ql#YVDU*BD z+G+gPg8v;<(d#>j*TA0>uYsc1;hJUB)RvqhXKU99YV*kqJ|(yc_>ADH;LY?VNZDjY z|LgLz6o3yn)#4Q(lu9l`Q=uk8_q$M*3MJ>iTV#!?4fjD4Yn{?Pr#9z!%bDN1OEqF2h5Y!rE0A|2Y??IXY&;}suTwlLzYbIi=^;` zE7eo4dQaisB^ryneFPf}%O8Uxl~-N6@s1!dN;2x#to&5W&R+1_@fP6hB?>w9;P@pu z+LQ&JxL(KDW_lHTo;Z8BHg5(SuZ=PUFQ@mO+JBE1IG6d6^ zc4#(+Vro>tN5<5cGlPwnMj8J58cd)Dqh?g{;d6QnOvG)W&!`N@gs`V-KqiDyz-c}a zCNejus97-)sHaiDy;L!~o&oaWpjiQb5>`M;ZdSmRR4da0#-y4^=_yUg71VU~;&ks# zonFudyNX4#-@_$q-*c+toh~$l5FNMM!2Q&#qr7)Rw+PZDv!W~HUvmGrNZ}svaKmZ1 z;e(QJ!?z~khSPAv#d*inxZcBM98!M_iVPO_-etfjW&;>y@p&*U9MeJf5stEC#XJ{R zGR#|hMsS`e@--^Wp!wOPQccRj;FmmacwM6q;Re!h18KN{&nMvq(s0QI;2#z4NiX>% zN*=|WG$qlVbcd*zW0MFM#hg?uX3vHlW_h(G*PE$Ha#Ng|Bp1=CNz(PyWI{3Biqfoh zPd7D5(oId0bW@Wg-P9yWx281LQ)_3MUht;DRlu7ER|WS6R|9`2xIXZf!S#c;3vMiU z^$&K6YIoq5EK#g42~U!vO$Xq@(5iy}Db7xUZ%$|9un%-pt+Q3|SH-mr*&vhLuv;P< zdm_mwlItOE(g0o@xmLipMGA|iv++vgS^<-m<+^Bkx4b^+K?imeyj5EEwj}aMmApWi zWA1lSjybKxe z8K(w;&pVX?|KQZ%tAaOp23fH)B`0;d@U#oHfT7)3TADv_?N+LtT!r2t&1Kzd7`Tm7 zBfy)T8V3HzsgX2Xa^jt#aHGcfeW`oZ!$ z&67_qfNGj>o2V^0TcjrGft#o#%WtZZEWfEqvi$Zd(Ae(jrY1?csY#MS$@2bT3EbsEtyHL$3MFg# zMiRK!g@zGY&$~AK1;H-`m1-vo_f;Ar3S;FydB&e4L6zEMaSTf4<7qSgmU^ZOH-(AO zt225(@GjOrC#g%kKgn8-&`$2vI9TxeK~bwGf-3f9oF?F2YD-QEsY!YuCo0J*o2n$M zY-*CMvULTT&^_JMBuO_lNzzSCl5|s(B;CnM^K`ZONdf$^EjnXSdMS_N52K&JjW+H0 zDg31#E;%hwsb#_|tyKLE z^(vCA&y?m#q5Z7ji$RUilC`GVlGg{w;58q<0WuilNe%os$zb5vB!hvck_-kaNe0QX zyqh9F97jO~Om;SB7fs(xNpMKooUO1lF#&RpGBJ=jSDf1|1!KlpfH$Z26#}%$=^}~H zCVwxI8Pz72Qum45CK({6P@61f!!cBHD4gSi;PZYL1-DsP?7mUyy!S~?VrErLt7JyA zRE}C7Sr-`rd-I=lk@6T-tiMrdxMY3g?8K1U34&8mglnZ8Zl&RpGfQ2waFQPf$*V4@ zNqQBMsH9gRiAgfu3ACT*l3s;q*CB3_q&qn=NzzSCl5|s(Bwb7n@?4U157(|QskP&+ z7kp2DXRaGpE6#fS&T;8M;78?VpVNF?5T%ljZc?EpLJM5G^>e{-uH8cWb3vVxgiB`A zLYe$tZQft-2e$0qU;JM6XoJAZKh$xv&Rs2tQb~VPp(a8#7iw)Tcu7!b-yhVgf)Ot1 zZ@>Dqd-Sar{H3kB_ZPnb`Pr=sa4ET2=av&hsieQDP!plquH8CIu*bDqXm1?UKoTzL z?;M$2sWu;9@a|iCe>IAo?fifIZuDq_!1u|`7PSooQ7X9*MrghZHG%88&`>Hg6uEQ| zLZo5uCIDY`?JO0_ib7bg;o%dLx_0g7Cds0enly`nCd>Pv?kcHCqH?&rk5rqFAo%Fu zD&XUStAb|+R|C%qt`9srxPI`-!Hoq!yG^IBcKf)f*y|n=zEX~Mr~ucNpWWxw1d%Ga z^+D(k7it36bfIB{_I9cX4DI9$jrNVM-9YGLF4QRYZIP_Ue7Lj6u0bcs`Z)Kh7rj=O z^;+SzpRDn=OP13_MbgQ%TqKjXJXSI-Qk1b+!t=ezYMQWRe>e>rW!vc_K~V^-fKEQnDMVPj<_%L1RruLqqY>b&C{lm3ez|d~=OY>pZZl&6-Vga6z=2q@C3~V_y0({h| zVc_FVjilj{C2zLEQBfPH*QWnN5|p=178AWF^?Y;sVw#1cJl{+{@1T59tNLk*c%>(w zESOA#I2{ ze&!<`c)nVrisB_#w&Z@3Yd3)Zj5<`nC|d5%c<$4qlNB)iX&ZLy&@~=(0Qe2he$qvP zNR=!iY4#Jy{%5L8zKDTGW&f0C|4d}R0{Dd(qNk>_v5e+Gt_WVi=Y_4GY>;qnSnT>6 zO6xrDXN!K4on4O?ty@aZMNDm$;cuG)LOXxw*D7l)XYb$y`8Xpln zAt*-g<)DB}8k;P|veh)-*NbDJ7yNuHJXG^N_xu6Zz+`W2m%R49ymqak)*?*@uj}00qrrL= z#G=)K`cT9YpL?`CydeWRWb(aW7TJH*xsOZfUv!SDmvnBjybn;DM+ct}TvA&6rpcxx zIwnWBNmIz1IYQ^D&7*_AA6yb0zpG_a5*?Foxk(azfp*=cHjfVeU2sWs{C+K)lIWN` z=Lmi=xC;2C;Hu!4gR6lT1=k1uiylGQ zY4RB_5`aYhAx9e(;MMZ8lgsx6?+of*LDWl5L8*2V?Q30V810`1m1?tEl6KDlvcAT> zhElKO#5E%8tK2Ii{2f81LdoUJ#*23{IbE$Skb8q4b8hOUV5*ecc^?E8De|jo^SJcWuf1tVoQS2xWnYT>w^ccyim;C|kjC?LWtK+I3g!w5zPzX%AQXK3&F+SNo3QOkc5McZ;@P>=OT*@9o%4 zSh>?4sD2%MpI^W@g4Q}|G!r1;JelS zOzp#J!?J@uEdG;fC#gN4i~Ze|j^B%FUs3y-+M@pV#D8CHu^vVHOm<&XJ3}pBom{l1 zUVP!%4jSj()fVla690F#|4_Sx>Rq&lUt9ZYY8juRJwMp{bB)tNwTxTQo^jk(D5?*jPMQ?mBP`gm= z@6;B|d;U}VO|{;ANNrv11hqx|d0NLVQhSNoE7cb5chNfdF|{98JD|2`zk%x9r*>1d zr*x~o_{Y`G*Li`fgdw)o7UR7lzrU$HTz2SiC0gy`55JxE@1S;k7yBP-UfinoVYR}>BVySvrit9Gj{^KCEXxsTcj zYA1Ece=}V&Y^Qdd+I`g)vwBv?-wSHrRlB6d>m_Z!rncxmUv@uM`xCVXj+r!DQyj;GbWs`d@FMg60s zH%IMxYA;t?wEw-%Gdw}QN#~K5)E4bG6JJ+*w$1~WbvYkwAbz~sr_}zfi~VED$3BN@ z|9g+x?Yf*_|5N*K>pJCTwO>|0c>YoB@7I;*5jvlJK=(TzR$H{^dh>4iy{2|+`M;p; zKdZf8?K5hNdPRHmy4?>QultO7YJag#NAWLeKdk$>o7H|+>+nCjtjFk`)kUwE?{Bm& zP0;%D8`X&~T`k(bN5|EvYUe3W^8U8`(JA`hD8J=&{2eBH{EGIg$nHS3j3a(U`ytK8 zNov2ScD7osACK1dWVHvYE$Y24er?U?531d)%ly7Z{2glVQp@#vQU5f}>-*JKG{4tU zTeP1mexBN&s{NVTZ>YUhZP9-Z&0Fpdr)hh3m-+mA@r%^54)B1wsQ*PBH#5}ER(q1# zqWw#{&U{tv>uTTZa{alC=Jjd1Uc6P;bq}h&QrC6!v^`IDUsrp&+Vj;G^I1%O?^nCN z+7GBL+SioNG_^C;zNEIB{chU7pkV(oZS%vKMgOYuo~Cwh_m(&vXNp1f|Z86^e)&Di=ep>xJMD5XP z4^sPCwZ-^vF4kd|T)fk+ua@({TH0P$ZPEWp@h__7dCpQ=|6dXR_b&dM%Wem?JF6X1 zTa4Gue%~(k=ZL>h?WJn3=wkmT@x40V?4|aAF6W=y#V=I*h}tK+*e|a0&QfYWsCHAe zYpY#PZL$Abi$6!_pL_qG+Rg($uHxGN*M(rZuz{E^n`WAjjj>Hj);0!AF`@)aU9DED zf>+vQSCTENC;Tq|eoAnT-Q(AMFHkqgsn3gUm7{Hf?Y z4_pae42JrzWqkY|Xdk8h1K}a?SD<}2`ewqRK9e&&e@1S6%=};n<`?^dhk*74SUe-Hi6&%igp5zzXt-w+Pd z+noMj2hjSbMTB<)=YV1SPWaQov%s&!$PdB)3j8%_`x>GCh<;{Ga0Y1i$?Fi_0sOT1 zCckxz{4DrAz(wFe;HT}E9F3ga=RZe(WApK;gf{~pMSdf~VgCQ!`da_N{Ed7{{#KFi zM?kyZj@u79j(+q+um`mJj!$} z?Z7GEmZ07LM1;fqTe??p{hQ#mG4i*--wnP9z7ivUB>nCezya_<@c)4~fnNi!1lz!` zfX9I+fnj+f`r8e_jls>qQ2u%NVQ?D#^t_nl;52aC znERzeP;eAz^PFe!`-j2D!F|C8!DpFY&LeF5OUJ{Xf!>v2MhPE^oXLM$`nFzR>8~W+ zuY;Z7xnK%x2QB}H6MljI*Yf`e;a`F_pS*?emEhIjXTb|W+t-{-*w$BGV*F_VuLZXP z4+m|&z6oKo?{BbEXhyT^C0rP$T(vR%=_T;iZ+({j$JP%+{l{><72E{B-4r|nzutiG zi}WMi@LO7c1ln_5{pbtRJ1US5)3bSxrS~xDJOkbV-UHhF%jQ#--%GjvJKDMB_c_A9 z1@8wR0&f8C20x--x}5L}gx>Df1W4}t#~>(o0Cw*1`*ALcJiKg?e!{~UUL4cf<~ zExl0Q>~=c#t7Bg&1^$G6sn=rmr^5J01@R9cd>Cl@?fF6b&Z_CR^d62$?{4z>4!9ld z2d)OM2fqwn3Wo8GzntrLfjoSe()jq zOXS`I*9A8OKSFLz!l6F%!&>&Op240U2lZh6xb-XM=W+V}g8%%Z`ZgvXmY*8Jlff{( z4E>hnCrqz_a#;S&uNJ`nU+c5hvhXp zzlVLTAF^@Q`cZ55)(=@f7^iQye^Q@4|6uuf8a*$7-vOTh`@!qL)u26xv4ZfI!2Ljb zZsc=>=YwH+!u*8x2<1P|xU~qh=V5J}4CQZSp8g0p1jfzZcVwP_EO;Vl&ozen|55oR z%pdchtsi`oaHzkHc~CEC&#S!{Ge7!A<<0)%vFB&Nb-*w`Q|J$-f?I&Iz)=2T_)Eac zz}sWw-=`n_*!ol2@r0Q6d=vbSz_WNxe*o+NSAd@&w;AK!2Za9$hWQ_cH@Sm}cQQB+ z+z&K8mQJYecJ|rs1|I~U1Vj1Dc|PLnU`?%;s0aVNwGXdF_!oqq1)n3{tDt=*c8KtO z;Dg|g!Mj1Pz5M@%KyD)GYy*DC^}m6p*U~k;mTp>I4>PxE{&7|OqZ{BOV` zYrRAt7|NU75?ucVdLNI`Z=bgtneH|H9h|UDsM&`<5C1l}dRxz51+E0Y28LP={}KdG@9pR-PRQ?;Mo3_J3L4JIL41!Dqnd!O%X}VDAC&L+ZiG7s?+-IoiQaun30o zR{!Iv|24sNW7hL};q7;tmk|CzjQriq^Bw{p1)qqS|Lx1V)PCT3;7YI&wDqm9JPGD~ zCxU6PEoMGAmHF3na7XY9V5mP%?tvKjxy-u`0FPkavJ?#Un_L_6)0ub70Ymwf$Xy10 z6TATo<$s5szknZuThxYM)h3FS;rD1Yf(&kTS=d-?E3U?~66 zJkQumOegN?!)t+|{AbWp3qHKBm-r=EfWIgvJ&U(5*XM)#$H;#Heko|_yiR&y{_Nd_ zSM1}jTm}9F4CSAN{{)Q{WikCT}TGV*E57)0RIzw3cLgS2{-`W3T_JC2!3egAp9=j_rWiL zZ-aq3qKk=l1$Y&BEf~r-z#k9hz_Y<7umcR^FM<#CSiDf)>MKY7yTCJI%JWy_NyqAA zP3mK3_<5k&b8Et3`fI|k3!0u8=-U?F^oH@$Kto<$6@?_;@u8fynBiN zugXsz=QT_PzXSdV4E1jYUklpr7%n7izc-x`6MrZ8dhjH$6`Tpq0mJnB;Vqw!61IKx ze^oxqeZcwPE#M#+>R-90XD$a>zMa{AG{NM613-I zZYLbZKLg?{yMX<6h_Sc5*~?y@^|J{+qWwO^zLWYe{GH&vpe19NU&EhW08YfNQ)BG= zc_JPFJ_`N{4B;?{rC<%^it|VNeNGO!8^Aka?9njZE4KCA4JoI+A0f=olgQiiAy-WF z68{ej<)231dR(6jF8&nx=ZW`UVBGVD_WSP3u>Wds2n_RM^7j6KCgkkBQ=z>5ZaYVP z+H-FMG4=Zd{BOWKes9l_eF8s$_Vg;@zky-;|89K^w4>{}uN}9JPw_G^O#fKqPXIdu z`8kA}K-0Gq;j>7`(mRE){hntI;gi8x;B0UTI1OA6tO5JU*LcFK2ww)S0PT6_0^x2j z31+}iRtzU`zJ?h!CqXE#KA3ZZ> zy!jIRWuU$9<`;wqzz4x^fYyG)@+Vl=H~{=IXwNaV5k3`M0yco5zK7r+0~fOHu_9*u z<0E)`j&cU;EB3sSJs-6-e3+iC!|b?$zp@PM1RKC6(AKMF5f1fT3;$hkChIDVG3zV; zWqP=NEBH{1{L}EygPU*YbFdW{fs?^uoYfiVfk)h9me+O{y_MT z;49!;;Qio3V5n~|_SF}GZ-MWDgWyx(V(<%KsBc^L1$P1Md6EYRhw|fC_n8QGgQtPb zU<-IGcoMiFxCt2Qe*^wqaBub*7l1RsIiNi^5N#G}@?q2SA^Q4}dk#DV%!B)b2ZH;6 z3&1dy|7-nMZ0S?H3j6_h8yM#2JFK$}g6r3Mi4DOIS^rv#u)kXVKL>t)@E|Y=M!~Vh zbWdCXp1q9^_iY;p5;XZ^kiR#OxA-@~UlQoI-vgcxAEq)7em;00Xz7LWyOT}>m;{%D zq5Qh^@0);ofObE#72#=M82^XxhcbV744lX~{$0ZMJg&`0u8Ns&n7-(r)VGj$mY>fN zo)4}M)`OG4b-=KEmVbMG_)o}L`9gWyC$Zm&{g8R!AQ;N;!#FpUaqN9?0^`+zgiitE z;y0q_)8cmq>77hC3x1k@`#nQkey&2#5NP?gc%goi8$td9%STLkcZA;=+!eHRLjAwN zj*}Q?8$lb-L;0-&xh0I7_CCwoxV|`MJZ)gy?E+5)&jQ2r?D?o0dH$)M@%V(8{gsQ5 zyD~=p8hFzernii7y&qh`xO`^J_-yYpeV*~wp0|BHX8b*ae*7Hpe6T;JfA6q;6#DU6 zuoDdP{|No?FToeU;h6q;`gUH!PT+B15)Ac!AASJr+}=yrKK?NLTj1m1i{OLcBVee1 zee_KMw*hB>q5L5HQ=mPM@Y@*q1v_{Ri@;v+OzR$-IKiCYe1Wy7R!GCxBA@n{2z6*W;z6ibnhUsT1cMd!syaf#9pN4-G z`~duOjJ$m%ymx1>$v!oIz-~UA-__$PFih`T#Je865Bw>3BX~C$#<$O;-Z9%>83tbi z?*@MjhVi%E!!tXACxR_tC~tD}xPCI&9V2gFNUfXWHPwUmHPcYPeK~SZ_Vet!el3LU zbCUKQ&M^LC$o(7)Ke;&qZ(kRDiSVnSePHoL!l8cq+Tz@K{>t9q=fO~Z82&xbK7qLA zo}r`u!24p-v(FlC&wl2@fY;QnAJAHIKn+0=v+$%CoQ z7r1Z>7{-t4ioG3OsV2d$g2y&HYwzsJ6!KABXRa$#H-Ew6UAt1PQC(|icU@bm*cR0_ z_jXETk6i_Sr6*G;w&yyl0!g`6$YfI*fwO$JE2?YnY?uFCnHBQCMFMgy*PQA~MRl3B zWJ@8{kx8~SOI!;@b?L5Lp(qJ@I5{mBMQ5s`JuM05M2-BbbGp5rrlLrva~&O-PPy2e zY3goGrV6Rf){JU#!F+d9J6m#gE!EUi$n;n!+uoV6bC){{h`sDIo0FV)#UwMz z;Qt)4xkS?~6m!dY;}a5!qInQoxKR0DxZR?+pKHpW#Xo9%LPAk=6hy5HmH*+^B|?V- zbzzIYVthhEQM3Z08N|&?KW{fZGe!1KpL~+D_`?$u8a=Y#-yY~fJ`FvcMA$&Pi*@AB z;?Gzk;V#V}!C@_&9NJ8@>=u8jl5#ARzX|eZ@h7d9kdVl6|FWfCtT;mZ9W2+Rt(Ee> zeNDniZ_jn&htzte~%4 zzGIReYpa9N*;nPnX;nTl9 zh+m5ygBRsQ^WvrDGxa5 zhjz0&!RRk?GFJSWq>q1O&;Uc(wS@>d)t&C}@gIJ$+JK?#CNc46)O(M);&98U$1wi3 zG4b!?13b^4`#;Cm=W{}x8e{bue&8C>0j}aOzcXrI;@2AiuAJ}V-xk!rrDb>^@q_5m zK4<#)yLA4~_S4VtnXXD5d0q%Hcv2-BMPdExFym{gTCScKVWtA*LC~dLokOo@>Ct|n zd*yr|-d^C_64~r$Ri&20vcrS7Y%LWZpCu zKT+mGWAST5Hs6UVjtSBu+B~L$mU6Bc+5DuMpA^}=qnckUviU?czjkEvglc}B()eG^ zuN&F8Ud_uS%f{=93lpOCBO8Y+XvzNuk&Um_d`)EIW;MTIWaD8qKe;r{Rr6CK8^5ag zjUpSDs`-s08*i%lO(Gjds`<}GHa=AIQzPs5t9jW>q(86bH>(Vm&L>2hm(D9sCPd*~ zfWJB+8hi0~LS&1-m0Gl&jJ^0fA*!twBs3wK7Q;`E;kOyZYkQj*z4u9YLEG_Iye`j= zkJgLqdutX?HIF4JzD>9I(!1& z`lXA|GZ^TJ%l{Ql&*Z3vd5;9$ah?1hCl4L;*@M9FH#>fG{_hoD^IsdR2gKR=7a~8F zJzqf22>G|=!au^-ZF^Hy{hqsE>=Uc}`n?{Y;$EG2>z&8iHKF6x^cLw(S zoax`or+biu^*93gKIC`zbfnM8s-Ct$e;a&0(68?kAIlD>B0m_&Uj+YXpkF^{JC>en zV)*aI@cKNl+H)kGa3xZI(&H}pzSe{b+jFS;`+8^pcF(In=`keySozWCe^tJrBjMyG zxc^b~27DvDjmZ<`MCEI9UVc~PC&M=cd@Xz*{MN|V!S@IJKJbHqo`c{=0{(d6$10b; zPi$N?H9DU8w-m=6r@|i>@Rz_J8}Rx*GS#y*;BOaxtaN`OyxJ!^E#cDhv(6}b7`_Jn z8$LVH&*2B)=fgi|dQSIx8sJ}nZ-Adf!QO^%Jj2U>guK3YP4m-uW;OqJj z#SYRv2fp@Op11F4e+53#@A-=*tjE=Y<7A#Qe8K`3euVN~4?mdn{1>tF0DNQ0^A91f z&xfm?+E&k}k$(Wbzs>XCbg4vB8qX#_yIQG=9AUl74$n!sd{~rACBcA_~g!T9d zeEx}q3%~3BN0GkIQR}h)Ja2z{|Fyn%Qu)3f&!0y}qwlA5e8KZlN$z;T@ta0Z2IYDm zekicdT5_MSdTRN>kfmD#KX|U!{}B1q_t&a?;$qKpyBTe5@|RZg+Y3LI|Li8bv-5Dm zX}11z5&SUxc+x!zek9=ad3)6pz3lavynYK>`TRSc?-sw*L%-pyeBYZ17uNZo9+$)S zzmm{kbiMl@MY;~6@*{629CIrC4~&1+^G)z~!6%S6Jx{<7ldj%>>!IK4QT;=&CtUa@ z3ZVN+$~V5|dApw;Cx%kK<`vJM?_x!fzQe5XC*aM0K89}y_!?PW(R?-r{51G{!0!$}@MoX@I%$V`90))1 ze!_)!b^oJC-yiSl5xyQi2j74mTb|MO=js=E^TSKvYd`S%H=|(J!Y4lT{5DkZkKz0O z+w<4K{|9{jPoCF#ydJN>4~`^U_`JR_=Kwh7NRK6enNcg$% z5#=)fJV?oh0Ur^!H)Pe-!v(3O+*K{J8^uB=BeR|4D(o{tjR)zdc)c&410` zeYx!SS}WmeC(d{IY{TKc5~JrzoWFW-m$=ivKhc>YT4 z`6hhr_MW%p$&cVy?cn(lhEVX-NAa#Ur7b)?`)KBnCAJl$>;g-(N><{5B_TS`b|CmcX)jtw(6&Ni@TX^1n_x3aR2K0Y(oLBTK_>rx>{4wyle5(2z$*0ZFCrF0XKlcm#R)2To zO;cK_^yy=;Xo~h`O>T`#Fhe-9;2fTh4S^1hE-FC-s5Dnh8z{R)rsJ};2 z`Px8FAN;(4Uj;uS(Ely?M8N+@__5m2-NLIK1{eDBn*Zzf?Uf&b$2^gKuSxm-FM9bW z@&AwESLHpw$2f1F_0~a8i{}@6I@$`p;Q-I?1iuG-?Kz%*8vanzbBgC@k#3{Ow|agP z{I&}|I^OeNlW|>-3ynX<^Ez+V<7)Um@-M}4$1U)QWnTW0ah`v~^qlK?%jd6+PkUbX zYxVd5emIbyxGwfTuUgM!_~HFM-{jRq(}j2Q#$S5>8HTTOysZCF@3Y|NqNfi#-vfUr zeBwZ_|1a1__fORiFGY{ldk69jU-0rj9Oo5T`+6wwH}lUM1K#|+AKu!L`T3mzZ~i2LJ;Ihu@K0pExHe@;Gcu>5DdyskItVeNW#kgm1sfq=gcJ%gQI&&}w0MtHT` zqsZf)(F^cHfgXK6Tb7Z|rvZ8R1=j^);XF%cMKm_{%)M3wreXC$9aj z^1KAyq0gNwUyuBBc>QjQ@`K2m|1X4ZxYX+zMqadeSr{IUt zzY;zR-*<_Z-(j4;pzlRe{e55dyco+JtAuy{|D3m54ZMEWP30TWZ`+X9!>^*eKPBA( zr$^?=)Jp>XF5$=OC+|nkz!g3}JCW{F=&4xqd&vf2K;Aa zKUd3DAMhK&Cjx#td_%zN?+8^-?YDfolSo+KORoITHJ`xu# z{h=TIK! zMqYmps`=@^*YoF&^B0ovBlmdzdU$=WnabBc?)i7nbAjo<+w;dEe<}RHW1jCt{u=n9 zhduuk4d!O}z6U+O2>z$WlYi@%o`oOzv6o+KoKN5__G`dfe+&ID!VkUSdGo6g z_=eX#f95zJVfb<30P`dxOlXCwABUcVQwd>-EP91lN$JxxAm zda#?#$IdZ%>?YF{cU%GAkKIiE`|y3(&G`G_M}9|n(WBoZclqDI*W-V|zX_jT-}Bbb zd<-9odq4cpuROo!IIrj)_?qWEzaRN|On4oSeiw{KetteR8fJV!P4twL zm-|Zhgl-hwMm}Fef8(#c{tvLjJI24{`2y*FjGn%63!R1;=$W{YxAXAJUS2Zij*X3f z#q$>;zdd~ZRnN~yey;G^@Ad!H+pU)SjsxHaNZ0zq!_bqUf4B<$Dfqs(z5buU+kAcy zyO|%_eEzwBFQ8|H`qlkHJ@h?~>Ysh@d;L#hH@km%G|*%BFT(+U6?*ctuRmjlAHlDp zz5I!EAA%pCef^e+$aC<+6TRK;@Y#%h2R}%=wtBStuOaed^BKFJdNbhlyDejl7d67G z9r`!)>E1xP+r-H4f_&d(FTX4DpNo+{P+#+gMOpa#MxNgs{k`b< zD6s#R;Qtut|Elm~mG?>~FZ(QOkm7isz;()xAN@SiUBXAwc~4xDCMO;qkKtc*dPYA7 z^@i}ZvJW0U*Yuw7lg0kSU-y2v4XJz#pQm1ShM&BNm#_JymwyF*JNU#B&tFBm+Z}%R z*o2d`_LXovkt^UB;Ww1}O2|(|+<{}A93y`w`lDxky3e8OD^AbUXdeBTwbLt*ALvXt z$vpDki_w2?4F7YdU-q?+_4zdae-{0Hn|c4CdZRaD^n8qbKI`-IBKeu{S?thJZHM)R z*ZK;d)7u!n9zC6FL^(iKzUymz80BE_+tz| zX)5+lCY<7(_JzEOz`i)b3d$IPulaoiN0zU8f(a*Im zLjTAK2`Bwk;v5d2xZm?OPU(AZG(QR2g{7_b9AohtY&JGO zJ%*nj!!LEb)Nhb~{Z5~&cgj1HoMqt?jN{YbPlq3-ogN2&KKw{i!Z8d}(U*kR`dS(6 zGhPbccy_g4UE}niv;yu#{{a4fE7zWYAKEYBnA72(NB^XteZ7kO@R7b=+VTJQkRSZ2 z&yS5eA0gk+UD=$|6I+Oz*6FTXj4nC5sQSHNuK`{-AX<=PSGZwu^m zlIcI(>n|d&-;vRD8-n`P@5+qjSC^tckA5rfmFTHC$?LcK;u}nV%JV-U-5)tU<>%E+ z&wa=b9p~k(ULJ>Eb)x6zq34(I(Y~I48U8K!;lFvl9)1MAq0#eZhYuW&Pz6lhVr)Ci zaJ=kCr@bEY+dB9J?e{cv?HQxz5afrMFZ}`e6X6G&z5adSGckIWBR}#5FMlQS=f=oi z8N=Tsy!z*(__Ot&w+UY}#(ebwSmmDv4d&KASG4lBqd_D8DL*YM&(KBgF z@3)Qjc|DfTDZ=ZxIn48l#_xdqAo8X9f*)Qu-=%mv=`MCWfeL7f;ZH+P0>3i-=L)a( zyfNq>EqX$AZV`C9s=29E3dzgdbja(s_@#MG+yQH`8M*~!}kUCwHtiYlyH*! zBR>bemi^El!yf=Y%>Cvx_+#Mff0}U2~(Itsj`;ct=(J+rjZNzY69{b7SNWG5LoRksj7>oLD<{ zK2M3^zvy_`-+9K@?{lI{k8jnEt>+fuwSN18apArg`KO)yRC!TCI?`jF`!DY;sP*>A zbDw(v{2TBQy!HRT7hcm{8KnCc7NSU_paxE ztIFiq$?^WY^8bOt*U0a!UZY%g?I`rr(hsc3g%o_v%L&H}z~|xno}TZR7vL|3?+^5R zU3j&_>Y!ZTL4N4Ogwrq=JwJ3jfeQE;disKS^^-C3Z=%2P0bMAy6UiL~cso5LM{ zjM1~+bZ`Hfo8~*Y_mJNLesD117;7)v!q=kT_?;Y2k7CPqLn7{ml1~PZYfV zTXCTK9Pf2j{(lkq2HMLMF1`XkNPS%b|0evZhJ<6zgZ~h|Cg^|G*v99xKk&B=g?Hoo zB5$8N(6gQJ+AfBWhmLkae&~dRqdvohnT|K9GA@eYk3&x_^P=mBl7X+Gyo=yFV)UGg zd_DQG^@K~|YcESU4Ifa!tKo;2d437{zYX7aoae1Sxfy;{Fdw)_c&+z=z@85xUw@jn z=N~Z8FP!|;=t<_Wc7OC=PM%Cuz<;BEMlf!!v#qbMzGk1#J&7|}c+JnM;JMsdCtsnM z(>dsAJl5;!f?oh%v%vHEdo4W^-+ug9TA&li!O1m7^<^VZLN7Cz55TI4|zS9Kbj9;k3A<4=YSYJCm>&YxYu(!@+Zg0pMiYegI@kxo=#K^C;y|;gUiPvw>CvR>1)}H^Xto!J( zt>Z`k-hU_LBkV~wqk8y(a32kRXmP^ny%akf3O~5F=MQsNqe#EEsr~b+wY}ZG4j<`D z!|Kuw^qpaHnoec2$<~fsXR_FpDs)BZLRYb?yQM`gC6fz}I_!w#A&ZYZDw&kfepR7^ z7FJwp&Lvy3xu#S$>E14uOm(k_Hf?ZvKSzL0B`7oT;=Thx+TuBKFa znZJ_iDdeSe6?AuAUUHW4Q8LA1vNMgtWMsbW{%9y8^IhRwOojGoPnyl4?DM^Slw zms_SNa&u-{+&Q~PZJAVarl9PsNRpQPIpZd~+B-z&9wOn>*~4GgtZ7x`I$PRXDNIqY zkm<dp$8X|4+AbIUV@?tIc= zb8fkdQ5Pi07RhaMM)G5)$!tq^F;h2d-k$TyT$^!w zmbr}Q_N=cjb4JaK_A|EtQ$+)RWnGh-TJRWm3ISLaCzY?o8&}JEP@o?di5;E}zzll%$K9q-3?J zy{j1Y_U2RRE`ct2`CTz9-YMtlwv3dfw^!@eT`bI!P+_M0%ggKfa{dx$@&>|eMsl-4 zd@n6mH1jQ)g0^g@q$-^3%H>rit!&brb|%wpk|kx^a@l4V(m->2b1CZb_AV_zXEKv( z36n^6q*f^BUQHSv8Zb4$aJL?(`{wWm+}mZ9Bzx+j(G&L}-EYU=KirreV470Z^+ zlZED9*J+g*ag%`24o*9^vW#Z0nxXHvN-B%e16D*X_l0FCs;^8)^|~4?GZmFsCd~`V zOhwI>NmrxgVA@q`Ib5#AGFMU8WwNq%L)KMrnGEW<@`6-zIapQGWm+p+TA!=$YSvL! z-?L<-q`qg(t1s2}thswi659C`)#+Q>vzhjs)O)*htFxM-Vj+_jI9Xna+Ocf0@SFur zE$Qb6c9A-=U`2fxWtCPDc5T$c6?J2js~HRUda+QsR*b2r6Qe3?q?&W}QKAa+KG>um zt&CF>%UG4nXA14P=8_HE$Ye5NsGf=|U8kWWF`ysy)hNL`z2nK~+fC+}&KzZdXtAeYoYA&TA?nx^VfjbCZh8E{qW> z9AxqT=pl=(^;<+Y64CLNxWGvk%kbuV@{&Z^O_I&a&5$BjNVUqqryf(qkMfhnzW??8 za!KnLo+8C@-F2m_Xc0&G%3>LxN&`koV?~C_xg6W87LU$$rROhp!)?L4fOvyUC5ur< zu~mBR*|W;Jea>VClq>XBvLdO|{f<BLC>Yn{99UC-%P zpk0Td=QePZ&i(XRt~kpn?fVNo)3V6)PEWN}a@yA3+LrIm7Bfc3^3v_X#B>5^}}$S6zYqsV}lWr_eq?v3;Pb;6fELt!|Dh zvr4^5&}1res!dBwrmXAm^u%?8dP0h+9+}tV3hk}!oidlyn}T+k$c-X=RJE^87^E9Y zW^=h^atGum$9mb9rfbF)n8L7Iy zoLF_8Icm&$b5|-l-%>{CeA{{|{mDs}#{)0y$cm{$1zR~LjH2DBP)e`DTG&^tF}lu; ztf+OUih74B*L<>LnRFn|i1{&0C|tF@gc#FW!jH0*aG^zn8f6bvS#j@D%1p%wS+YfS zW~4M@W=8l?nNhCP>?A-f%4kqojjC}}UP@$jy_81diZ-mGa;uXobR=cHL6)M*HC8R9 z^;fQivXpj`Nr~^W;pRf6vb%m!?ufd2^L~t#yi1W}EU+fwyHE>RQnO*Nn4{{u z#8q~QLXPqv;YQWBaHG2K)=a0&xYMPchOl>l?xL(ODPK>>`7(CbZs&t%4Q0(tfiw@6{YKovf7%SIY$%< zGC(B{IOMPe^AAZLw*US|E;=fC)cgg9EYihSEroo2p&;q%%2gHN*IAPL9lK=yp^Fzr z`t=6ZUfhg9RyeZefmT*kCmvC%2|7-tN)GM(Na|jeVU|m^$TC@1T3jO4)m2C&4mf1- zf`!Rhb+hXB5Oes&M_Qq*zq&iV&Rn&auXSnXrNm{DAzz3nM=MRsWQr*%RYz}b@2Mto zJ+g!$3tLH98f{7^)5Y$j`w&L4)Af}t)zVq1tdx$7GIGkohb-%1qpVCCy9zm3?`VqL zxF5uG%A)pMx+`0KMV5=i5oCqimZ;QL+M?Z5Cz)*V*$}5(R*txP-Rwy2H)QR&%&85f z@wMfOT}}Eyj!gBXY+CZIy2UCb3oTD&mnDVNdhruTvud(pIbRpl59&!Ck!h91<4j?p z+{hK>YaP;zGcw0qChNB9A%3=#l`QC@vZmCmOU7E`8jy9jWV$z&<Q7qk&uhvG}3p@IfuUbEjTT4-N ztv%P$oC@r$<#m0qGho^EWD&Vrrm6lWUCY?jBR*1{3GZHRF!Kw%vSOIexiw;zS>1}G z+A$|mZ*I=f%X>;IbqyE1A;3t7G|m=_g*~R!p*6?Cyw4 zHOAtdb|T*8vbrKGWlZvYSOP0+V@1ezV z@8!nH-d?>8bo*W6yt=&-$=#{!c$M7J-AL#ig}X0l5&IR(X=}q2tGSILX$^8iuT4%C zhougB+!DSyjpW?573$tERcpYdpVYfKQ8T(Lz-F##U>;c_>+J4ml1?Q-B5)S>@Jr@PLu^@){PF= zHFV`#TV+q7MG90APwi5gC7A1G3mrY$e`x1YtxoGor8MJOCb7#XWd$)*bu8Hk(T^J? zla=F{)`D{uX>hWe5XO)d1a>jgDJiZSC|BrCKq#nH>l%a^k#{-z6r-Nme|_Ei@~GCyXe`fNLQlvAC{Xh&M!DipWU z-NIr;HzAv5(!?_|TkUQy%66U(Kh4El($B}7I|t2N67R|d13X*ae*3v(1wUF=Oghvo zZW5!Diqht%t3=J0ZU%Oa8Zf1oms|B}188rxLb?{oOU~^bv5(`&=z>hYwEUx{U-s27 zv4ixU)hd0@GghCPZD}t^r|bIApxjo!w#y=lbtAf3vsAj9QI%#tlIu~fSIniCh4Z*l z$5FDV`IWS~QDa(pOJAo*na*GiNb?oYnPj#oS%_Rm)L9QQQ)D$AO@jjg3h zcFoA&rt&<2yG8WP+d5ror}|Vw*!60)yPl!jRjTBu&EZJ*g71_#6`B@?G~iumvYJ7 z-}|oEk4ahCOSQh$t=GF1IO#L|v`hRUZh|cXhZMfL;#?!qa>@YWIvx4CpWOarWZh_# zXn6+YGN`RoBFas)JS!k~3Gxhsdz3)*L@ln{t4<0Bs3zUrMyIH83QEqQ_X5GAIgw0T zMh*4JjC0dGlZ3FLiNBW|yL_{wYb4szTq!E%lG?wDyF_E;-G(+IW?74ohR{7m#j4Nw z0k$RGImYc`(LX&QtNV=CCI6F_Du(EYM$gFAVagK%86Dicw)d`4ExK7;rP|Iq-x@u6 zQ>9vsZvIiysq_8F*)7WIqZg%GaqAt [, [, ] ...] ; # are quoted strings +# desc = ; # quoted string +# type = par | stk500 | stk500v2 | stk500pp | stk500hvsp | stk500generic | +# avr910 | butterfly | usbasp | +# jtagmki | jtagmkii | jtagmkii_isp | jtagmkii_dw | +# dragon_dw | dragon_jtag | dragon_isp | dragon_pp | +# dragon_hvsp; # programmer type +# baudrate = ; # baudrate for avr910-programmer +# vcc = [, ... ] ; # pin number(s) +# reset = ; # pin number +# sck = ; # pin number +# mosi = ; # pin number +# miso = ; # pin number +# errled = ; # pin number +# rdyled = ; # pin number +# pgmled = ; # pin number +# vfyled = ; # pin number +# ; +# +# part +# id = ; # quoted string +# desc = ; # quoted string +# has_jtag = ; # part has JTAG i/f +# has_debugwire = ; # part has debugWire i/f +# devicecode = ; # deprecated, use stk500_devcode +# stk500_devcode = ; # numeric +# avr910_devcode = ; # numeric +# signature = ; # signature bytes +# chip_erase_delay = ; # micro-seconds +# reset = dedicated | io; +# retry_pulse = reset | sck; +# pgm_enable = ; +# chip_erase = ; +# chip_erase_delay = ; # chip erase delay (us) +# # STK500 parameters (parallel programming IO lines) +# pagel = ; # pin name in hex, i.e., 0xD7 +# bs2 = ; # pin name in hex, i.e., 0xA0 +# serial = ; # can use serial downloading +# parallel = ; # can use par. programming +# # STK500v2 parameters, to be taken from Atmel's XML files +# timeout = ; +# stabdelay = ; +# cmdexedelay = ; +# synchloops = ; +# bytedelay = ; +# pollvalue = ; +# pollindex = ; +# predelay = ; +# postdelay = ; +# pollmethod = ; +# mode = ; +# delay = ; +# blocksize = ; +# readsize = ; +# hvspcmdexedelay = ; +# # STK500v2 HV programming parameters, from XML +# pp_controlstack = , , ...; # PP only +# hvsp_controlstack = , , ...; # HVSP only +# hventerstabdelay = ; +# progmodedelay = ; # PP only +# latchcycles = ; +# togglevtg = ; +# poweroffdelay = ; +# resetdelayms = ; +# resetdelayus = ; +# hvleavestabdelay = ; +# resetdelay = ; +# synchcycles = ; # HVSP only +# chiperasepulsewidth = ; # PP only +# chiperasepolltimeout = ; +# chiperasetime = ; # HVSP only +# programfusepulsewidth = ; # PP only +# programfusepolltimeout = ; +# programlockpulsewidth = ; # PP only +# programlockpolltimeout = ; +# # JTAG ICE mkII parameters, also from XML files +# allowfullpagebitstream = ; +# enablepageprogramming = ; +# idr = ; # IO addr of IDR (OCD) reg. +# rampz = ; # IO addr of RAMPZ reg. +# spmcr = ; # mem addr of SPMC[S]R reg. +# eecr = ; # mem addr of EECR reg. +# # (only when != 0x3c) +# +# memory +# paged = ; # yes / no +# size = ; # bytes +# page_size = ; # bytes +# num_pages = ; # numeric +# min_write_delay = ; # micro-seconds +# max_write_delay = ; # micro-seconds +# readback_p1 = ; # byte value +# readback_p2 = ; # byte value +# pwroff_after_write = ; # yes / no +# read = ; +# write = ; +# read_lo = ; +# read_hi = ; +# write_lo = ; +# write_hi = ; +# loadpage_lo = ; +# loadpage_hi = ; +# writepage = ; +# ; +# ; +# +# If any of the above parameters are not specified, the default value +# of 0 is used for numerics or the empty string ("") for string +# values. If a required parameter is left empty, AVRDUDE will +# complain. +# +# NOTES: +# * 'devicecode' is the device code used by the STK500 (see codes +# listed below) +# * Not all memory types will implement all instructions. +# * AVR Fuse bits and Lock bits are implemented as a type of memory. +# * Example memory types are: +# "flash", "eeprom", "fuse", "lfuse" (low fuse), "hfuse" (high +# fuse), "signature", "calibration", "lock" +# * The memory type specified on the avrdude command line must match +# one of the memory types defined for the specified chip. +# * The pwroff_after_write flag causes avrdude to attempt to +# power the device off and back on after an unsuccessful write to +# the affected memory area if VCC programmer pins are defined. If +# VCC pins are not defined for the programmer, a message +# indicating that the device needs a power-cycle is printed out. +# This flag was added to work around a problem with the +# at90s4433/2333's; see the at90s4433 errata at: +# +# http://www.atmel.com/atmel/acrobat/doc1280.pdf +# +# INSTRUCTION FORMATS +# +# Instruction formats are specified as a comma seperated list of +# string values containing information (bit specifiers) about each +# of the 32 bits of the instruction. Bit specifiers may be one of +# the following formats: +# +# '1' = the bit is always set on input as well as output +# +# '0' = the bit is always clear on input as well as output +# +# 'x' = the bit is ignored on input and output +# +# 'a' = the bit is an address bit, the bit-number matches this bit +# specifier's position within the current instruction byte +# +# 'aN' = the bit is the Nth address bit, bit-number = N, i.e., a12 +# is address bit 12 on input, a0 is address bit 0. +# +# 'i' = the bit is an input data bit +# +# 'o' = the bit is an output data bit +# +# Each instruction must be composed of 32 bit specifiers. The +# instruction specification closely follows the instruction data +# provided in Atmel's data sheets for their parts. +# +# See below for some examples. +# +# +# The following are STK500 part device codes to use for the +# "devicecode" field of the part. These came from Atmel's software +# section avr061.zip which accompanies the application note +# AVR061 available from: +# +# http://www.atmel.com/atmel/acrobat/doc2525.pdf +# + +#define ATTINY10 0x10 +#define ATTINY11 0x11 +#define ATTINY12 0x12 +#define ATTINY15 0x13 +#define ATTINY13 0x14 + +#define ATTINY22 0x20 +#define ATTINY26 0x21 +#define ATTINY28 0x22 +#define ATTINY2313 0x23 + +#define AT90S1200 0x33 + +#define AT90S2313 0x40 +#define AT90S2323 0x41 +#define AT90S2333 0x42 +#define AT90S2343 0x43 + +#define AT90S4414 0x50 +#define AT90S4433 0x51 +#define AT90S4434 0x52 +#define ATMEGA48 0x59 + +#define AT90S8515 0x60 +#define AT90S8535 0x61 +#define AT90C8534 0x62 +#define ATMEGA8515 0x63 +#define ATMEGA8535 0x64 + +#define ATMEGA8 0x70 +#define ATMEGA88 0x73 +#define ATMEGA168 0x86 + +#define ATMEGA161 0x80 +#define ATMEGA163 0x81 +#define ATMEGA16 0x82 +#define ATMEGA162 0x83 +#define ATMEGA169 0x84 + +#define ATMEGA323 0x90 +#define ATMEGA32 0x91 + +#define ATMEGA64 0xA0 + +#define ATMEGA103 0xB1 +#define ATMEGA128 0xB2 +#define AT90CAN128 0xB3 + +#define AT86RF401 0xD0 + +#define AT89START 0xE0 +#define AT89S51 0xE0 +#define AT89S52 0xE1 + +# The following table lists the devices in the original AVR910 +# appnote: +# |Device |Signature | Code | +# +-------+----------+------+ +# |tiny12 | 1E 90 05 | 0x55 | +# |tiny15 | 1E 90 06 | 0x56 | +# | | | | +# | S1200 | 1E 90 01 | 0x13 | +# | | | | +# | S2313 | 1E 91 01 | 0x20 | +# | S2323 | 1E 91 02 | 0x48 | +# | S2333 | 1E 91 05 | 0x34 | +# | S2343 | 1E 91 03 | 0x4C | +# | | | | +# | S4414 | 1E 92 01 | 0x28 | +# | S4433 | 1E 92 03 | 0x30 | +# | S4434 | 1E 92 02 | 0x6C | +# | | | | +# | S8515 | 1E 93 01 | 0x38 | +# | S8535 | 1E 93 03 | 0x68 | +# | | | | +# |mega32 | 1E 95 01 | 0x72 | +# |mega83 | 1E 93 05 | 0x65 | +# |mega103| 1E 97 01 | 0x41 | +# |mega161| 1E 94 01 | 0x60 | +# |mega163| 1E 94 02 | 0x64 | + +# Appnote AVR109 also has a table of AVR910 device codes, which +# lists: +# dev avr910 signature +# ATmega8 0x77 0x1E 0x93 0x07 +# ATmega8515 0x3B 0x1E 0x93 0x06 +# ATmega8535 0x6A 0x1E 0x93 0x08 +# ATmega16 0x75 0x1E 0x94 0x03 +# ATmega162 0x63 0x1E 0x94 0x04 +# ATmega163 0x66 0x1E 0x94 0x02 +# ATmega169 0x79 0x1E 0x94 0x05 +# ATmega32 0x7F 0x1E 0x95 0x02 +# ATmega323 0x73 0x1E 0x95 0x01 +# ATmega64 0x46 0x1E 0x96 0x02 +# ATmega128 0x44 0x1E 0x97 0x02 +# +# These codes refer to "BOOT" device codes which are apparently +# different than standard device codes, for whatever reasons +# (often one above the standard code). + +# There are several extended versions of AVR910 implementations around +# in the Internet. These add the following codes (only devices that +# actually exist are listed): + +# ATmega8515 0x3A +# ATmega128 0x43 +# ATmega64 0x45 +# ATtiny26 0x5E +# ATmega8535 0x69 +# ATmega32 0x72 +# ATmega16 0x74 +# ATmega8 0x76 +# ATmega169 0x78 + +# +# Overall avrdude defaults +# +default_parallel = "/dev/parport0"; +default_serial = "/dev/ttyS0"; + + +# +# PROGRAMMER DEFINITIONS +# + +programmer + id = "avrisp"; + desc = "Atmel AVR ISP"; + type = stk500; +; + +programmer + id = "avrispv2"; + desc = "Atmel AVR ISP V2"; + type = stk500v2; +; + +programmer + id = "avrispmkII"; + desc = "Atmel AVR ISP mkII"; + type = stk500v2; +; + +programmer + id = "avrisp2"; + desc = "Atmel AVR ISP mkII"; + type = stk500v2; +; + +# This is supposed to be the "default" STK500 entry. +# Attempts to select the correct firmware version +# by probing for it. Better use one of the entries +# below instead. +programmer + id = "stk500"; + desc = "Atmel STK500"; + type = stk500generic; +; + +programmer + id = "stk500v1"; + desc = "Atmel STK500 Version 1.x firmware"; + type = stk500; +; + +programmer + id = "stk500v2"; + desc = "Atmel STK500 Version 2.x firmware"; + type = stk500v2; +; + +programmer + id = "stk500pp"; + desc = "Atmel STK500 V2 in parallel programming mode"; + type = stk500pp; +; + +programmer + id = "stk500hvsp"; + desc = "Atmel STK500 V2 in high-voltage serial programming mode"; + type = stk500hvsp; +; + +programmer + id = "avr910"; + desc = "Atmel Low Cost Serial Programmer"; + type = avr910; +; + +programmer + id = "usbasp"; + desc = "USBasp, http://www.fischl.de/usbasp/"; + type = usbasp; +; + +#programmer +# id = "usbtiny"; +# desc = "USBtiny simple USB programmer"; +# type = usbtiny; +#; + +programmer + id = "butterfly"; + desc = "Atmel Butterfly Development Board"; + type = butterfly; +; + +programmer + id = "avr109"; + desc = "Atmel AppNote AVR109 Boot Loader"; + type = butterfly; +; + +programmer + id = "avr911"; + desc = "Atmel AppNote AVR911 AVROSP"; + type = butterfly; +; + +programmer + id = "jtagmkI"; + desc = "Atmel JTAG ICE (mkI)"; + baudrate = 115200; # default is 115200 + type = jtagmki; +; + +# easier to type +programmer + id = "jtag1"; + desc = "Atmel JTAG ICE (mkI)"; + baudrate = 115200; # default is 115200 + type = jtagmki; +; + +# easier to type +programmer + id = "jtag1slow"; + desc = "Atmel JTAG ICE (mkI)"; + baudrate = 19200; + type = jtagmki; +; + +programmer + id = "jtagmkII"; + desc = "Atmel JTAG ICE mkII"; + baudrate = 19200; # default is 19200 + type = jtagmkii; +; + +# easier to type +programmer + id = "jtag2slow"; + desc = "Atmel JTAG ICE mkII"; + baudrate = 19200; # default is 19200 + type = jtagmkii; +; + +# JTAG ICE mkII @ 115200 Bd +programmer + id = "jtag2fast"; + desc = "Atmel JTAG ICE mkII"; + baudrate = 115200; + type = jtagmkii; +; + +# make the fast one the default, people will love that +programmer + id = "jtag2"; + desc = "Atmel JTAG ICE mkII"; + baudrate = 115200; + type = jtagmkii; +; + +# JTAG ICE mkII in ISP mode +programmer + id = "jtag2isp"; + desc = "Atmel JTAG ICE mkII in ISP mode"; + baudrate = 115200; + type = jtagmkii_isp; +; + +# JTAG ICE mkII in debugWire mode +programmer + id = "jtag2dw"; + desc = "Atmel JTAG ICE mkII in debugWire mode"; + baudrate = 115200; + type = jtagmkii_dw; +; + +# AVR Dragon in JTAG mode +programmer + id = "dragon_jtag"; + desc = "Atmel AVR Dragon in JTAG mode"; + baudrate = 115200; + type = dragon_jtag; +; + +# AVR Dragon in ISP mode +programmer + id = "dragon_isp"; + desc = "Atmel AVR Dragon in ISP mode"; + baudrate = 115200; + type = dragon_isp; +; + +# AVR Dragon in PP mode +programmer + id = "dragon_pp"; + desc = "Atmel AVR Dragon in PP mode"; + baudrate = 115200; + type = dragon_pp; +; + +# AVR Dragon in HVSP mode +programmer + id = "dragon_hvsp"; + desc = "Atmel AVR Dragon in HVSP mode"; + baudrate = 115200; + type = dragon_hvsp; +; + +# AVR Dragon in debugWire mode +programmer + id = "dragon_dw"; + desc = "Atmel AVR Dragon in debugWire mode"; + baudrate = 115200; + type = dragon_dw; +; + +programmer + id = "pavr"; + desc = "Jason Kyle's pAVR Serial Programmer"; + type = avr910; +; + +# Parallel port programmers. + +programmer + id = "bsd"; + desc = "Brian Dean's Programmer, http://www.bsdhome.com/avrdude/"; + type = par; + vcc = 2, 3, 4, 5; + reset = 7; + sck = 8; + mosi = 9; + miso = 10; +; + +programmer + id = "stk200"; + desc = "STK200"; + type = par; + buff = 4, 5; + sck = 6; + mosi = 7; + reset = 9; + miso = 10; +; + +# The programming dongle used by the popular Ponyprog +# utility. It is almost similar to the STK200 one, +# except that there is a LED indicating that the +# programming is currently in progress. + +programmer + id = "pony-stk200"; + desc = "Pony Prog STK200"; + type = par; + buff = 4, 5; + sck = 6; + mosi = 7; + reset = 9; + miso = 10; + pgmled = 8; +; + +programmer + id = "dt006"; + desc = "Dontronics DT006"; + type = par; + reset = 4; + sck = 5; + mosi = 2; + miso = 11; +; + +programmer + id = "bascom"; + desc = "Bascom SAMPLE programming cable"; + type = par; + reset = 4; + sck = 5; + mosi = 2; + miso = 11; +; + +programmer + id = "alf"; + desc = "Nightshade ALF-PgmAVR, http://nightshade.homeip.net/"; + type = par; + vcc = 2, 3, 4, 5; + buff = 6; + reset = 7; + sck = 8; + mosi = 9; + miso = 10; + errled = 1; + rdyled = 14; + pgmled = 16; + vfyled = 17; +; + +programmer + id = "sp12"; + desc = "Steve Bolt's Programmer"; + type = par; + vcc = 4,5,6,7,8; + reset = 3; + sck = 2; + mosi = 9; + miso = 11; +; + +programmer + id = "picoweb"; + desc = "Picoweb Programming Cable, http://www.picoweb.net/"; + type = par; + reset = 2; + sck = 3; + mosi = 4; + miso = 13; +; + +programmer + id = "abcmini"; + desc = "ABCmini Board, aka Dick Smith HOTCHIP"; + type = par; + reset = 4; + sck = 3; + mosi = 2; + miso = 10; +; + +programmer + id = "futurlec"; + desc = "Futurlec.com programming cable."; + type = par; + reset = 3; + sck = 2; + mosi = 1; + miso = 10; +; + + +# From the contributor of the "xil" jtag cable: +# The "vcc" definition isn't really vcc (the cable gets its power from +# the programming circuit) but is necessary to switch one of the +# buffer lines (trying to add it to the "buff" lines doesn't work). +# With this, TMS connects to RESET, TDI to MOSI, TDO to MISO and TCK +# to SCK (plus vcc/gnd of course) +programmer + id = "xil"; + desc = "Xilinx JTAG cable"; + type = par; + mosi = 2; + sck = 3; + reset = 4; + buff = 5; + miso = 13; + vcc = 6; +; + + +programmer + id = "dapa"; + desc = "Direct AVR Parallel Access cable"; + type = par; + vcc = 3; + reset = 16; + sck = 1; + mosi = 2; + miso = 11; +; + +programmer + id = "atisp"; + desc = "AT-ISP V1.1 programming cable for AVR-SDK1 from micro-research.co.th"; + type = par; + reset = ~6; + sck = ~8; + mosi = ~7; + miso = ~10; +; + +programmer + id = "ere-isp-avr"; + desc = "ERE ISP-AVR "; + type = par; + reset = ~4; + sck = 3; + mosi = 2; + miso = 10; +; + +programmer + id = "blaster"; + desc = "Altera ByteBlaster"; + type = par; + sck = 2; + miso = 11; + reset = 3; + mosi = 8; + buff = 14; +; + +# It is almost same as pony-stk200, except vcc on pin 5 to auto +# disconnect port (download on http://electropol.free.fr) +programmer + id = "frank-stk200"; + desc = "Frank STK200"; + type = par; + vcc = 5; + sck = 6; + mosi = 7; + reset = 9; + miso = 10; + pgmled = 8; +; + + +# +# some ultra cheap programmers use bitbanging on the +# serialport. +# +# PC - DB9 - Pins for RS232: +# +# GND 5 -- |O +# | O| <- 9 RI +# DTR 4 <- |O | +# | O| <- 8 CTS +# TXD 3 <- |O | +# | O| -> 7 RTS +# RXD 2 -> |O | +# | O| <- 6 DSR +# DCD 1 -> |O +# +# Using RXD is currently not supported. +# Using RI is not supported under Win32 but is supported under Posix. + +# serial ponyprog design (dasa2 in uisp) +# reset=!txd sck=rts mosi=dtr miso=cts + +programmer + id = "ponyser"; + desc = "design ponyprog serial, reset=!txd sck=rts mosi=dtr miso=cts"; + type = serbb; + reset = ~3; + sck = 7; + mosi = 4; + miso = 8; +; + +# Same as above, different name +# reset=!txd sck=rts mosi=dtr miso=cts + +programmer + id = "siprog"; + desc = "Lancos SI-Prog "; + type = serbb; + reset = ~3; + sck = 7; + mosi = 4; + miso = 8; +; + +# unknown (dasa in uisp) +# reset=rts sck=dtr mosi=txd miso=cts + +programmer + id = "dasa"; + desc = "serial port banging, reset=rts sck=dtr mosi=txd miso=cts"; + type = serbb; + reset = 7; + sck = 4; + mosi = 3; + miso = 8; +; + +# unknown (dasa3 in uisp) +# reset=!dtr sck=rts mosi=txd miso=cts + +programmer + id = "dasa3"; + desc = "serial port banging, reset=!dtr sck=rts mosi=txd miso=cts"; + type = serbb; + reset = ~4; + sck = 7; + mosi = 3; + miso = 8; +; + +# +# PART DEFINITIONS +# + +#------------------------------------------------------------ +# ATtiny11 +#------------------------------------------------------------ + +# This is an HVSP-only device. + +part + id = "t11"; + desc = "ATtiny11"; + stk500_devcode = 0x11; + signature = 0x1e 0x90 0x04; + chip_erase_delay = 20000; + + timeout = 200; + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, + 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, + 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 50; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 64; + blocksize = 64; + readsize = 256; + delay = 5; + ; + + memory "flash" + size = 1024; + blocksize = 128; + readsize = 256; + delay = 3; + ; + + memory "signature" + size = 3; + ; + + memory "lock" + size = 1; + ; + + memory "calibration" + size = 1; + ; + + memory "fuse" + size = 1; + ; +; + +#------------------------------------------------------------ +# ATtiny12 +#------------------------------------------------------------ + +part + id = "t12"; + desc = "ATtiny12"; + stk500_devcode = 0x12; + avr910_devcode = 0x55; + signature = 0x1e 0x90 0x05; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, + 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, + 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 50; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 64; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 8; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + size = 1024; + min_write_delay = 4500; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 5; + blocksize = 128; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "fuse" + size = 1; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; +; + +#------------------------------------------------------------ +# ATtiny13 +#------------------------------------------------------------ + +part + id = "t13"; + desc = "ATtiny13"; + has_debugwire = yes; + flash_instr = 0xB4, 0x0E, 0x1E; + eeprom_instr = 0xBB, 0xFE, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x0E, 0xB4, 0x0E, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; + stk500_devcode = 0x14; + signature = 0x1e 0x90 0x07; + chip_erase_delay = 4000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 90; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 64; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 5; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 1024; + page_size = 32; + num_pages = 32; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 0 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 0 0 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 0 0 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + ; + +; + + +#------------------------------------------------------------ +# ATtiny15 +#------------------------------------------------------------ + +part + id = "t15"; + desc = "ATtiny15"; + stk500_devcode = 0x13; + avr910_devcode = 0x56; + signature = 0x1e 0x90 0x06; + chip_erase_delay = 8200; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, + 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, + 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + hvspcmdexedelay = 5; + synchcycles = 6; + latchcycles = 16; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 50; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 64; + min_write_delay = 8200; + max_write_delay = 8200; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 10; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + size = 1024; + min_write_delay = 4100; + max_write_delay = 4100; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 5; + blocksize = 128; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "fuse" + size = 1; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x o o o o x x o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", + "x x x x x x x x i i i i 1 1 i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; +; + +#------------------------------------------------------------ +# AT90s1200 +#------------------------------------------------------------ + +part + id = "1200"; + desc = "AT90S1200"; + stk500_devcode = 0x33; + avr910_devcode = 0x13; + signature = 0x1e 0x90 0x01; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 1; + bytedelay = 0; + pollindex = 0; + pollvalue = 0xFF; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 64; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x x a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 20; + blocksize = 32; + readsize = 256; + ; + memory "flash" + size = 1024; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x02; + delay = 15; + blocksize = 128; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + ; + memory "lock" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + ; + ; + +#------------------------------------------------------------ +# AT90s4414 +#------------------------------------------------------------ + +part + id = "4414"; + desc = "AT90S4414"; + stk500_devcode = 0x50; + avr910_devcode = 0x28; + signature = 0x1e 0x92 0x01; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 256; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x80; + readback_p2 = 0x7f; + read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + memory "flash" + size = 4096; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x7f; + readback_p2 = 0x7f; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + ; + +#------------------------------------------------------------ +# AT90s2313 +#------------------------------------------------------------ + +part + id = "2313"; + desc = "AT90S2313"; + stk500_devcode = 0x40; + avr910_devcode = 0x20; + signature = 0x1e 0x91 0x01; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 128; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x80; + readback_p2 = 0x7f; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + memory "flash" + size = 2048; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x7f; + readback_p2 = 0x7f; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x i i x", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + ; + +#------------------------------------------------------------ +# AT90s2333 +#------------------------------------------------------------ + +part + id = "2333"; +##### WARNING: No XML file for device 'AT90S2333'! ##### + desc = "AT90S2333"; + stk500_devcode = 0x42; + avr910_devcode = 0x34; + signature = 0x1e 0x91 0x05; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + memory "eeprom" + size = 128; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + ; + memory "flash" + size = 2048; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + pwroff_after_write = yes; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", + "x x x x x x x x x x x x x x x x"; + ; + memory "lock" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + ; + ; + + +#------------------------------------------------------------ +# AT90s2343 (also AT90s2323 and ATtiny22) +#------------------------------------------------------------ + +part + id = "2343"; + desc = "AT90S2343"; + stk500_devcode = 0x43; + avr910_devcode = 0x4c; + signature = 0x1e 0x91 0x03; + chip_erase_delay = 18000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x00, + 0x68, 0x78, 0x68, 0x68, 0x00, 0x00, 0x68, 0x78, + 0x78, 0x00, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 0; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 50; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 128; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 0 0 0 0 0", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 0 0 0 0 0", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + memory "flash" + size = 2048; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 128; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x o o o x x x x o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 i", + "x x x x x x x x x x x x x x x x"; + ; + memory "lock" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x o o o x x x x o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + ; + ; + + +#------------------------------------------------------------ +# AT90s4433 +#------------------------------------------------------------ + +part + id = "4433"; + desc = "AT90S4433"; + stk500_devcode = 0x51; + avr910_devcode = 0x30; + signature = 0x1e 0x92 0x03; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 256; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "flash" + size = 4096; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + pwroff_after_write = yes; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", + "x x x x x x x x x x x x x x x x"; + ; + memory "lock" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + ; + ; + +#------------------------------------------------------------ +# AT90s4434 +#------------------------------------------------------------ + +part + id = "4434"; +##### WARNING: No XML file for device 'AT90S4434'! ##### + desc = "AT90S4434"; + stk500_devcode = 0x52; + avr910_devcode = 0x6c; + signature = 0x1e 0x92 0x02; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + memory "eeprom" + size = 256; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + ; + memory "flash" + size = 4096; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 i i i i i", + "x x x x x x x x x x x x x x x x"; + ; + memory "lock" + size = 1; + min_write_delay = 9000; + max_write_delay = 20000; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + ; + ; + +#------------------------------------------------------------ +# AT90s8515 +#------------------------------------------------------------ + +part + id = "8515"; + desc = "AT90S8515"; + stk500_devcode = 0x60; + avr910_devcode = 0x38; + signature = 0x1e 0x93 0x01; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 512; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x80; + readback_p2 = 0x7f; + read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "flash" + size = 8192; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x7f; + readback_p2 = 0x7f; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + ; + +#------------------------------------------------------------ +# AT90s8535 +#------------------------------------------------------------ + +part + id = "8535"; + desc = "AT90S8535"; + stk500_devcode = 0x61; + avr910_devcode = 0x68; + signature = 0x1e 0x93 0x03; + chip_erase_delay = 20000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 1; + + memory "eeprom" + size = 512; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0x00; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "flash" + size = 8192; + min_write_delay = 9000; + max_write_delay = 20000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write_lo = " 0 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + write_hi = " 0 1 0 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 128; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "fuse" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x x x o"; + write = "1 0 1 0 1 1 0 0 1 0 1 1 1 1 1 i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x o o x x x x x x"; + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + ; + +#------------------------------------------------------------ +# ATmega103 +#------------------------------------------------------------ + +part + id = "m103"; + desc = "ATMEGA103"; + stk500_devcode = 0xB1; + avr910_devcode = 0x41; + signature = 0x1e 0x97 0x01; + chip_erase_delay = 112000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x8E, 0x9E, 0x2E, 0x3E, 0xAE, 0xBE, + 0x4E, 0x5E, 0xCE, 0xDE, 0x6E, 0x7E, 0xEE, 0xDE, + 0x66, 0x76, 0xE6, 0xF6, 0x6A, 0x7A, 0xEA, 0x7A, + 0x7F, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 15; + chiperasepolltimeout = 0; + programfusepulsewidth = 2; + programfusepolltimeout = 0; + programlockpulsewidth = 0; + programlockpolltimeout = 10; + + memory "eeprom" + size = 4096; + min_write_delay = 4000; + max_write_delay = 9000; + readback_p1 = 0x80; + readback_p2 = 0x7f; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 22000; + max_write_delay = 56000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x11; + delay = 70; + blocksize = 256; + readsize = 256; + ; + + memory "fuse" + size = 1; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x x x o x o 1 o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 1 i 1 i i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x o o x"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 i i 1", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega64 +#------------------------------------------------------------ + +part + id = "m64"; + desc = "ATMEGA64"; + has_jtag = yes; + stk500_devcode = 0xA0; + avr910_devcode = 0x45; + signature = 0x1e 0x96 0x02; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x22; + spmcr = 0x68; + allowfullpagebitstream = yes; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 20; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + + + +#------------------------------------------------------------ +# ATmega128 +#------------------------------------------------------------ + +part + id = "m128"; + desc = "ATMEGA128"; + has_jtag = yes; + stk500_devcode = 0xB2; + avr910_devcode = 0x43; + signature = 0x1e 0x97 0x02; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x22; + spmcr = 0x68; + rampz = 0x3b; + allowfullpagebitstream = yes; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 12; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90CAN128 +#------------------------------------------------------------ + +part + id = "c128"; + desc = "AT90CAN128"; + has_jtag = yes; + stk500_devcode = 0xB3; +# avr910_devcode = 0x43; + signature = 0x1e 0x97 0x81; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x01; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + eecr = 0x3f; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega16 +#------------------------------------------------------------ + +part + id = "m16"; + desc = "ATMEGA16"; + has_jtag = yes; + stk500_devcode = 0x82; + avr910_devcode = 0x74; + signature = 0x1e 0x94 0x03; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 100; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = yes; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x04; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "calibration" + size = 4; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega164P +#------------------------------------------------------------ + +# close to ATmega16 + +part + id = "m164p"; + desc = "ATMEGA164P"; + has_jtag = yes; +# stk500_devcode = 0x82; # no STK500v1 support +# avr910_devcode = 0x?; # try the ATmega16 one:^ + avr910_devcode = 0x74; + signature = 0x1e 0x94 0x0a; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega324P +#------------------------------------------------------------ + +# similar to ATmega164P + +part + id = "m324p"; + desc = "ATMEGA324P"; + has_jtag = yes; +# stk500_devcode = 0x82; # no STK500v1 support +# avr910_devcode = 0x?; # try the ATmega16 one:^ + avr910_devcode = 0x74; + signature = 0x1e 0x95 0x08; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega644 +#------------------------------------------------------------ + +# similar to ATmega164 + +part + id = "m644"; + desc = "ATMEGA644"; + has_jtag = yes; +# stk500_devcode = 0x82; # no STK500v1 support +# avr910_devcode = 0x?; # try the ATmega16 one:^ + avr910_devcode = 0x74; + signature = 0x1e 0x96 0x09; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega644P +#------------------------------------------------------------ + +# similar to ATmega164p + +part + id = "m644p"; + desc = "ATMEGA644P"; + has_jtag = yes; +# stk500_devcode = 0x82; # no STK500v1 support +# avr910_devcode = 0x?; # try the ATmega16 one:^ + avr910_devcode = 0x74; + signature = 0x1e 0x96 0x0a; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + + + +#------------------------------------------------------------ +# ATmega162 +#------------------------------------------------------------ + +part + id = "m162"; + desc = "ATMEGA162"; + has_jtag = yes; + stk500_devcode = 0x83; + avr910_devcode = 0x63; + signature = 0x1e 0x94 0x04; + chip_erase_delay = 9000; + pagel = 0xd7; + bs2 = 0xa0; + + idr = 0x04; + spmcr = 0x57; + allowfullpagebitstream = yes; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + + ; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 16000; + max_write_delay = 16000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 16000; + max_write_delay = 16000; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 16000; + max_write_delay = 16000; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 16000; + max_write_delay = 16000; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + + read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; +; + + + +#------------------------------------------------------------ +# ATmega163 +#------------------------------------------------------------ + +part + id = "m163"; + desc = "ATMEGA163"; + stk500_devcode = 0x81; + avr910_devcode = 0x64; + signature = 0x1e 0x94 0x02; + chip_erase_delay = 32000; + pagel = 0xd7; + bs2 = 0xa0; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 30; + programfusepulsewidth = 0; + programfusepolltimeout = 2; + programlockpulsewidth = 0; + programlockpolltimeout = 2; + + + memory "eeprom" + size = 512; + min_write_delay = 4000; + max_write_delay = 4000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 16000; + max_write_delay = 16000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o x x o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i 1 1 i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x 1 o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x 1 1 1 1 1 i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x 0 x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega169 +#------------------------------------------------------------ + +part + id = "m169"; + desc = "ATMEGA169"; + has_jtag = yes; + stk500_devcode = 0x85; + avr910_devcode = 0x78; + signature = 0x1e 0x94 0x05; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega329 +#------------------------------------------------------------ + +part + id = "m329"; + desc = "ATMEGA329"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x95 0x03; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega3290 +#------------------------------------------------------------ + +# identical to ATmega329 + +part + id = "m3290"; + desc = "ATMEGA3290"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x95 0x04; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a3 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega649 +#------------------------------------------------------------ + +part + id = "m649"; + desc = "ATMEGA649"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x96 0x03; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega6490 +#------------------------------------------------------------ + +# identical to ATmega649 + +part + id = "m6490"; + desc = "ATMEGA6490"; + has_jtag = yes; +# stk500_devcode = 0x85; # no STK500 support, only STK500v2 +# avr910_devcode = 0x?; # try the ATmega169 one: + avr910_devcode = 0x75; + signature = 0x1e 0x96 0x04; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 20; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega32 +#------------------------------------------------------------ + +part + id = "m32"; + desc = "ATMEGA32"; + has_jtag = yes; + stk500_devcode = 0x91; + avr910_devcode = 0x72; + signature = 0x1e 0x95 0x02; + chip_erase_delay = 9000; + pagel = 0xd7; + bs2 = 0xa0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = yes; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x04; + delay = 10; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega161 +#------------------------------------------------------------ + +part + id = "m161"; + desc = "ATMEGA161"; + stk500_devcode = 0x80; + avr910_devcode = 0x60; + signature = 0x1e 0x94 0x01; + chip_erase_delay = 28000; + pagel = 0xd7; + bs2 = 0xa0; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 0; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 30; + programfusepulsewidth = 0; + programfusepolltimeout = 2; + programlockpulsewidth = 0; + programlockpolltimeout = 2; + + memory "eeprom" + size = 512; + min_write_delay = 3400; + max_write_delay = 3400; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 5; + blocksize = 128; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 14000; + max_write_delay = 14000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 16; + blocksize = 128; + readsize = 256; + ; + + memory "fuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 x x x x x x x x", + "x x x x x x x x x o x o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 x x x x x", + "x x x x x x x x 1 i 1 i i i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega8 +#------------------------------------------------------------ + +part + id = "m8"; + desc = "ATMEGA8"; + stk500_devcode = 0x70; + avr910_devcode = 0x76; + signature = 0x1e 0x93 0x07; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 10000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 2; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 20; + blocksize = 128; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 10; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + + +#------------------------------------------------------------ +# ATmega8515 +#------------------------------------------------------------ + +part + id = "m8515"; + desc = "ATMEGA8515"; + stk500_devcode = 0x63; + avr910_devcode = 0x3A; + signature = 0x1e 0x93 0x06; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 10; + blocksize = 128; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + + + +#------------------------------------------------------------ +# ATmega8535 +#------------------------------------------------------------ + +part + id = "m8535"; + desc = "ATMEGA8535"; + stk500_devcode = 0x64; + avr910_devcode = 0x69; + signature = 0x1e 0x93 0x08; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 6; + togglevtg = 0; + poweroffdelay = 0; + resetdelayms = 0; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + mode = 0x04; + delay = 10; + blocksize = 128; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 2000; + max_write_delay = 2000; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 0 0 x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATtiny26 +#------------------------------------------------------------ + +part + id = "t26"; + desc = "ATTINY26"; + stk500_devcode = 0x21; + avr910_devcode = 0x5e; + signature = 0x1e 0x91 0x09; + pagel = 0xb3; + bs2 = 0xb2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, + 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, + 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, + 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 2; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 128; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + mode = 0x04; + delay = 10; + blocksize = 64; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 2048; + page_size = 32; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x21; + delay = 6; + blocksize = 16; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x x o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x x x x i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 4; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + +; + + +#------------------------------------------------------------ +# ATtiny261 +#------------------------------------------------------------ +# Close to ATtiny26 + +part + id = "t261"; + desc = "ATTINY261"; + has_debugwire = yes; + flash_instr = 0xB4, 0x00, 0x10; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +# stk500_devcode = 0x21; +# avr910_devcode = 0x5e; + signature = 0x1e 0x91 0x0c; + pagel = 0xb3; + bs2 = 0xb2; + chip_erase_delay = 4000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, + 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, + 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, + 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 2; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + size = 128; + page_size = 4; + num_pages = 32; + min_write_delay = 4000; + max_write_delay = 4000; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read = "1 0 1 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 x x x x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 2048; + page_size = 32; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x x x x a9 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x x o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x x x o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + +; + + +#------------------------------------------------------------ +# ATtiny461 +#------------------------------------------------------------ +# Close to ATtiny261 + +part + id = "t461"; + desc = "ATTINY461"; + has_debugwire = yes; + flash_instr = 0xB4, 0x00, 0x10; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +# stk500_devcode = 0x21; +# avr910_devcode = 0x5e; + signature = 0x1e 0x92 0x08; + pagel = 0xb3; + bs2 = 0xb2; + chip_erase_delay = 4000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, + 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, + 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, + 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 2; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + size = 256; + page_size = 4; + num_pages = 64; + min_write_delay = 4000; + max_write_delay = 4000; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read = " 1 0 1 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 4096; + page_size = 64; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x x o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x x x o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + +; + + +#------------------------------------------------------------ +# ATtiny861 +#------------------------------------------------------------ +# Close to ATtiny461 + +part + id = "t861"; + desc = "ATTINY861"; + has_debugwire = yes; + flash_instr = 0xB4, 0x00, 0x10; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x00, 0xB4, 0x00, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +# stk500_devcode = 0x21; +# avr910_devcode = 0x5e; + signature = 0x1e 0x93 0x0d; + pagel = 0xb3; + bs2 = 0xb2; + chip_erase_delay = 4000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 0; + + pp_controlstack = + 0xC4, 0xE4, 0xC4, 0xE4, 0xCC, 0xEC, 0xCC, 0xEC, + 0xD4, 0xF4, 0xD4, 0xF4, 0xDC, 0xFC, 0xDC, 0xFC, + 0xC8, 0xE8, 0xD8, 0xF8, 0x4C, 0x6C, 0x5C, 0x7C, + 0xEC, 0xBC, 0x00, 0x06, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 2; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + size = 512; + num_pages = 128; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4000; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read = " 1 0 1 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0 x x x x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + + read_lo = " 0 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 x x x x x x x x", + "x x x x x x x x x x x x x x o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 1 1 1 i i", + "x x x x x x x x x x x x x x x x"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x x x o"; + min_write_delay = 4500; + max_write_delay = 4500; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + +; + + +#------------------------------------------------------------ +# ATmega48 +#------------------------------------------------------------ + +part + id = "m48"; + desc = "ATMEGA48"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x59; +# avr910_devcode = 0x; + signature = 0x1e 0x92 0x05; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 45000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 256; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x x", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 5; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 4096; + page_size = 64; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x x x o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + + +#------------------------------------------------------------ +# ATmega88 +#------------------------------------------------------------ + +part + id = "m88"; + desc = "ATMEGA88"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x73; +# avr910_devcode = 0x; + signature = 0x1e 0x93 0x0a; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 512; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 5; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega168 +#------------------------------------------------------------ + +part + id = "m168"; + desc = "ATMEGA168"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x86; + # avr910_devcode = 0x; + signature = 0x1e 0x94 0x06; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 512; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x x a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 5; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 16384; + page_size = 128; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; +; + +#------------------------------------------------------------ +# ATmega328 +#------------------------------------------------------------ + +part + id = "m328p"; + desc = "ATMEGA328P"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x86; + # avr910_devcode = 0x; + signature = 0x1e 0x95 0x0F; + pagel = 0xd7; + bs2 = 0xc2; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + resetdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + paged = no; + page_size = 4; + size = 1024; + min_write_delay = 3600; + max_write_delay = 3600; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 x x x a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 5; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 a13 a12 a11 a10 a9 a8", + " a7 a6 x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 128; + readsize = 256; + + ; + + memory "lfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "hfuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + ; + + memory "efuse" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x x x x x x o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + ; + + memory "lock" + size = 1; + min_write_delay = 4500; + max_write_delay = 4500; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; +; + #------------------------------------------------------------ +# ATtiny2313 +#------------------------------------------------------------ + +part + id = "t2313"; + desc = "ATtiny2313"; + has_debugwire = yes; + flash_instr = 0xB2, 0x0F, 0x1F; + eeprom_instr = 0xBB, 0xFE, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBA, 0x0F, 0xB2, 0x0F, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; + stk500_devcode = 0x23; +## Use the ATtiny26 devcode: + avr910_devcode = 0x5e; + signature = 0x1e 0x91 0x0a; + pagel = 0xD4; + bs2 = 0xD6; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0E, 0x1E, 0x2E, 0x3E, 0x2E, 0x3E, + 0x4E, 0x5E, 0x4E, 0x5E, 0x6E, 0x7E, 0x6E, 0x7E, + 0x26, 0x36, 0x66, 0x76, 0x2A, 0x3A, 0x6A, 0x7A, + 0x2E, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 128; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 2048; + page_size = 32; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + +# The information in the data sheet of April/2004 is wrong, this works: + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + +# The information in the data sheet of April/2004 is wrong, this works: + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + +# The information in the data sheet of April/2004 is wrong, this works: + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny2313 has Signature Bytes: 0x1E 0x91 0x0A. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; +# The Tiny2313 has calibration data for both 4 MHz and 8 MHz. +# The information in the data sheet of April/2004 is wrong, this works: + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90PWM2 +#------------------------------------------------------------ + +part + id = "pwm2"; + desc = "AT90PWM2"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x65; +## avr910_devcode = ?; + signature = 0x1e 0x93 0x81; + pagel = 0xD8; + bs2 = 0xE2; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; +# AT90PWM2 has Signature Bytes: 0x1E 0x93 0x81. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90PWM3 +#------------------------------------------------------------ + +# Completely identical to AT90PWM2 (including the signature!) + +part + id = "pwm3"; + desc = "AT90PWM3"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x65; +## avr910_devcode = ?; + signature = 0x1e 0x93 0x81; + pagel = 0xD8; + bs2 = 0xE2; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; +# AT90PWM2 has Signature Bytes: 0x1E 0x93 0x81. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90PWM2B +#------------------------------------------------------------ +# Same as AT90PWM2 but different signature. + +part + id = "pwm2b"; + desc = "AT90PWM2B"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x65; +## avr910_devcode = ?; + signature = 0x1e 0x93 0x83; + pagel = 0xD8; + bs2 = 0xE2; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90PWM3B +#------------------------------------------------------------ + +# Completely identical to AT90PWM2B (including the signature!) + +part + id = "pwm3b"; + desc = "AT90PWM3B"; + has_debugwire = yes; + flash_instr = 0xB6, 0x01, 0x11; + eeprom_instr = 0xBD, 0xF2, 0xBD, 0xE1, 0xBB, 0xCF, 0xB4, 0x00, + 0xBE, 0x01, 0xB6, 0x01, 0xBC, 0x00, 0xBB, 0xBF, + 0x99, 0xF9, 0xBB, 0xAF; + stk500_devcode = 0x65; +## avr910_devcode = ?; + signature = 0x1e 0x93 0x83; + pagel = 0xD8; + bs2 = 0xE2; + reset = io; + chip_erase_delay = 9000; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 64; + readsize = 256; + ; + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny25 +#------------------------------------------------------------ + +part + id = "t25"; + desc = "ATtiny25"; + has_debugwire = yes; + flash_instr = 0xB4, 0x02, 0x12; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +## no STK500 devcode in XML file, use the ATtiny45 one + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x91 0x08; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 128; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 2048; + page_size = 32; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny25 has Signature Bytes: 0x1E 0x91 0x08. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny45 +#------------------------------------------------------------ + +part + id = "t45"; + desc = "ATtiny45"; + has_debugwire = yes; + flash_instr = 0xB4, 0x02, 0x12; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x92 0x06; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 256; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 4096; + page_size = 64; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny45 has Signature Bytes: 0x1E 0x92 0x08. (Data sheet 2586C-AVR-06/05 (doc2586.pdf) indicates otherwise!) + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny85 +#------------------------------------------------------------ + +part + id = "t85"; + desc = "ATtiny85"; + has_debugwire = yes; + flash_instr = 0xB4, 0x02, 0x12; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x02, 0xB4, 0x02, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +## no STK500 devcode in XML file, use the ATtiny45 one + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x93 0x0b; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x00; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny85 has Signature Bytes: 0x1E 0x93 0x08. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 2; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega640 +#------------------------------------------------------------ +# Almost same as ATmega1280, except for different memory sizes + +part + id = "m640"; + desc = "ATMEGA640"; + signature = 0x1e 0x96 0x08; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega1280 +#------------------------------------------------------------ + +part + id = "m1280"; + desc = "ATMEGA1280"; + signature = 0x1e 0x97 0x03; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega1281 +#------------------------------------------------------------ +# Identical to ATmega1280 + +part + id = "m1281"; + desc = "ATMEGA1281"; + signature = 0x1e 0x97 0x04; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega2560 +#------------------------------------------------------------ + +part + id = "m2560"; + desc = "ATMEGA2560"; + signature = 0x1e 0x98 0x01; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 262144; + page_size = 256; + num_pages = 1024; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + load_ext_addr = " 0 1 0 0 1 1 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 a16", + " 0 0 0 0 0 0 0 0"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega2561 +#------------------------------------------------------------ + +part + id = "m2561"; + desc = "ATMEGA2561"; + signature = 0x1e 0x98 0x02; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x02; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 262144; + page_size = 256; + num_pages = 1024; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + load_ext_addr = " 0 1 0 0 1 1 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 a16", + " 0 0 0 0 0 0 0 0"; + + mode = 0x41; + delay = 10; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny24 +#------------------------------------------------------------ + +part + id = "t24"; + desc = "ATtiny24"; + has_debugwire = yes; + flash_instr = 0xB4, 0x07, 0x17; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +## no STK500 devcode in XML file, use the ATtiny45 one + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x91 0x0b; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 70; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 128; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "x a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 2048; + page_size = 32; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x x a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny24 has Signature Bytes: 0x1E 0x91 0x0B. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x x x x x x x i i"; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny44 +#------------------------------------------------------------ + +part + id = "t44"; + desc = "ATtiny44"; + has_debugwire = yes; + flash_instr = 0xB4, 0x07, 0x17; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +## no STK500 devcode in XML file, use the ATtiny45 one + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x92 0x07; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 70; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 256; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x x", + "a7 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 4096; + page_size = 64; + num_pages = 64; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny44 has Signature Bytes: 0x1E 0x92 0x07. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x x x x x x x i i"; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATtiny84 +#------------------------------------------------------------ + +part + id = "t84"; + desc = "ATtiny84"; + has_debugwire = yes; + flash_instr = 0xB4, 0x07, 0x17; + eeprom_instr = 0xBB, 0xFF, 0xBB, 0xEE, 0xBB, 0xCC, 0xB2, 0x0D, + 0xBC, 0x07, 0xB4, 0x07, 0xBA, 0x0D, 0xBB, 0xBC, + 0x99, 0xE1, 0xBB, 0xAC; +## no STK500 devcode in XML file, use the ATtiny45 one + stk500_devcode = 0x14; +## avr910_devcode = ?; +## Try the AT90S2313 devcode: + avr910_devcode = 0x20; + signature = 0x1e 0x93 0x0c; + reset = io; + chip_erase_delay = 4500; + + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 x x x x x", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + hvsp_controlstack = + 0x4C, 0x0C, 0x1C, 0x2C, 0x3C, 0x64, 0x74, 0x66, + 0x68, 0x78, 0x68, 0x68, 0x7A, 0x6A, 0x68, 0x78, + 0x78, 0x7D, 0x6D, 0x0C, 0x80, 0x40, 0x20, 0x10, + 0x11, 0x08, 0x04, 0x02, 0x03, 0x08, 0x04, 0x0F; + hventerstabdelay = 100; + hvspcmdexedelay = 0; + synchcycles = 6; + latchcycles = 1; + togglevtg = 1; + poweroffdelay = 25; + resetdelayms = 0; + resetdelayus = 70; + hvleavestabdelay = 100; + resetdelay = 25; + chiperasepolltimeout = 40; + chiperasetime = 0; + programfusepolltimeout = 25; + programlockpolltimeout = 25; + + memory "eeprom" + size = 512; + paged = no; + page_size = 4; + min_write_delay = 4000; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = "1 0 1 0 0 0 0 0 0 0 0 x x x x a8", + "a7 a6 a5 a4 a3 a2 a1 a0 o o o o o o o o"; + + write = "1 1 0 0 0 0 0 0 0 0 0 x x x x a8", + "a8 a6 a5 a4 a3 a2 a1 a0 i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x x x x", + " x a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 4; + readsize = 256; + ; + memory "flash" + paged = yes; + size = 8192; + page_size = 64; + num_pages = 128; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 x x x x x", + " x x x a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 0 0 0 a11 a10 a9 a8", + " a7 a6 a5 x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 32; + readsize = 256; + ; +# ATtiny84 has Signature Bytes: 0x1E 0x93 0x0C. + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + + memory "lock" + size = 1; + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x x x x x x x i i"; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x x x x i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 0 0 0 x x x x x", + "0 0 0 0 0 0 0 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90USB646 +#------------------------------------------------------------ + +part + id = "usb646"; + desc = "AT90USB646"; +# signature = 0x1e 0x96 0x82; ? + signature = 0x1e 0x97 0x82; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90USB647 +#------------------------------------------------------------ +# identical to AT90USB646 + +part + id = "usb647"; + desc = "AT90USB647"; +# signature = 0x1e 0x96 0x82; ? + signature = 0x1e 0x97 0x82; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x x a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90USB1286 +#------------------------------------------------------------ + +part + id = "usb1286"; + desc = "AT90USB1286"; + signature = 0x1e 0x97 0x82; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# AT90USB1287 +#------------------------------------------------------------ +# identical to AT90USB1286 + +part + id = "usb1287"; + desc = "AT90USB1287"; + signature = 0x1e 0x97 0x82; + has_jtag = yes; +# stk500_devcode = 0xB2; +# avr910_devcode = 0x43; + chip_erase_delay = 9000; + pagel = 0xD7; + bs2 = 0xA0; + reset = dedicated; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "x x x x x x x x x x x x x x x x"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "x x x x x x x x x x x x x x x x"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + rampz = 0x3b; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 4096; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0x00; + readback_p2 = 0x00; + read = " 1 0 1 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " x x x x a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 x x x a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 131072; + page_size = 256; + num_pages = 512; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0x00; + readback_p2 = 0x00; + read_lo = " 0 0 1 0 0 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " x x x x x x x x", + " x a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + "a15 a14 a13 a12 a11 a10 a9 a8", + " a7 x x x x x x x", + " x x x x x x x x"; + + mode = 0x41; + delay = 6; + blocksize = 256; + readsize = 256; + ; + + memory "lfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "x x x x x x x x i i i i i i i i"; + + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "x x x x x x x x x x x x i i i i"; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "x x x x x x x x o o o o o o o o"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 x x x x x", + "x x x x x x x x 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "calibration" + size = 1; + read = "0 0 1 1 1 0 0 0 x x x x x x x x", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 x x x x x x x x", + "x x x x x x a1 a0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega325 +#------------------------------------------------------------ + +part + id = "m325"; + desc = "ATMEGA325"; + signature = 0x1e 0x95 0x05; + has_jtag = yes; +# stk500_devcode = 0x??; # No STK500v1 support? +# avr910_devcode = 0x??; # Try the ATmega16 one + avr910_devcode = 0x74; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega645 +#------------------------------------------------------------ + +part + id = "m645"; + desc = "ATMEGA645"; + signature = 0x1E 0x96 0x05; + has_jtag = yes; +# stk500_devcode = 0x??; # No STK500v1 support? +# avr910_devcode = 0x??; # Try the ATmega16 one + avr910_devcode = 0x74; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 8; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " 0 0 0 0 0 0 0 0"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega3250 +#------------------------------------------------------------ + +part + id = "m3250"; + desc = "ATMEGA3250"; + signature = 0x1E 0x95 0x06; + has_jtag = yes; +# stk500_devcode = 0x??; # No STK500v1 support? +# avr910_devcode = 0x??; # Try the ATmega16 one + avr910_devcode = 0x74; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 4; /* for parallel programming */ + size = 1024; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 0 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 0 0 a9 a8", + " a7 a6 a5 a4 a3 a2 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 32768; + page_size = 128; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " 0 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; + +#------------------------------------------------------------ +# ATmega6450 +#------------------------------------------------------------ + +part + id = "m6450"; + desc = "ATMEGA6450"; + signature = 0x1E 0x96 0x06; + has_jtag = yes; +# stk500_devcode = 0x??; # No STK500v1 support? +# avr910_devcode = 0x??; # Try the ATmega16 one + avr910_devcode = 0x74; + pagel = 0xd7; + bs2 = 0xa0; + chip_erase_delay = 9000; + pgm_enable = "1 0 1 0 1 1 0 0 0 1 0 1 0 0 1 1", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + chip_erase = "1 0 1 0 1 1 0 0 1 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0"; + + timeout = 200; + stabdelay = 100; + cmdexedelay = 25; + synchloops = 32; + bytedelay = 0; + pollindex = 3; + pollvalue = 0x53; + predelay = 1; + postdelay = 1; + pollmethod = 1; + + pp_controlstack = + 0x0E, 0x1E, 0x0F, 0x1F, 0x2E, 0x3E, 0x2F, 0x3F, + 0x4E, 0x5E, 0x4F, 0x5F, 0x6E, 0x7E, 0x6F, 0x7F, + 0x66, 0x76, 0x67, 0x77, 0x6A, 0x7A, 0x6B, 0x7B, + 0xBE, 0xFD, 0x00, 0x01, 0x00, 0x00, 0x00, 0x00; + hventerstabdelay = 100; + progmodedelay = 0; + latchcycles = 5; + togglevtg = 1; + poweroffdelay = 15; + resetdelayms = 1; + resetdelayus = 0; + hvleavestabdelay = 15; + chiperasepulsewidth = 0; + chiperasepolltimeout = 10; + programfusepulsewidth = 0; + programfusepolltimeout = 5; + programlockpulsewidth = 0; + programlockpolltimeout = 5; + + idr = 0x31; + spmcr = 0x57; + allowfullpagebitstream = no; + + memory "eeprom" + paged = no; /* leave this "no" */ + page_size = 8; /* for parallel programming */ + size = 2048; + min_write_delay = 9000; + max_write_delay = 9000; + readback_p1 = 0xff; + readback_p2 = 0xff; + read = " 1 0 1 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + write = " 1 1 0 0 0 0 0 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_lo = " 1 1 0 0 0 0 0 1", + " 0 0 0 0 0 0 0 0", + " 0 0 0 0 0 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 1 1 0 0 0 0 1 0", + " 0 0 0 0 0 a10 a9 a8", + " a7 a6 a5 a4 a3 0 0 0", + " x x x x x x x x"; + + mode = 0x41; + delay = 10; + blocksize = 4; + readsize = 256; + ; + + memory "flash" + paged = yes; + size = 65536; + page_size = 256; + num_pages = 256; + min_write_delay = 4500; + max_write_delay = 4500; + readback_p1 = 0xff; + readback_p2 = 0xff; + read_lo = " 0 0 1 0 0 0 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + read_hi = " 0 0 1 0 1 0 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " o o o o o o o o"; + + loadpage_lo = " 0 1 0 0 0 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + loadpage_hi = " 0 1 0 0 1 0 0 0", + " 0 0 0 0 0 0 0 0", + " a7 a6 a5 a4 a3 a2 a1 a0", + " i i i i i i i i"; + + writepage = " 0 1 0 0 1 1 0 0", + " a15 a14 a13 a12 a11 a10 a9 a8", + " a7 a6 a5 a4 a3 a2 a1 a0", + " 0 0 0 0 0 0 0 0"; + + mode = 0x41; + delay = 10; + blocksize = 128; + readsize = 256; + ; + + memory "lock" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 0 0 0 0", + "x x x x x x x x x x o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 1 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 1 1 i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "lfuse" + size = 1; + read = "0 1 0 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "hfuse" + size = 1; + read = "0 1 0 1 1 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 1 0 0 0", + "0 0 0 0 0 0 0 0 i i i i i i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "efuse" + size = 1; + + read = "0 1 0 1 0 0 0 0 0 0 0 0 1 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + + write = "1 0 1 0 1 1 0 0 1 0 1 0 0 1 0 0", + "0 0 0 0 0 0 0 0 1 1 1 1 1 i i i"; + min_write_delay = 9000; + max_write_delay = 9000; + ; + + memory "signature" + size = 3; + read = "0 0 1 1 0 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 a1 a0 o o o o o o o o"; + ; + + memory "calibration" + size = 1; + + read = "0 0 1 1 1 0 0 0 0 0 0 0 0 0 0 0", + "0 0 0 0 0 0 0 0 o o o o o o o o"; + ; + ; From 24a7f4733ed304249830af4eb1d19cc0545d9e7e Mon Sep 17 00:00:00 2001 From: "David A. Mellis" Date: Wed, 16 Feb 2011 20:56:33 -0500 Subject: [PATCH 15/25] Updating 64-bit linux version of avrdude (to one with usbtiny support). This also means we don't need a separate avrdude.conf for the 64-bit Linux version of avrdude. Former-commit-id: 6e227dda6ed63b5c7624e2173a9d334f32e116e6 --- build/build.xml | 1 - build/linux/dist/tools/avrdude64 | Bin 704076 -> 754889 bytes build/linux/dist/tools/avrdude64.conf | 11914 ------------------------ 3 files changed, 11915 deletions(-) delete mode 100644 build/linux/dist/tools/avrdude64.conf diff --git a/build/build.xml b/build/build.xml index 36b3abc74..b53a0c462 100644 --- a/build/build.xml +++ b/build/build.xml @@ -326,7 +326,6 @@ - diff --git a/build/linux/dist/tools/avrdude64 b/build/linux/dist/tools/avrdude64 index 8892ba528a1f8b151c6b13953df9e1802123144c..f13912adc196c25dcf8dfcc742ff08f73071429a 100755 GIT binary patch literal 754889 zcmb@v34ByV);8W*8lnt3!6g!pQoyC_f5vU-~0Z*FaG3K zoqOuksZ*!UsXA4+`ko5k6`2_s4(l(|ahZd;2?gZ>B>zP+`#6#3Kjn^6$1(W#97lgg z59FN!#y5Od-syQTN!ohmh_?<$7M|Jozcf<AbRl`FCi>uHs{7Ujt2 z|Mbt|^SWex-O0sBw$FMdvyP$Dr%k%#qM_4k22Y*+RabyKndb?*A{PafUPh~ee`cjDRZo&Vg!FDxn zDCpPd9CI9D6Yzfx{-4yo?AquT4=x;0@&301&$z#D?bwNV+wQFDTl&4;cTHs9@w>L} zIsTu|95@lE-Ts}73VscNdiiVc{oTL|x`BVv4gEiXqhF){VmI*OZs@G-rrztif$#5z z&iZcfPXIp!_`mC40Ra5J>)*z1=uhtkKE4}xQ+ND8F@8;McXk8677YFx{)leqf6@)U zs~dPjH~eee&|lCEep@&EpWF?dGrPe*rW^d1y1^gb4ZNuvKBsg;e@8cT{@e{*>4wg> zZtx%N2L4Ppbm#_tt=}){rd^HQz$bRo?`yk(@9PGBq#Hh8?gszKZs2cs1E0|i{XN~Z zYk4>D3%ZfpoNnlk?goElH}s$G2LJqS;MaCT=eTb0|JV(m=XL}CtQ+_%-PGIMO}$6D z!FO~6uj&R)Kl^KXduuoKF6f5;72VL;-3|Ud-O%@TgTJL4cy>2*-tC5;N4tSv)Qz4W z?gp-Q17F+?pYyxnb6z)eeBHo5>xTb3yMYht2L2G}pWryvG2!>sNI4wV{Okbu%yaBZ z?0jFs#Y_D2WfESM#JAuR&|cDM=tAd1)Jyo(F7S9abY4l)sqcdSG%7mLahzktY2{Xx z;yFUVk9U;%m`eYxOwy_ALdSvmV_?pt!No&LIBC4dF@F4%8M9`NpW~l6+dqE1WBiqK z$6q&j%CtHD$+JgJpEzgEzPZlu2{Jh!I z{4z@lAUSR3l<{+>&8V9$p|dAXpFDBS z-vKs3uexqNq*({L{@U@?Q}1w0u18D8d#@Q^7w}g*YK6FfH?w+19r0&ZPo0edNYw=D z9CfmVXwJ;Z)q>tk5}Y$_%FKz=1=_^wJ4kkX?Zj!*9dp1f(9k2(r_ZVexteJ+9W~SD zlCXbPjeOi8AJZMv=1lba=R2xvA<=1b>LyRFanwQzbNGHbI+0-iv>B6UJ7!FtL8*@) z4|ZnG8V}mC1O3$igUDokNmrNv#y6;4d)U zF~eefb~U65ZG1-(JV)hq%=CSpWErI>`M#*e20CI{_|iRk)TsXk~EicYSX=${CrNpt2%9Drul zI7a!rBSwzD0E6C2U6@YUpcf3eSQwH_hy0Hjrn1DpopUfVfXeq4KJl`!+O+cW$-Kn1 zC|FPVUQ)N0aS95Owna_SmRRYUt@=qZ1OM?o|CMP8&324}E#~@|f4!$o!;0SN_(j-Z zhvOuX&vx{{BAGb;Q>Punm2zG(A2^NqOh;cXqVczLsq_n6BPT-x0&e*c#!9$mw*D;p zPxu52h^J+r37>3(TlSyuNjA9UUkD#>gFBieKRp3MGgE&#HaO*P{pH!<5JBoM-v&=M zRlF;(!CANUS7d|R;~>R0IC--EN^Nk<*YaJt4Gux4{!|kb?I3>*G78~jWge5Vb5 zmJM#$-~~4LUK_lh4SvuDKidX(JdoD^{x*1y4PI!2=h@%`Z18*={2Uv+zy?3p1~0O~ zi)`>>8+@P*UTTA%XM>m9;OE=mstrEK1|MyM54ORpZ15pA_yik#s0}{V1~0b3>um56 z8@%2IzrY4>u)#01!5eLGt}U#;CL3IO8~J9L4StD*jOTJ2{5LlE3LCuC2487|m)YQ} zZSY|>c+3XB)CS*ZgAcdCJ8W>qsI0$jHuz;05YL@9_~kaZVS|_3;CpRww+(*K1|MOA zJ048y|417=#|HP<;CVK9g$gI{5T7uevVZ15r*oNGbruh<6nT0lHYZSX5?@Nygc zDjQt2!MPT+{zlv2l@<`sDjWQ28+?KdevJ)2)dnAJgV)*MjAdGX^)~o*77)({8~l13 zywL_9V}m!@;A3s@Wj6Q?Hu!QI{6-smg$-V1gRiu~Z?eHx+u%3b;4vF~oDHr9zs)hW z!{Zyb!@V07C7BL2xIU*faq)S9{*J_eLHJiWs~jojr?RBEGl9PWXEV>Kh}j|Xr!mhd zh#3?46PeFqex=AC%RHwX=5mqGWS&zEvq|I+`;q4q!)y@w@0sV+!mJbdUCeVzVNMYF z&za{`!W=E~A2ZJ>gjp`~TbSq6!7LW}H<;&?!7LE@b<$WLOPuHPIj@;5V2mv5Gf{B_LJ)tkj4e2%QRym{~+^pmF7y3{~hymk>+xdzn6KsMzcxe7cftkXf}xa zZ06|-%{r04oq4)IbArfEVxF$g94+!UGf$UimW%v#%+uAG#Ug(t^K@}$fyj?wp03Tz z6Zv7x)1{dXk-va>x-xU`&!YdCFK2$I$e+zTU6ip)BZ-^Dy#kU2r*KWCn<#~dy4A2UyvW0s5j z7Ut<{%wmy$gL%3bvq0q6F;CZG=81fidAbzSA@VOVPgi2@JuLd4dAbmDr^r9UJY9#` zA@YwgPnTiFME*hM=_<^XBL6$)=_1VKB7ZOQbPZ;c$S+`?F2QUN`Pt0V6_|A*e>?MZ z0p>Gy|el| z)Nst7ndlHEzRCVKR@J_`o`?;ujWEoPz*VmnV@n>z)*-7dju$c4@^h9NjAy82+vXI; zvsqpZ#xhjR`2>&>y*CIX-MN%S%C^n^yv=zRk{PUS+@{v}vFRGeszH}B0~MiO|B0*7 zEWuk&b1CZCl-RkcHH|Cl`8MCD#k|@+?H#YK=BQflLd{>8;&o%zrwZRKnB)C3r+^z0gTH_f_mky?Vzxy*iw-!&g z_HB5RzfXKiyk}AjdY`KS4{r%HqrOv+EQv+`XjSJ9ew%6RI+#d!$_DhuyM>!kOW?71 zW>b^yJelQUf%{Qz2Zr4DN*>sbK4FzzO{p1wL*aJk7kDqZ{RAn#Fsq>Yq~pHPs~uQN zbLhUoJr>fqUS0gH+Rx$878Vw^g$joPJAvnre&Nmy;Ut#SlUl? zR4Mcr3JLZ3PQxrCbO7EwsZXB((B?e(LGaV&9Qq6%#_i=Ow7(=_OvE6U#g>9gC6o=P zS&~pAj~5oh9k)9d&9_={PbxJdm70=F8E+gw?a`ruIkSf%5b4&|w}UYdm^gjtWL0}u z5UT}jIAH#2Rr?sNy9{ZEeykc?mmOK0IC}KxfzACk4rsui-_%-K%)CE0G&mfJr$voi znW-wC&5oEF{5Wgi$4Zz7b)pPCt$h)qSG66+e8{|cEixd?V+ao8Mkx>_6hcmoydc1$ zkgBz*+8*Nrs;0K<{|5)V{QV*$66&&s*RomHQ2&XNnSTgo_88wn8WPf)gnUf-=&tJ< z`#X#wU{!00T$>r*qAb}DAp~18q6JS>!!We;!=tvTEwcbpfn|6j@MAER8H843sLR?K zh=e{0VMF)e?h8spWeA-dtn*E7H z@L)m-jmdI2BBNV^hlkC*Th()K{B3_&K-Y>oj>uT_TS&$-G7EpAbaF2SMs(ZN=uq9Y z7--h(Tk*PC^yx!Lr6do@4OK!vVSZtk{tja%7%)EtI5pn2Es4VSH;xyC3qZJJi>iHR z{2qPc(?ddns@|t3UUXr4J zh_sYOW9Lc^%}h$;49vo%H1d!_g=5TIltrt=3SZ1`!SE*F8-;#hOq9^u7@7bM2X!&n zJcaqjC`@N`lv4FEh56x_5^6&i1sCLGkQCdlg#QJIs(onq=7{1)K(oC(hsz*PF?xW2 zg==c|{zdP3fvaj`3d_w|cmp(^<#Cvkm~pO#)fU<7S%L2CE0Cf3<_L*A6}S%bV)6Fk zqwu6jJF&xPfmmpf`w^kHKyZBm1oq1#ofOE~2C(pB#on@*{|pK((7RT-aZ{}_q(upT zh>4)`yUqC5tHsbuqe7@=?^yKGIla(JSr|+ZnMT@r?shevlE zfUT|wV~pK`HJ?X6gTAODyj=-@l1*9dFmA?N7%~nwV$Ia>nzV>YNWt5n>qQ`^45GgP zmG(xZUd>oT`x33cFV^%~4ppgup;MvZbJzI|!i#gS22wm;pbfx+C#WImASn z6rza`()93tC44MgnVwq!vY4WkfushK(iuYLHE?Bs1ppIGjs6ZJ0+Ui7YFH~gCRBaL zNUGnLu-+NUl2h0NtSkqWmFySEZQvrA+?h@q>EvFrseLDq40QGMc`ljRS`T;7}y;IR+~ZrldQVIPzqtoy z-U;&sUM>VLQf-#oMz<5Jc=SHcz)DwOE)w#%Ak4Oz(T2~J94pmYN$xz{*BBDw-jPj(qRK#tIm_UytF@XE*s*`VuXSbmJU zk2p8fU42o~t8J4!{}w#_3rH|V=(BH;#c(`NZFfF$cYnunSGmKy4{z7r0?HiT z=VJiU+_qwkXg-I3K)iVh_}f&nKS~lPISwT|`jtD3oP}wSqzw~Om zDzvuv37FW0HU&<12iIp*luh>BeH{pStG~Vbcx6?u^BN8%0-sitY>Mw>4!mc9x9A6R zQ!3!me1%x?jVa7CI^r1J&bc!nIn?8W*~#-Upu!r5|M+Cd1_X$)9)eJZpmE0H3$0%DkMO{-4)?$mPKf9Ephe;IyK|U-1o;Z> zphw%MYU`5ZCRURXe}^0rvgP3ypm9OhXRz!Un5&$R25`=DUh+9G`Q$8=a88BhD@#JO zVUha_xwxcYxY$%9{}PK&UnEq>#b!yuhb0CJ`tXK?e391#VPlz_nTJJfy7M;F?bS>S zCZA^dwC{b|hgb^;jnT3TjW>ZDjtON^!d^%W{eHioi-fGIqO`?-Gc=+2E};pFG03sT zfd=6JShVrBq%M*lHo#C#w0R*GJ%QZj7~UFxK;#~S#n^+9#BT*l_)vE8`Sf)b(2Y0To z=|AfG@tZE~8=0){j~H^0X}W#c9Cof*@Dv%!(!pFv>$!7fE$x%os_5mG12LWi)r!z= zCHw`4pzeAe2+^yp*Kp^HfM6O}6@y5LByultifxmMn}8!c<3@N!`rGwN_+=Ccx^}^c zgNYTg{Jwh8)DbK{!B+lM))A68Z%dpriQ~8N@zdA9c}U{?S>ha?NItBIlChN-gM?4b z{jJ2<1`ITJxmB0(58wn{D!9UQ_%*ri|0j^#tLcxT8-eK4T9UpsIVre{biXDphW(QR zg{=uql$@M}dV~Rle}eR;f|TW$Jt!upXr5A`pTJ}(qoj+Lzv)NR@Qt>`ny4F zjoq_nvEH|2dtR9*B$q>+e9PFPcXoUQ97VJv_aTY%_NZ&R%WOm+G#IP`7)Hj;@Y2+-ePK#j_Eu%ODfyp(L14 z!W}}BT_NBbA7VR0_}}$@pHKV7=t+O97o&%0WxVH#xBk9szYzYq-8co5;7yK$m&<|c zxdv>Zm{`{te@)Q}{0pAgoQir%VrGBN1$EaWRvO zn8Rwk(H|ttpQU&{1YUGC=$kXKjK|bdc&fub?E^W4+OgeZt_Q9RqO2hLI|x#lzr|eC zr#rv7mG$H{5k8v1m05r*t6merz!Gj8cyq6oF&h&R&b4LGHzQ*tMG)|!p4 zpykhuhR?y`i%>vdTqH5Vz~G2)w8j@Y@g}03BwLaz(QYFlC2hal!3`Nk3GpULa$jLd z4#`|XygJKbN}%(6K>Kt{@H zAyGCmlrc`ueOpm9T4j|%m5-s6=|t>+lfKexGyGT5Hkp}ndGavP?RM!7qK}uYJxjKt zjyOkb17H+!?gar-Ds^Wfe1zzr7;ok-q}VmoPjpQTmcCQhT*$7eb&IZBm zi*=;C=Bu5k;dy8oNpT>6RG=%V!6bFMBy}Z7)k#t<<2sRgjHKeSL03zRr-;$D`(_jG zLaEs2NW6K!hIb|L{s?-y^9va4&QmYUyFTQsYiy}>Buk}%{{XA(XaN+@oB{n{n>fWo zo1O-RPU0EHHjOG5rNg^`gmx`MX^6&C@z?4!|EE?rvSSWqb<)iLgJqi!}ZHe;P*6}&TSQN z=a+!v^(T!srkc8A>Y9AmmD&Wy$~M$G8ns5t;mggTvYRiLY&5a1ZIF#6fGMcUoQHC# zX%1i7<$5JvMhAm4u_y+{wOuiaSiDpe&;2*!u$cc5r@&T4HgKdNr~}jtW4Z|r|1Pl; zRlv6E=j#x+!|3<~bYDaiEq;s@{%;!VeYGI@r)ocWqgKYdCY4%krMTy}*2<{OsT8&p z!`s`OncxwA^NdZX-KX_=Lp*Zd6c6WHc&M7|ZSlDH9Xx8=oy-*!++9!-OUL`yVb^5y z;j&h>dQ02AvENra`ri{*5tXck6wU>{U6zP)VXPebw}^ga7q&Yu00RG1ZSaLiqR5Xo zVt{BLxmS~vs+rOIEz>4LXRo0!w<6EtPU*N?TlL)UQ6ju4uuIhk??Eyi6?UoJ`2jK% zBZxkQq{NSE7CFob>Of1s#uK?V5#Dn5P*tB-uU4;X7~Ufh7#MLMRhOxe>>lwG<$kfU zDn|_(8C<^i3hv4X>?w)0a(^*0A|tpib47+yu}#)%bqyJdEG*1Z{?Vazv>ZO`z|oTZTB}h>*{I5Sh~o3%E6n>|xy{** zuhk&a@0F^J{-L!>Q40i_dmS2uXD^8HDkS-bh3ogqEiDLn^|=MrA;j3L5W;f5#_BxE z)n9ln9nc@R_msGUzts3oSM^&83sil2VUbU-DJ+JFN?YSjp=<5V{WnOW@sHR}*6Ps) zd<7tC@o3+mBImovCHm~c>OB7UWH}!;xA%Ir{cJki{&dRWmckCNc7&3_l67I>!L=(U zVy+ncw#ug+;6_4@QH%3DUTytqjG+YM)mQl-o3mcWyxLK+-Hn)sr&2rU(?0i+@ScID zTD{4gc%)9OPdE|x6rR=cMb0s;!QB}FY|9{^5&Su!cs|kc8Me9w`*UbyF&kOxL#o_| zNPy}?OkgzHT*ZBC490$F2b5*@SZZJ_YJf@0KwR;0A|aTIzZlO=jYTG~tE|1_9_!WK z$KJB49l2iBeo(a!(7FPn38$9iv{nfn2USFDo3V@e60C&I1k4NW>MOvQN7bj+SCsvz z-2W(AjVbcoCCaKS_;9SJW2GH|OYn&IX;M~=%m5Ha6I${Ah77#v>&97zin6wQzCdFN zJlb9>KACKn7Xbsb>#;Em_-w%vpCWe)V+7X~-8L!JPWM`NfKl}kj8j)qJ!G>Xr$dMl zgWi>(hd7iZ6s<~A@u2JTxs{p6RTy(QK5bB81$GpUZi7WDKpiN5EXrf*g{fh*AYIrU zd?#UiNVK66?ThP)##l!hO(4BQq+@{;KhD-8LfeF@RcPz%O1M>MrqDlh&QxE-S2)xc znO-=^7x5PkroIhPp}TpijK96()Ao6_Up(4|5=>yhC1$U^3OzzwbBY~~W;*UQSY=~4 zeEP%lJ{>Q0$Ti^fG>$18)Xcfc8bq+<>wvSoaeCpLWeYS_SzLXSX8=C)ccNf zs1M*219W|Zit&(-SueLg5X}06;-G7 z(Rk+rYurVX_Jw?-X4+MFH#UY0>$yI-53lwk9Kj-NU5W4oPgP*wc7y+P&7f@Z$ONjc zNmecVgx=>wlnih2Z|A;GFR*hO*ojU66X2;9JSA-a2bl-!wO&RUm_k?glJyvWL4)H@ z#I5mxExcaFe$4u~PQDZB+3tKHX`anV)YdNc<3@2VMSI&aAM_UJ2ONA_h<{*7pmO;} z1!gvuDLD;M?uzhkpI%=d$oA+{J>KdKYZFj4pN60YqL&zX#zpUA9>(>uP%NMc0*T+blbS zeUQ|ApkzCD>+&3dbIb=o3iirVIJ9Jow!@=+=Wfh~+iuM;K0F8uP=+3vTjz`5y{dg= zuOMmI-CCO;%H#U%8iqiC6K%3o!+7~UoT~^PMX;G4@V|;KNSIUbPg65}i`bt`ws`&_&@Ayb=l>aU*~CMZzGf)g2APPf94^C(wq-wMQ|zQ6s%iil2b? z;1ta+&I6Qi172cI5Qvv-H+NAB;3q4@xJb(i3fQVNF2~zc%{L`$eg!~V%~3Cu3Nw&x z4Z}}M1kMebnHWxL#vAcG(oNTk`3LIUgF5GeK%^=I6R`cQn1F0ECj-D8At(<_MD!nY zv%2f)t5ZrGd^2Gz*b0GM3QTE7aQ*}DqcKagSV2}1V+Ana7sp25Bo*yQNrJ9T3VD%2RjzzU@tp&C{ zdeBz+_ASsia>S(I!efn#K4Xk4E zde+pMK95s@6I^;^Ip1L*g~A}}-oGKk-xJUkfcjv2HXF4ZlR#JvWlQ*%(Z}RSQDUz4 zkZpgIyQb`y92H)v96q!hG$8(WjX8*{i#N(E*as4?3I4YQ77*<0zeng57{)wIP@Kd= zB@~TEuY@Qt-m@`iaHxSkn)H>>0PyW8oqUTDdKG!3ZdF1rvS#?j0_>T+yjf~iLFKfA z>mpC8aQX1?!x3u*j-D&e?}!%`2fmO)YouwR-i)DietiNKs7_{*R<>7j&ggXEH1%am7IdKB4e*xQ8Zg39WP#u(e6 zLjMh4cYrU!OJJFeAl3s%puIK-RP}g-AfT$_uS$)eXj2Uq?3Z+)rhhI(%?M?N#-ef{ ze}=U^kS_pov;$azMGU87fGh;VZRqBvjx3ZXhe>hSw!zP&#IXLCgv={JsBvDo_Aj4XAkw z(b12#c6Sl&FBI+P_*eQ%$hRJyX~T!)TKQU@tJNbMA+;X3<~;KlP`6`B1ra@l=vBhh zQO*|`g)x`=UkC(4dOv#6=wh4n-h3x%56s(u48#Qz@l7J8wgMpLXMl*;<^YQY2)*@63RqB z`t+AJLJ*ZykiP8KV3gf}v9=lidN=R3#O#aI6z2Vh5%B4+$ADMK!yp0!&fOZW@N7m6 zrk)}M{54(}A8@;e%Og4S;aEo+(=w}wagh!Gfbsl);&b3UMFo?6By3Xbf3kLx>qRuL z3H)MDq90{<7XC?g>>G#{qhn83A@0>_aU0*iWf}TM@%oohz|uAgp+xg)#o3b%3&Zq- zoYXIIo)^<1&YHxc3zKw6VC?nUn{Z2|MqeJ&2*+^xM+q&Yg~x(X%#f*c9zEc3mwl=% zxf&hf);>*7kg%r3VWtz(vj+hPtAAj+HNp;-gdJSFb1s=^~Kk*}!dT^}e8)p&r;z-(U8I z68aJ{Ai4p>Tz^&kgTB8oeos!P|WoYbu1DaBgVkqBvsRbSm^&nDW{uyw-dlU^Eiq9d|3lf-M>AY*1n+m>2*iMCig4voTQ{ zSlPb`QLK%L_+Pr(7ZEf;h{UVCCxRzpk^{v~*%wuNyHabHCPh&D56e-hzfj1bC{ET5 zfNqr2=!dsckv8uJR7^sY(9^`x|5X^nQ&Jhpk?Qdd+;Pk4fWG zd9%>QCr3h+9aB$1Yz5oxO4k}Q_f>tvJ+w58{d z#j$ZRZdkqLz~+KpC&!q-d&oiYZgg+m>25icw`5gTZ@S{_?BjW~#l7{QeoeMJxHAJN z6``2_B~bI^WF|W_@DJFbVuW?=?RSqsQ~`m#_pdj^2|&7*R{y0b`^|t}6?_in8fv7z zTyI=XuMlZkF0{VQoxps2hjFsym0APu(1@hh%VV{l#OF)KRvup!)B|V4%R15DmU>m& z*YSE5N2Zcra60 zx)3R@575tJZ(02ULQ+WGdaFmT7^5#5tp|K?QTkX9mJjYVAKfv+0fBDuDitj#GrL8rs9#KSJY_P zIDuw-w4NdU?0XcnWvb2O!km6^InC*3Vn_M2=n4=_T2w5heHNtWQ;~B;f!D_%d@CS zNtllTKdle=-bs8#*Srk$w5YpHZja*x1g(FOO8dCc_LGt-s&U9W$TiC zofKvXg4;MtZ+uN1Kn#SEFg_wumc*!S$A(~Ac_x-S&x*GQ%4Oa?Th(6|`gWAj328Df zNRB_$ScK>Vb`Cs@8X!i`nX@s5ry20>+f<3bdn!JBV2utx&UDBm0x$;wNLvN-d~gH1 z4SF~Myd_Dk2dIe+-6pjfj9+x54t*=WC;txut)2ZXzY(Oxmqz(Ai<=F87stg+<|B3G z;21-Lhm-D)iP>}!1jS}7lG#&<+%Hu)(27Mtyq7iJa`*z{0#jlPMQbfu4Ze4{zarPKEKUr-U%|s2&>9<{yrtKHQ1yAkq=R&iZ7kOEvel= zY7;PYENX*O)T)sZ^Y~l1|2bW3B;+G*Xgrp{&bOq7k21ddL46LQqj51hP$X$t@V!L! z^&Uz$odLxc>3Pv{gFG=k)>@gY$217+?fvUeM#oA_=G>+(BcdAri zGx>##=yyBE_l0~LP`mLto5iuP&hK534Z3Ry4h+%umBd=itl-z#+9pUlkZZ|X_>Fc{ z!s=uf)Hby<3mvS_E?>1UPN}RbMW3v;L;{EL=Ay!{ZLT6P^tLvwh79cS)E~NxN7^l^Piv3c4C{%r3kU=o&!I-E$J(9$#6d*T zQMEJP;u${8IRL6+Oc+Jb9-|7HEte7qj8mzoPsU4Vv0iGt%5Kqf3lWWmFay6~*hmDW z)tPBEzv4A(xv-{-Jk>Dc;y?SR=n9Hog5o@(3Tq=qh>?qedX_5)?vxNMxhsUE-|f1W zk4Y$!g(A_oWSs4)d?0NgQirYd<3NhX(*7Sf zPXh->0Y>IU|85})sT*~~eYg|70O>VbXyC>N9CHQ+fHf|tEPp_&Abr1+9ZDU%jKxf= zm~jdU$zfT^D(v%VDjTLQI0}_HSx7t!MRDY>H%=x-d)P$2HEPi~hBU12$t`&g)q4)6 zRPpJ7-#kZfO>1mjdp!>7^hzG=`CF`3^&8%aL=Va zxW{rjQv~1PHGP1o2vy_=Qt+I9Z)AKTezues24ogs!F4%WZ|rWC8r6^y7JCCQE!2A# z1`iLN`)_d&L5++?gRwJAq{{?S0DENXN6U2|&ge83pa!EA?WIintH~jb&oKeV>8tX* z^s}}A(-T5>Y#LRi|`ghg!8;ih&fW9 z(LPds1zMdjiX}^`HZtGehjtXU@ZS`0%GjanN0O1DDMtFH7`YCqT49fy*-^5-+;n{p zf_mrrVkjX7wXMGCUF+L=VW;|Dz)VxB+UH0m>*L^Jefh={bOP8)$$>NhbVMo3WP>xL z4XGc*lQxJnpyP3#W@MgPX84Eli_-ICLwU?7t$*4&tRL0QyVPUWvD`Ww%8A9-K@h{h zm`8V>k1Ye75rj!`<4|F=&XNqqk|V|IB}iHGA$M_Pr4g7#f1`{xbjo42xzDVa+^N1k6ufjuWmU9I5xs%E9K<1zE zQn~dyp2lf#Is!@(M$T+v8hia1XKRZ2Bk`negMPfg2ZTE+$W%Kg4abu6K;LbFU;OkMMAuP`@7=!Vh$Ddf5h)E@i-|G6Mhn(ygh^r8?jV0Iu-hIvC3cIHRpHV_t}>rT3G zi|pt;H-c@bM!@ebaYyRmo<^6;2(P%8ATqlHmz1dOBM!=HuQOC__Fmjkl3#Xc;b-3J z4mIMt?udwCwS0zKjTRMQH85st2M*kwDw%KhX7TXl;48A(BN?K-YR98w0DzwDc5rtsqkz1$uRTD`(NlGuCe?}`B$71gJqzb)qV<`g*`;$EEJFn18Bl@>sXkaUvtW4 zyd&Ij3(qUakQR5*--T*|=WE480rmp@t_@T*Hy)K}GM_nYZY=&B+Sl$*>JP4);`L8g z<;6em!}w%oY@GO7F6wClIUS^j#m% z!3@KBUTxDt+#^L3fVi|i2U6MNPP`vH=m>nnF0jU*h%@4p;5WwKC{Vl+3lQtI(0grH zGK6v^m8&aC>i!$<|Gw+K0bh1?J#-7seEO6;SfW6`xN7-lZlGsXYUj!?nJV?dLY^-k z=*6iXa0@d}Zv7uE`U(ds#rF9gV-tA9c0+Psn*p#r#!tUUrfjEcdFl=4qhLOgQn?4} zmGGZz`PH+GrhlMN7<<7x0()zNi?VS$hm?vf-a`N?7LxprgD-7Zin61J&*RIzv>Y~4Pp zKqP~>8BFYF)wYl7;mB+smD3yW_*<#@7gZ%2L*xCVe2qK)&M_F@&|C^cEXZ<NrG0Z|7s~m#3oh{sF!;5 z6&?K;v28PMAOx$MC3t!xzwZ!nw03V~F79bF5T{IT<6M)X7I;M}ulu$x@d_)xk{T_> z;U5JHINq*=9;7hDIK^O)r_P|s#wn5^#uMKnw$>W|O-lcE5aTBS7FO=G7Z_}z?kW$r z8L#054vV7*vh4vU2j-g`Y5$d~*6*wcB?3!;1%36ONR3NH9>paV3{IM<`cn2%u1DlX zs_T|>ux8+~;eF9M%iY4)4*n}e>ADPWeGxirQyAuGBXIEgc=0;u5b~tPb`I(#b~Z_a zlKb3nkk?)N2Vlvx+(!THza#@M`PvE--0}-U(xfJc$Xlu`rKU>Sy3sDmNaeebP=%BFy zIjN^gXfD=QQ9@-n^mY^xE4qHLL2Z~hs9IJ@tPS6bw*{%Fj`kqcn1Ag-UP{Bi)Oc$T zWz{EPxS}pw4IUg6h;v=r9^}EZygSP=O%WZmw@xH;K(a$7^U}$DBv;N92t37>Oco)T zq)?nrmLpj%>8eO3iPa&wT+*G2WSvZI0}sn3U7oy1CVBWMndF5r$)q^kiMD~?(V)OT zB&*WN3F%~gI@y>`Hl>rx(#eg8d`-_h2!$7xVc5+?`P4=v?I&J|{=|wI!iu~s* ztDMbe^>;M(K;mU4GLiTz6Zlrc`7{#lMhpk)ud=E~qw_&PlvSCH&PI{QXms999A%ZG z(K!bxqFU!mFn{S_JRM&^w5HF$;(Hp~`B(1dB3hNFtm-K~y?NKmJ0af2DWD;!Z!JGn zKzcB%#AQLssti2NH};un!&C{KE7`r5}ae}EXlj{s+=}Jl*C_{m%eJ%n* zJH!+nw`@sdEE_QI=*6S-`4!<9Oj3&|uPl8QWyM+WVW>h0z0dk$6}Ts5$ZAaBU_<_o z-!4eI8N!k62`G%gbtePgE73*dvKuDzTT^u>v2A{vaez7ai9EU;QwZ{}EE$Hj zDXV z>uWXft0ewE()dDOe~U6g{PM0w_LEJngCW-eD7`i(ke2JSC?Yka=i#SI(_L(#XBo$%RIc#smRV=qd%z6U!2xrV-isBPTia)zY?MiR35`Ko`;VCg5gnppSxpNo?FGi0+Kbrfd_2Z9E1Gj;ry0dI!uKV{*I*3M7W5@OeP;qP>oYmnn; zN~dz}RJmSgSE@m~N?1TLc1foKd8q>D+Y77_1!PY+I~CaRl-2&fp#U1a1gISUr=f}! zC}A0oRX~)7)LRdt3AXq$)*t)|j7wy#%{>;UwDxdG`4+;F+Ez@qOS$Ql$4kcg8hbwz z!0={2PV7@B$^O+0-6yS$;S zFHVWw{Tm*5rX$EhLpBtPhMbIsR3NHYjIqi{rLdr)Q8J|X>5~w^u!V&~{X^Zs?=r~9 z($4E!&MdGN=yVj9_RNYa#y?HZ&6w)CzYJ+UdTM{i_0j3+E7wZ48R>gloEaHY&`m=}62wX%B@AWk_Tn}=&2`MNcgTW4Epg8TuIQ2#7P9ffvSgQ-htV;q@obBqiMVR1^l`Ltyj7L?!l&1i{Gx|S)uWK8#aW(_i2fO)7xCPSo=~=!qbOTo* z-r#y1$!*Q4Ec-FAQH}5eaU8hapLm3k2qZ;B_-NvhQudwhy7?qDJ90;M^evmJ4n`}K zRmb7=rFf0aulKE&;zQ@-@mej52XGX`bs7-T4gu=6?++A_>)5XFj|jKzUx^r<6%RlI zAlA79MHjT62$IY0N{@#pQB>G{mbW652vnn*KN5GU4R^MM8^^cJ*Hi(eo+w2&lxu*( z&s1Ja&yRt26VR>~Ex`_INlZ9FqmWGNE*IV*j*qW`c?Fzt{Cfg=E(zO?G8y}SFP$CE zYruK@KqqHB*vhGe68;^!CV4aK4x{oT5D3RGMNvWzaJ1;Ik53SSe!DW+Y~#-$rdN3I z@%CVRc!ir%)oM2QCEy9(@MRB{>6q$^YgUZ~Krg%1bI<$0SpppUl`zJwcnR4hkI;+# zWYX)V#1O)!@L&AbsR4t;aE@t$9&8#aVKo#=F)pYeAncwLA*j}Pw={8kMf#;z(R zX3CP4Sl)Bp%7afyjSFGCh+9B~ zFBS^_GDyKVGSR54=~FeLEND+!o>Pd$M^y zEX0UL&-q6zHvMn22z2@xMwoTi4+^@ZbWvKT-*}h}+hL3VE0%7nHr;Da9phF7DC`-G6Oo6l8#!Q1&Y(&dGhd}n<06B=JjT{82h4^>ChK5aWO>eoFL#$> z1XMw>ux`!A2O8me+EI#<_0Gff9ea$jM*z}YTaNECsGfd^sOwd0-&@A^1#b}VNJOOh z^_=c{00q(N=ma#^8orZJmiH-GEmOiiVZdEAK=$FIgk?6_lmgj8OgaVEaB`EsFx_n@ z0ZDY1>4SaeIskjDxvv)jZ7A(Ror9MkvOYIWWO<6nNAN+(r3WuUs3MIBC|)KDEk&W|cFR(u`dXBPp);RGxi06y z<^3G;cVnv1={2hD2Vq1MFUAl5hjYk8_>wAmLrZJHL`$v$vf*@nAuXTwDhHYNjW8bA=E%UJUObQz_FUuMDb|{?>SGcet!+V%>wJ$C#hK&bGTayS8il9 zwNcJwy(94BYRm^5ZP{7Ww_A?mOh&d7&BWO<465jUOP7qkO&Gh7AI=F3;xl79E{e$* zUWmR|mK+b=j=1-_n@R9>{sT?OF&R$a!s_8d>Qn04`9ObstU#5s8N0NSAaYfOa>j8O zXSVYMaHogYeu|U0A{2&CYVrh0o6hC{0F`fDN-NUGe=NoiPxPtSGh7Ph5!3@OIB0>* zJnYvK0>)S<&Db$ujC9AfHW@nl&sKkG{#LSEI5riZg%#7amCAl?9tK4w>2 zgtA2lI6t)A|C#ZEs1O~&cQ67uxc)?(4}iugt4;&X>*&tb{T_ElL10(I&;9*>1MJWE zQh}q+aG^K~4hqJ4tJMdQDl%?ILz|aF)^K9nVZB*2yf>_Vn>VtAFT}aA@N)@@l(DC|M=5J>^<`NAbEIK^JFn_=DqESS+m2LLv+;SD;uq!NUm2RkIta*TF=UYd9nW7mm?H zX%yp^>vF&uW=GKLv%wGqy!2lX58vh+d4Py3HlU{^o6MKt+?sHuN>OhiN_XUHj1ioG zudLpqVN>fFFsApydTAjQp7X)#eQL|sS%xNG__BCH3NLcpWp62wFF?&5Y&+3gjUy@0 z0{6i0z+uPLk&7<4GBRd=jy2!1kE@QrUh}UgS6Tff$4w5~c~vC4Moc0~c60J#eG(-m zFYws+BSefY`NS!{qmSm@o$Opu-uV0PDE}R=vmcCpkhhu19-*cr&+i$>vCE($S$Klb z;~>;YMeYCzvnMPmDmzP7R+p@-z|s}MCb{*A1CY#M)UWdH6l`m@c|&Syy~ z!#S3PgX?iL+*TLueDogCyiY}+K$Jy5mhA{XcNSg^Ua^yn@n|)_Uvj$N)c|*Lr+vJOb`CXHPPhLfdtjWE5kM=HHVxs%0pt8Rms7#xIsX67y^GN>L(r zJEO?B|AX~;Yy&6@r8vd@h2tMbmd)dVkMr8Fo*m+R147UC^9{Jw#dg0A?A-+-B(oLX z$oS1Bv?;0I)ws&WgR{aoZXj>ebmNmCCB$tsq%dX{V0IzIm{ef-6GVHAE$v{)bxIDt zXW+7W$pu)=^1LdJG2*v4^ep!pFo;ez4RsMO62vM_X>_8tpzCuu2>c#N6-tyO#06=h z0waN=I;wt>TWmVO(I&q}&0i@-e&c*_(&=WjcYz;tJuIo+3~DGWi*eWWX&jzHqZ*$_ zv7l?F#2SR*oVwG7O|eJh#UhxumKD%37xCW5VvGV@>YDPeLDv9Dh<7`7Vt#~Vo@YD0 zku5;r-?-%;WP-l|(WtYz_KV%}1pDvz5W@Hw+ME<$h4vZ4RGKN~pvEx^DL$p~kE}6f zRzj+FejbtKgJ{^Vi+|HyQvB^oBWJ@wSG6p$86~(w6yAucqmKtI4NVyHR)~H8|Eack zv_nSh70k134d8vdz{HQaHQt4`1zpDq!b=(0!C*K6acOAsq{P`6XC`m5c{Wu?%(yVb zNxOLuMgi@L4`+h0-aw4@+c*NIy^$`*Pps`kX7xoV=2JW|(0m;+XV5$zG@~vXwXcF0 z->-uf1XrOQ;>E{kon!3!jHU4Yd>t9cEjC*o4McS>68j-Ktn0i5V*HgdlwIj*EJ zKh5<@yvKltcrBU|-Dg9+gQ$POpkxbxeLAqCMuV_GQ&7aRKqG-CP0Rg2POS%h`c*9T z6#~4tu@p_a5M`ppi>=Ox8ONev6013dl}oHD8`hWif{jJLfU?|=O>z?vL1rAlc5Od` z5-V&aUP1}fdrUkQau_e)A>2?GEQHj6n z7xq^R8`WT|;Z>9}ou~nrvw)dISuK0)WfZb{?12>hkAD{E<&y9NX~HaJp-%$3NGI(i zqu{c`|A^we-$Xx7T6!MON%qffMs^#~E9TphrGGO3jQh_~N3_G%TiM-GcY!)C*$~>3 z`vmFbkls)#I{FR%VH^F!PI>(!u3y8Qui}$QI*5aqdKJNQxV87Za13{&7U>xLu}8R`<;>bhMUY|hJo{)#>Gr) z{LEk*3^)$bGoroiuwZ9C;?14dS#giW&ZkIqiC>9((j-gCUq8-+Kj{?3%AsG18SWIX zBHaEQOd_mUg##DmTs3@{5;_Efgbfu&U%VQA5Z70jAwPI4L_ZN>ClIjwZ4?0-6(1EW zKAZp%CG;Vku_P7vpc!9=JB;_hsSpf)rR-85wL5C3Pn=VWqux_t&Ax0O$%q5Q_4)t) zH-mixKUX6QGK@Q|Dsb(<$UG(V9xN&@oia|v7_~kTW@tl*Bl%N*Sbr$t=ZT022VPjS z$JUZ9;EMW)Ywojq|KBmT?e1jT!5Er9T=G?k^}4YL{f=;OMTWcK;A|X|gba|HCog|P zYA(2gU?3j42RF_^Weiiovj9S1EORY>R|V6xZ;ao|ri+CIcgwI6s2uo}H?koOtijVEs&U+m91tE`L2QI=$LIc1m;(y|I6Oz46 zdS+2V^bUJp_&HANeh|!O#=Kc9_*vl`KsU4+j9P&0ZHD&&Z7L82!Un~*^7fqr}~ zl9W~aWh5|rbHrajf;uSpjX#MTd5J%Q1fGug{g7ZXZR}?2@s%~x9<_}Jb{Y*sdI=Ea^kF?xJP$*w^u03ZioA%L2p-Ctced7o zD^eOZHH2~VQJ?!R?(e|uI9e#mL*tlQ!uHVe+y?qS_#3>A#?XBp-O2p~RQYYM^dhAo zRoe|nS@AG@x7BlS2KqC2@VL3srapT5C*ZQY{a%MuvkBa#H{ak zaniVOgF{0+U(OT6uK;mel2bfaAIC1-m%>ME=}YR7n3Cgt`~%6?YM~Rzw9vgSZJ$cNA=nTKL>wsUXdfYr1|10wo}r<+ z_`c5GP9<~(q-(XRr?4NX_S`GjNVdnkh}{6aAY-8q@G7ZK_sncKR1EpauwBEUk|bQ( zVgzELx$4Gh)lD>-%7+3XM~#*Aw;e(EiZ|a20pD2 zKZKAwpdTc%Q4a315;3^fVWlm+1EbX(|3q=zC&#};d5S1Jrvo|0_X#WU?*d>=m4x<+ zLxj2Wfh7mPKjvEU{R$}*S|tnpiG_+}p;u)gk5vd(u|8Go??`o?FLA!Plk-h+&oAy5 zmp2&|>CR`qKO4)~zzu5+^vdfv6@#CI<-e+a#!|e(Ps}&rf$y)B5M!PU0bYY4p`y%{ zVje9*mg3}GdYumG6^ZZVTt9%+ybm*ClBkQ6J<1h35qZb`6@wk|Wzx=g2Y!NfUc#C* z=P7u2Hy^32-hE{xdn!Kp1ME1!@JpMKi*|zvhw;{Y!GaRPldpe)gn*2X+6HV!5|Hot zhSr#SF~C#voYqh!1D zE*vQ2U%PW+Ds>f7phtNqAqT7}+|4XmT@|a_jjP099=0RQu4;X51t`2-+opssq#*P@ zc__|bPHsFMip|{(r5T~l2k@CZK9M|4@3VIZmO>ft*DLPDM|i=vvgC8Q8h@6b9^)<6Cz|@s7OFsEHd9y-1)*(YzTZJ2xFtAz83fCg#(odS zDE2$lY6DCRZg`wbD52+2wV15KT4jLlz>mdMhrMxeRi8D3@mt46PZ#gnn|HZzuoK{5 z(ew}Zu%)GXPuFOQG_(s7B-b?}c^`fh#C3^Cu0yiPsD_TS9o5^x*x=X`AP~tuU2XXy zOFgh%%{oITtpnSjWYd_^&RBql(u@_3vkEDE$2CyNaJ`<~e%pNGt?+KmP6C3vf zfl2T>Sd<-^qdOBg#E0%`d;q<~Zu<~s<8?Y^{4m10Bj82O4{(pN=!~aCXY|Y1T7O2e zBl-wd|0O!2>;omV4UM6`yl_l8kkw0_o4x~s!63kbDQ#*d_*f4h_;`*FakEdKmzdQ1 z%mF{*`}*n)sL)Zc1Z&t~X+B`^DuKb<_r=E|?apDyazL29iDk`i5Rx8%7yJ`5yyn}% zjM26VfkNlGc#)wyPr$?c|7d#?_^67k?>`A?Ws4n^I5>&~Z4^`#R7Rq-rb7oi8Z-(j z?kEg0GoUDm;s(J^ByAf&2bUS05qHOBR8)j0NdO_Z11fY`S z<2=v%Jn#R_hYwx%)TvXaPMtcnovJ!tiRiy*`$HsfUlY0lhs}VPIx(;ZKf(CE`(mFQo3h`gZ=*$E+3xW3MIx zAK`uIzVox%##0BV@NRE)E=7TFHd3@iV6FKn*uqcnmIMTYFVz^lGPICdZc;=OuNNkY zC7$I%x0Sw7!DPVp^|EQ8w9?^8I zs`&F4SPeqgvfMmHR+`AMv#MB14IEEy?kH7PGH{5(%?N?8;7E|r(=6OGtUotDQNjBM zka8zMg@|q`lv~p%70!!7sjw*Dw)#{qPotDOcL=4QMR_}g5>2DToGXRW*`hp|Lg|%8 zi8|#%`5KYHOC2a|hZu%`VVhvu$;PbAUYw{Xb`B9@qeZ;L(3-r(Y22zcn`O>CR?wOH zX&O6vo3s3TL+*CE^wSehZ5gB%nhYH7CtCA7lqxNy3E;$%180~`iTU?{=^Vb0)GdK) z0qM^@RrU45Kb1jXticPc-5`nZZ*q6%$t#svxLh%C^6^zB?}Y|qkTXGfb+mc?!@%;B z`%L?3Fd1?De&4LDX+ISc#)T(Y@EHs5EhXmaMksGF_oujT+M1kT>7^{~Y?6AGwm+T- zPJn#u{Q6#-V6aUrS^ZVOHFH&di&kLJvc8K3^Cz;>6u3ypk>HUZ)Xmbm z0FbS&seR`mr8>!`T5Dm%_VbA+W`#uiCSi5ASPN2Ed#14pg>|m5zC(kd@aGiPXRNMU z9i62I5u5?SdedS}NMXI4#_BGtF2Z`$Vx5x0(x!n&tDCUCd=4xg@29X1Nn>eg%9$jry)4!<7AsYI`|p#gz0(OgrwDib z4#Qo1H{6{#0D3i@BisXoJI~^tyBqFvY22>}I-6-0?yVO0h~026OXKbm?hA z`Y&G_6_;X6mSRK$Rx$onH%l)L1NqQk403K&CcSN{WnQXaez6h-l_+@Rin>{P-W0&2 zUYc{1#=eytxWmGTUB{NHS7}`6kmd9j)*IW6SjMNY8q!!AI60k#^@zn9n!=i$#`=X; z?0msKl{?j96{fJRNMr2~R->@avsgcU74EyB85Sa}xf zpDCw`3wBdkM(wa8*!mcn{AjrE1FvV?W7#TuN#x;2gU zu&_SFl(|(FYrhoMm^9WLVZ9)%Gc4AYFMUazl*ZCQFlUCa`dX~lQ&`>8SQ;}rpQQk{f|FBr6rm*fw zW8Ee!=V`Fw7Aus(l3#;Y6Mdvdi-mQO#oG0SU*hsKR-I_wC#>Tw*6I}2p=qodVO=Av zy)D+<6joLmt6EqU!fLgh)olhVKiPTOk1|-nnpJsp4nOLB2KMN6!FzJzmL|rsT8Teb z3Fq2`!%aeC!N;l1-J4CZu;B04RZQUXIGelk#Zx4iYLgVElNh5v&P(z^UB!5kWP3@T zQ<8FqxW;JBcXz#qqrutzAwN)fjwASCu0? z5}2K!AB6Gd_Sb!{eI136$;kUSi(3*C8+21Fxojz}dtvW)D0J&n|DMC%Hiyp2A>ifk zIm>(Gu#E}6&EXZyB{}4n9Ae7h`gS>ds~p}mIZ*VA6_>1jobOT?u04QCXfdCX{J*m> z!`xpIo=$eo&9puh@-WN7Bw&_>BFjQg!$O5v*v|HDx{%+Ag{OQLJ|ZqTOB~3(`>#mM zGJqod3IlnTfjz`Pw#UE(J?@nZf5(Y(%fNhugABv~=G=(6DuV-*#mL#Pz`3wM)_PTT z@fPYt>;_^WQyHu3Dz4yb{bwe22eFc8;BXtevaaGvzFsx4pxYiG&ql7OtC&dSV!dnk6YjoshmCRR-kck5n8vHw+9aUrb!vd$>H^VB~`aEeXf0Q1ME7lNHJ zJ{{@Ag?Nxb3}3?edgtJcX8)p#P5YFg7ruxXak*5ZmMvRM32(PiC0^7MihAEfh4&Mo zH6JqyeboX20!(J1zX%;*&Z?eVj8Qw)sHq)uZ<87uhn>n{c6~w;8RS;4w8cO;W487tQ` z`3eOmMia|}&nzxl7Od^gx01b(BkeW(N2V zKd8DG__RFy>gUe^k>UOspg5h!;`dlkNpjR83?wm2XH?x|NCsq*@d+H{#K~37-&_fw z9C#t%>M0{B=U!+g`40hL^Z$d*|91dP{_Z#A-)+9hzn`ep&tE|X%+h^6?p%_Q|L{*~A6e|iG*vGhO-98#=qb88vq?qlKw_5#mNL^OYVr=}6K*DP zC)<%9GPoIN*w#DA|kt|-y@~&0X2{!5@8|9nbR~6OMMm5_g-{$^9QMoqi z5gX;pV1}aHR>R4yHp&;kBt^Y#qpq}3e(6Ul>UkSAf+#av?qJIkwWxm4`w6wqqW1Ms zS3hJj+~1=5<<1f6MHY3}hoY*k!HlV9GPy^~-%rfa{aNlHi=^}LE!EXP^w)Z#UFS`P z1-BjV$@B@(&>(BzX+VtvFgSwN+wZRLbeGaPry6d;Lx^`ydQ3*7s=qQZht0jS@F3<1)MduHQWP5_gF(ATq&}bGhcTPwt&$BY@30Y_O^ht z1nAuZj4TU?2rvTIc6T$qF$L-`KnIejy?kV02MX4tRIgh=7XdDw3Ec$-5IEm*179mr zQ}2rL&d(3P++7ys_!P?1X_Rr!YN1TBDBV&hx293XI4=sN!lHb;+7z3NE>EM3a_$gH zKa29VL6N?g0rklikMZ?eUmR1he={&fLcgqIY{t6L_kRPPTEW_5y}{bf z{8=kl)uw6!E7g0BHVrr15zcAo#T--Yga+j+Fu?|gTc{eDT`qi)>neq+BMv)@f_XPf?R z`@PnE(8f=<-#ZPPS5aeV%Onfie9c{n2yWg2KdBgdJO<5GT+Kyq1g`(2NZp?KL zH)O(N-ZP#bRk#i|tfmvECd!!pQ|;fev}nvA6V^k|^E>ei32FZq9Qyu~lx*THMokuj z@3xuslJH(o1*?q-b3=ckLEYClC+6MfQ*~C2^l5gqG*^NR;s$x+DG@weA(~G@(;Qi3 z|My)(_c4pv3?@irAmJ+5-7w4fm3;P}IRn&((l`@RnLI=Ymd4wpwaUb?nRHSnL&=2b zVK#cKq93=>8(4014A ziRqunV}-)0{Ur8TOr(FGAp1v|->mcDPS_5Ar2%;OiSRk?=_SIiA>@qv3B!vrzu7M} zpML&{@DuI6-5Zc|hW`ul()BTw=OUdtFp)(i-DyFM!kj0Hoj9oSh~$tEy;@hc)Gu&P zRC@LqlQ(p9jwWn?hYD!-J++HWPxGd?AH;<^?cP(A{}WmD@UpsztoBzpIe3MtZi4eC zv&~YFYk+|tR*9umyaTdAWUEGszZi+WP(%{`_#Tw3C`=S=GZ0OvRaNilD^XCJj|wIN zckx9x+a03;Hf-(TdOM&r#WkNeTt?Y>QY;P9Rd|MU*M*wkSqDWkG-1O^}nCY?Q%#@o+L zhA$<%;ZzoTzA8bo$0z{o7PqnCIgH>nU8r^;|X(zeApRlVZtv!$Os z-lwsUJLiY@q~3chvLa^#Rp|o4h+s4I8T6N_yBpz6iZCWPlg{d>9!@Na1LDl#3oH5 zZ=Ar1?#UsAoR>)iZZe7GD_wAui3yx*e>&zJLaW1h;{v{Is~bkh)DKOjm#`MC>(Kgq zhJMNzId`*P3&M`K&3X{cjtgE2zT+#F?6x9S^kL;yYKxTsKM_!7N+l@_&MVkxu=3vL zr*7|95~fbgPv(8dXX4bt45pVGJj3*L3MK*%+MnSpL>Q(Y<=ZlS4BPu*(o+4JffkKdJ5wT_>*tg1Cl#i|e;adw8B zMVS*z%~?)eJB~-sH|&9PwwOC~5>>dU>saGO`7Na((x7#v`pHUE6}FW2QK+TV_GzVq z?3d}b$B?L8{!SBl>Z^VM2R0bx}2km&^b}=GMBsH z!)KbdP_qL&~3w^5}e9+NF$R3r@e`CMB1sM3a4-CNnxJsD2Z@YmOz3Xc?ltZ8aTfU|=F} zumcGalWSf?e|yuzF*(EA4nJ4 zlQJ;w4a!e7j;7&_QP}GQLHX4Avr)7_)W8c$%}=)#$&$iE;6n3JLeIPp?d$}7B?7JT z3`i9G^16Cv`r~5-rUTPsPvq%?W`b6J+Op3 zYBKPK5Vb&fB^m&OOH9JSE8I(@5o!HS-zP$$%98{C3|@IMJX`3a1J9YS-glYA{Z4_$ z)9R1ov2z~(am$l`?1=wnAX_f7H;<-gOrAf8>)u0Uec$BXoA@u;#lbt5>aG}y(e4~I za@Ktr%M*+0&*Qk;lzwIPrP*;e2j|<4t)1+;2A5svx(s{Q%<@PbH)kZ4RykxHzkV>i z;7Tc5AP>g$*HTibAGwyDz=VnNzWW;c8hPLH|3WxJPV13V!HayCClAK0G&ES?`s4PG zjb2v9jey;Q({Bb+rb{vs0~dg|j7{Mf$32o|9hXJfV~-_qx+#_q*vWh1$8#uh9Lu<` zw0nIw?VXqlL}J;r6VA`nPectjJD>VmSlY32IKus%$v%8MKc#&)qOhmehYC+icH=N} z?_&TaR&QU?p`?3l^VBm2@HF~1)66;%QIX^XTqW}0bf z$JW2W4|ZtLh7R-r7)wsIUai_jf0AeQ31=0`M^yhugQu_4cF$MPaEfS~<)gWTeW~rs zVXO5Ne>{M${b4z}RWr=Y&5b8?{H81h9}m*pi9L;2&-OY^qLdt10SFa3lb_R)xs+`) zee59uCf1utPpre_j?ROpi(+C?B2Z3LZy9P`urTYkvsL1y%xlDdDQj~&zd}-5 zg2jelP9kqKf!fy+u|mAr;f&Iue-zl)z+0vOUHM~~t~{teZUFB9JNF?aBoiQIneIC9 zNN!rDvZ9YX;iA2XbyQ?>U<%)5>BnyGPc!KFaUvcE~V8W~x{p@6(*!wool zAk!T82UTUt{+QT;L%~alYi~Z>cfhi||B>xp_aFK!e1M`{z$XIvekny6xR2=DtUdxj z**%#cg5zOs?5yEjc<&HJl9l|_G}q-+U5t5r%E0oTHa}gLW9pVE*n!t_iWUcN{u{+l zysJL^h#qHZeIZeB2l38ZxEgVepfZX_ZsoDH&9kb;>d-)kf+H=`1QDq}RYx6`W&Msh9_v^ z^VsMW!Iy5^r+Gn%?|(ct{>naco-AR`!F^82<6fNeSV>mpphVzt5-^c?grC;Fv?IKH z_bHxRk`*q+>`v(_?BKlPUR*c7gzh6n6!5NK(`)rzgR#*+2Af_vc~mow!Yx&c60d2r z1d&cz%iE8<7$*FYIt(kE z?8-F#waW7~Ur&}5mM2GPlAg$m5((>l?azl?wr`bHmcWL6A=n(_YfR#F-TmwiKA(L*B!OmR~pFB8=i$IVDs}7jo6M-iRu2XD+ zv8y}}(S%j84m`hgV!<%r!T1EOxN>f$*V2)knxol#l!JTCaJCO*Ln{${+2~JU^n*5| zwD0i48VPB6_MY0?Q`C#z2;Ooago5+-Hl0chgHq1)A*ws2tY}|Khb!|Efz3ufc^mn` zSHH&Sy3{gKKX_32=$2EYJ<`f3mjxCIA*Iz&n(`*7DaCGWQP$11hUG9oG!?_K$?AsUczWy1mvGSq+F0^ zfZXRpdJ6J4Ah-Gu^=z$4Ad`K_P#|WS0C2PqEEVul%kt?yFe)J3naWY*1BVEByak4Q z;PnC?Y=J=^D0}1PS>R7Qy^=-*+(ErU^GhFivVfmk;0hmjl7Mep;LAQREZ_nQeAEY? zAfVn^gy!u&ut>m}7I>o%)U3t5-U2W8f!7K6dkZ|v2OckAg$0Iv;6MRSw7@<-@Hhbv zwZMISpbm$)dt2bHU%av(BcQGsflt>54iHe!CIEcT2Wkf8uCl-;9~cyHp#?tS19gX! z`?v+(=>v}z@Xr=l-43X61sVOx2g=vh{euOb;{)Yq>5j0#h!4~p+CA9LG zzwv=b2v}f&IX1R(Ma({DMHREmxtoDJ23HX5pF7bi*gJijjEbyN`aI%0; zS>RuMpr&^2-4v zc!&=iCtxoN+{*`EE?`#+{Beg@_OSwP*Bl=E?*o4?;Cc&u#|MrP@GT2$@PWE>&7E(7 zkNCjL1boN>XZb)Jud>`37I=dX93|j&7C6=iP7-j81)k{xuNLqO3mohNFA?wr3p~sR zUMyg53*5&CUL;_?1@7b^xzYIx1^ju3DZAqXFA(r63w+lHo-g1^3vBd(=Lz_V1^&Ya zjuh}Q3!Lo(&lT_v3!Lf$&k^t@3;d%GJX^qV7I?M~93kLv3oQ15!v#DEU{fbT&c`=X zj6|R}(enjx4^b4W16j@@8`W7+0=OL&wWp#UwNaZnX+B>7cN2r3racsuv{COVN&t72 zq5_JVXrmS=N&xpIMX@Tu{L@DLT~PwK_bIA_qE4_;aYYH>PE%BlqI%k>af%Ya9jmBp zMdjM4VTuyKJxx)?igKr!A|InD0o?wI(!kDn+eZCHQ3ALHiqbJW=Xo3T%MU~e;QqvT zv#GbD?y*syDM|o$t)k?HZ0?&tyvr0NfcvUOsV#x$02p1mNx)|;@ID`Sqkw<4!08^) zDVf3nrfS7h+n5P{OdrKeRm@l$Gu)5aOEFUvQ%+1%1tDicwJH9w;LI1mIECM^LwHMaDQeB-qb}=f3Z>P6(xYXPEnl|HN{4?C`tf#DN)g6 zVC)wRc$0Zkfj2CFfWd7te8G$9?e61GfW>4u1}HLr+5IJ13qIn%hO7tEn^)aHX@VmD zKZH2)^(QFD16?7U?RMB|gj^1J{W*KygcznN|5C7GqX!NphWsy|NB$y0W+wu2z6mo$ zU22>P!mq!ev3lMiz#9gUGi*Qexk{qwEoS*l zL5?CrCLW^$0|+pm(Kj=|EX_>aA2r8m3EV{@{msu;!r59LXbDX7fk6R{A9_n*ybm;^ zC#@@hd9DvURhVa6V2KYb6R_9<`}x2^0gtf2?mjRk;C>d^!3S0fm<=$Q_t1LeGRxN8 z{m-Wba>F2BAkPtEj-dOaFT&5 zObm+*tYdRYa3XLK0QX3P6F8IbvaGIN%n-%wV`GjtF&({_zKYqg#W2ys#N>G~LB)J( zV>+0aPF~Cp-x6beg##|(Wm#-|7!E#C%o8?^&TlsrKR23aZ4bV}r@ovkX>Kin2#SN8 zG@E^!nE7!D?M;*_+2e}OP>v#;OyWBZuMDx^R(S#ocU2qXf#JktI|tdQsxMRP15OtY zdmHybYki{TPj>X40LsYV_+f>BW^3VYQQH(w5cO=+~#b(QYce5D+ceP#?=8 z33u%Fh$(|)k6!%XW5WNzrWZ^u@nzYu(JUl+>qkEYYqn5Jeil$_eP1(kb`F|m+nISt z^U!3V@i|c}XwuK<9v}0A9Io3eVD1X7DRdawF&TJ8(TN`a(2rIZrX!30!_|e`P13v> z{QN&zUEp}VZ}xKVmud-gCnxf@blaNMh#M* z)|F}f(f;i^bAJi%srr1@xhM6_$r618Xlb2p{|tVL$d2iNu3+2E9H4&?KNBP1MT*5 z6Y2O+f8;x839{O1hctSmoNJDP&L5z}MP|XgDjpoy`{5MU)DQ39E!H;7>INsH*bV*t zYS=;udhAsH99!gc^owNWw=rMEg7+MFFRrT$x}7Yrg?wSv1u1EVfa*O8U{n;%K6Iz&30RW!ZjX zsB46)6=a-wEK{2hPlKc!MLyBRW(~wpG9tH5*wk(e>li;q%b1 z^D4Ut0l3!r2CRy*9F6nEVR$K&7JYdQ_rwLBe;hSbuVpT`-Hr^XyPjuNJ*vvgH@czp zv!NTDR~fbR;b{KrHN??<6Q#9ZusBX+dHu^0UX;spyEcr5Bl0x+z#N3+ zVzCm&JJsP{H1_i-gYaj z({mttvPi>TAinB~(`%b=7#dN2C&*j{^{A1 zsS2yazb5rJ9%(Q2Aznr|kWqE`NZPq+EOK;lt|cy2+drLQYWv#1x%m`a_PCurnFL)K zEFM~v;5?(%_#lPx%60R#l|Xhe^CTIA_U6N&S@pL%bnSS{V7pfS z&mC=T#VEes+UZ!u-Y*&(siRLo^sz+0?Py?K;79&9y(N zSJ>=Kv8Y$3P-DBH`W?t-XShX;rck%iEHbGcZ8^if_5n2-Y~*2jMh?##xvps_T#+8R zP47cz)L0%WG+4bFV#I-32dbHXae`rthmvnl_HH=UFc$uO21*1J$~pG|zno(-n71V# zdCzDBx!JjkWt{5po;3CIf;F$HktGAyr_yCbhuD(PD-ZZccRd~zX&MVLDL^v(t%`h4 z2K&o#*=;?~+DY}PM-|s>tNa6)Eh`UZCfK;mnRlrz;86hQlAP^tuI6u;2u)MK@?dE* zg`5f@$?2#_QR$fZGC$E^be&+d{p(9;Jgb%MZ%l*P|{nfDgVZ|OhTX5-Obs#}n zjsOR17DEf8-G2nqDxZT8)F`At{Y|~sT^JgGgc|P>eRzFNYh}s6!NOEo8n5W!$yHbn zdss=Zq}C%svGfB*=g|B|X7)j;`4@iZw0^?{-UhWAq(_4DE*fJleWkxg7-y;?$N5D< ztbHhl`&+s>XG&jxY&rs%OvZrbCGG6T|Mc4o@H6b;e>Wfe@8a9f7gu8#Dt;)|d{EbY zkFr6B;6#G$=8Jl3pxVZ3mRXS%@n@MUEL5}1W*gMp^4T8^+3p1ASZJZ3HmDirEF08} zv)bZnnz>?|Nv3ILzJ+RTxy}YPeDdTpb*t(BSM$jt z7(tD1{X6_*&L=O0AER!W^GV6gntjpIt{ppOPJR}S>@@Egsv)Glh6DpPlYxHLb#z&zK2{fdlWq%zFRjNbaU5o5k)MOwLv zC2wx#Cs}YQ^(x0zZBHBT<~J2EW3L#4#iMK~@Bgc0V&+N>s?Ekif0X`JgR$X^k>6!7 zuc2bF_D3)@tjpH(yjn4632Z~bWx^RVeonA1@Fo9y!yozSr^kc4jUR(G?~<&k-|6hZ zc=Kq=Q1ed#k8KaE{T3jGJ*X9$O@9%`tUmZ`D|h5&D?*FH{U&+Un^ zq3&g%cz&Tq#!f%Ea&V5)u$v*^-d4btQ^T}#HIooE2%oBVj;E-d6sitZMrXS6$+v#r zsk&TDaowYVH@$`eFVMn}8Po=AbKy$KuC}+nw_CqZ`&g~@l67dT)|;48@hn4G;!XQd zgSU(^$gdqHv zqepXiV^uHb*rh5XACKxIKGoL8ZT(n7**I%AVO4z%>*LKp-)O!)D3a+fy4!k>U8LA) zCKEJzts}yMk&PPT#}WpUsmr6ufs1HmPS>7B9{VB=gpkagg?ZeU`(44)B?$b)rREChft=^hly5n%H8RtyzRvw1fVP(Y~a* z55%WAi* z)$1vSDLGz673v~%W|VpbGhjy{(NG_&cdVVL8pywpN@NayilaK=My>2k&Lszt9V6ZD zTG-gel4xB{r0(eYv$cf#^K6NRH=Wfhi2cUJ>ZcCOs6VawbahTR*;eA6dNuE@1F5KW zh{~T&Qp(6e*@lI(n8Dibi1VdU4J~(*kkjiEk#ic}CLc2k@otJ{@uU7pQ>^&LVg@4F zXqR4q?O$F$CUj7{3F#o7l&i1Gc9#7XqIUg0IdJ8j>~0=K?#|4f$~;g=(Tojjbjix= zh*|#vY}Ab%0kD4hvyY`Iu*J$W_s1}cWLR_1$(8qVoyT}(!i7WJ7fL4Tt`2HY2V>?* zYiSq#ooqh=4fJ?+1(}11n>$kZn0c@r7SPrBQ`@!9ayxPPLkiK%f(@c!NG6LO^8rmF z>3k~pQNy%vtq7j5$q!E@H#6f@K0Q4eYn@Rbn(1s$q z1~EKI!qf!WRGCvQlzCl4)jyuhz9)5V2(qpoJ{3gn!#6W!e-?cZ*oa`}9lof|6gge8 z#>r-ayw+LDJhM8y2Q|+GSu;^motH{A_&vWGGb`wJrJ;h(3)VhPip;2Mh_ZDgt+Y0q z#T`I&2yPFccb-P~zGg-I7O@_}= z(#)xOKhRqb)4sQevymGngZ^N#pWCn}owP5RTo5xkeiavx(wa?GEz#h-@u7pF377X_ zwezu6Qbe#67oxssUEzpm-S|*1b!>K0yw>sV^UF)|Zzjcte{V{> z*6D*V?38#=dP+PT>sRa>?DYHzjxH5Pt;owS%OE&%3)Ifdd^n=`%=vJ4#UDYua|P`d z3O&JKcF@d3zhTSDG@;G=`7@y2Brz*&@wN{04@Yj-c4lWenY*+3L)QN@#((~M89(Up z&G*jcmEi#&@yhXXBonKj9eP&3SpEtY`Y+5dB{iY>#8Bw^n$R3n#2DA|`d32FqA=Ly za$pdske@|ob(W)qF|L&!a&=S>*lsY7B(sR_{89Cx<%-QD(OIR$Pyd@Yihk0b@#t06 zuXGa&ovS)7t1+RhYRl9KRW-c3o|G?2ZvD+|yLRo`zN*)X-qrk7x{1Y$Hst@Kx*7`{ zY=1jdoFQ!)@^wYGeXS_#J_;bPM^EtrIIIIX=X)IY|lNUup-6>5WcQ0aM z&AT865s3e|M{ovN(y(hn&;O_V$m@mO@_Heimy*6{KgE$g=h@Ha#mT3%+A>b~{W~Xr zL%j_rvkAn1>=>MpB%t&!{U=V!(WpMZQgoR=zvvT;`I+sNo1R?dE~iWH6RbI0S)M?j z+lxSHVk?jNMH4HA)OXvLo}NYEw>5MfSB~~i<}@NoU8&2vT53Xd{OB*5%{uW5p=yHU z$5l&N(GzbovB4Sd@kQlUvPoC8C^)0h%sWPe*qCZxhrxLzol@0S(m7S78uIp!Qn|%Z z6*J0W-Jp!JntGk3mV>Gpohb4Ohy7DZ%2^y$Ir%0juVRS;I%ouVBL3mFYi~XFR!^l8h!0oHyIZpOt%T&E)MLa*(EI1%j&#);g4sm%akmaM#BO z)7rF79Z9%a=Z_`2g&Oj0!+Y&Eui?>2JhHdfl->T2$1V%Ggr}0$ttmmPXNUd)RcWVY zSJ<>g>pON@yl8zcS(dv8Fu93#AVi`ir=UAg&gWhjoIW31R%|N|iPV?1#X6LSM)Ce} zaNd4p_ywVP5d>aV^me4KTW%H8i6z0CS7})PI{zsr<*1zKgR!rE-kk_98WSqdcOL=I zH&Tykt=Jf;@003GGK|z4?4n6KDx*~8MBN}+gWm_x*G1W zidcMWx)u&(BiPi!ukahx%k(MVB>X<*O_t=S%JSA{Xjryep>Ygi zzrA|LF~QomkXYnpd3?ZOhv1C!z3xEW$?lCj)usz&r!ZFSO_X{lWuczLH^-muL(T9) zTBiLs4ZKh)iPbWu>Ps1By;k)xfk^$3+z2N0ws-g#Qh(e5DZNR2KA^dNG8eNf$u8Q0 z9*nzbP;lN29gJJV39??TpV7XmcN|}t&;Q3pURJlo#cLEP^0JoITsns~=eN?9-RxKF z9#gnwHMuGUI$JIi%m6W6Qs-*YB^Y65bgD55A%iQ#jPht`XUd~FatT-G>>%I7^1AZS zmC?k9iEq)f#M*Z^$G_=VoONU9%Gy;^TY;C4zA!XSQ^8T&SCuE8GW`mjPYwL&FOpal zNo-1dGPJ&1J{3AnEn)H*^#>k^MR^?=lqWul-BVVdo2${+#G>0w!eD(9NreuEq&g#? zy2j;5rg7r#Qb_enIexd4DFj?C{;fyah0SPZy>}M~P8#Zr{)$x98BL^@Szk#O z$$-b@WJN<)(iN$&*>!vBo*h#@^JWfzl?sN?^8d|;A z|1@+|15KD$&%R|vUgN)8(yjlXF1`)ki4B&WgEGBPKBM@e3quvWuTzsljBiN(2?=ZW zf2pPQSAS5FGUxAhmfg3*&FKbhO~VS}$#Dg^zSVyUG;8&fK3zjFZ#ZchVkB_SC!(Pv zA-cE4L+f)psCyd`oGu?JdN-~ciiSqUT@B>*FirN`t$U@{n~ittyt6tb*3fKYMOz|u zBk~Zy;;OHr3`@(S!6E#Q3RKV|5^_WIY7NV=i>L9TjUC z+OZ3hEq?Qh-YwDOr)6mOlL_%#c=5ITvWw;WQ^eb{E02xvoO?2Ff6-2c|5yW;BS7~> z9f3h>+B4EAm&E-xmuM=N)4W_x*RR3$&y#EZ#!J1Cvxg;?Mn>>#FB^2c`@U>=H1URd zUi3~KZY=Rm`+gKS!<>^&LkjHMA!=IXH>^WRB(ek5RAyu$LTWSj%`iW!b4epRF(_%H zW6857*Rn>+PH@$4Rq2By&{(4yKyqZ1dj*_bdur@b$}8T7PRwRWXO&2>DpoO|dB7&K z-@Oxv&P?yeN}iHeG#VM#KNWfwGUfFXvYk%I2`0JICs-3;3CUYWUT^w)Chkrjix8|C zK>-*fKLbs&+3-J~M#lPXh}K_?yZN%}S8~Mwmuz&#Fhvp{=wO z&xz+`*0yLxHgD_pAl^6>7~8gN>W;`OWX+T6FV(TL6P$4rC5=%Jnu-{)IiDkHQNtZ8JZHw(WOF9f3pS* zGJNI+Yrh6B3ZK;mzd2Yln|_J5^U2+?*&Q}vxi1v_wiAF)%d&fxM49q8K+wa3wVyKC zLC~GBk27>0dF(9bA)|^ZIR{_rRs=Qt0Fs^yD?6bzBJ_TQajZfLd0~XNy0U{;`}U4Ni3BYZ{04W6fJ5E z&itAH5Un%L*oQiiP()!5!fH-~8nw^nv~{r6wOIoTft?<#m_W__ME!k+zScV8N)=#Q zV`2?^y3QO9B(S`nSbE)`-7}!vF2Rq#lA!53FF`PIF zPUSl%QoiIMulb%tl)t9*AnVCx8f%BQ+(aX4&FFbrazxw|D*P^ytYZ&j&uR{15hFB@ z+8m*6rMHi~0yk~$6oRIBjSj?|0pwi!k={1HznS~e66`OnW>{TA>s`UGwg6)+8kf_X zJWdU^4pM(AMHyh+axIaKa2zT6L{#o;=FRPFkJ9ZPrE@5wdE-`w{(f?lVG=p!{*`mF z5HGVhOc}dUj54NBlQT?O{H-?UW4659qg4i4m0bzNC3{^gnY&a=p=QGD8_0%HtJQGx z##xdJZuIO;ECJ8W&g~*KopFI_dNpQB#C;(kH#|;4_dJt}TBUqjC8&0ac(Y21>Re5w zib5qjfq6UcAoXgc)}n!~|C&X?BZ*HD9J`J*&z@z8joL^WADsCm#f~O^h}55+U0Q!d zb~JmZOew&uY0$(VP4~9sC+0M~LJgS-Pkq-erHLJ;T6LU*_3tb+F=u>eVtrRL5%KrI zcJKu8yH4Eg7|C8*`_a^IqD4Ee8B{iUZL9;$G3Ecm{eU>GJ?SeGBqI#Yohn202+hSv zckPOuKi|2Ke3?&SS(nwV*iig zOLEt4j}+;ZP&Hs$`b&H{^QF}o=cht*fI17PJ&mZ@_ebRPz^oeJ5~2Q> zP3T-M!Mn{Y*`~}+u^HdweLKTgvJ&_;1&7sx#A-KWx0~3I39(t?PvT0~nTe3(zxaie z$5Y5OuU+7EmeZv@vt7lkn&j?$ivL8nkb62U?B4}t8JJ2YRzTN-6?sMSbVvSR$6F9q zyv z60_g6yFcje{+qG+r;do6GVHW6##`oi-^9|C<7M*zf{9Z`5+mo!>YgS>&X+5WG(ovp zPP9rR-9mh)q?gh!8rsA zdq=WbrmtW$imAc(GSeR~(?954&p*h&TogYcxx2)}x6*U*dh+*EuPd*g#6^zVofnam znL{x#+vfdd-m76fou2vXDz(HFMx`6_8OBm^Lw}-% zN1-#+>Q7ps9t9lSq2T(ue=s=q#Q#V_Ag&bRAP`&MPmlNUze@(LoJ3C6QBRwg%z%fR zQ;5OZeK7U$@bxz!kEvZXW%1|dxFoEh$krv{ZWJ^g9syQ|si(^z*GHNLcf96UIC=pj z?LBvQJu?dTb$EM;7BuekX}H6oq4A_ypDEk`m!5w`_$qKPGWR$t?Jz z)?S{x2A&BX{by4l_2cA1j)&wKC|@&#z3_mf)5j8eIz_0B8>tejLrWR&+FvXNBWjTrjW zcr^SM;eF#XgIp$pXYRv74zPQj;@`@MuTZ?%FEH`vDgMQb`2LE2NO_p}BE_%Hh|gEN znTeVB{T073BYw+v;zy^_|9Ca=BbZS68nr_4Lx_jDkBLO5Zen4)VGcUw<2(h9)w=bB zbRTK@{Ac_7hPfl};Om%-GayU67o73DT2(UdNC-myCdgCg{e3AqBcK)!e><53a>gsJ z8y~{aZcHd=$|0oM#;l%Hr;v&+dTGPD432(FN<3J+bFOQhpES5^=l`-plM$^tYn?k% zb>V>Kcz8NFxWh1B%4xk@^|t7$Ou0FK-KG}b z`l8k6c(|X+Fy%LB+dUv!Jvs;TVmY8NeQjZ`Csw;=4ShaNi+32r?DC><&CH&~6EJy& z%d)e~vgvohiR6E zzpSsT=|{37i658`bNO;`Mw6|x$Itep!p@$fs>sNRZHn;ZZ} zhyHU78v9}xll%4Ft+(3s;c}hQ4gYc_m3XmV6dLEd-(#O1pA`>JAcyQJ`%!ifNn2J^XixQC&~WM*Jpir!G@LE}}(9RRt8 z{7ShnD&}g8N?#&GcMW|P zJiOVg{d^ZZFEDqBs9bD*okzCr(o0cVmk&QjTBept9-|y%gMAhmd3Y%=CL5;;eA*T6 zj!SGi5nrR=YvDw4B(w5RclSzU)~FR8msa5U-wmgR&4t|gywQO=)vl_V5D&kG9hc4p zADYqw8UBlowIZtGCrIAVpK!7le3CaQ1T}V-4ZySpXG2mhADgMU>$yp z@$kdge=dpUOkHs1QVPRBV64(iTcYucIl79cVkyUtW{f3TD-jy3)Q{&r=9i%8^}B%q zB=TIzbo$hc9ICp|Dhng5Lz0p;zsj59;p5297=q!{%--^q-lvfN_AQ*!lR7#!ZtAFJ zJj5n{nzncsFgt>?H~$EnsXc2gaz->Gs$lIn%l0#hlyeDVt$*WSA8?olMv68EXQ&ax z!%xyp7)ET)3vMZk-^Y1l9@X|onNH;8U0H}d(C?fiL>E#O}t48*H(FHJe)74)Nmg* z4fi0M;g!m8i!T8urtI1^+(Ypo%xt*2?>gOZPmG7>(_V8b_h|`SNS^xh8!m#{Thueh zInFom{GKnTo7B`zdvy@AZLg1FI{fx}JWU=(@^i?M}LlyKSz*T0{42L3*4nLc$ydZExcnq`~}^GRg~>h4GS??Xn5YQ zN6bY}vPXkwU4C%Zw&MQTm6t`6fg_+9EsE>FS>;(}qnmkPHmq}Il{fLbn9)LZ<)m1+ zx_4IA+#C*dhO>A$Wp0PcO9*`i))^>$oy~3ymE2I5QQBwmwT_!ZA#GZm3v?%6?>tNU z3n=3DSp$`4ZmyNHt_a5eCphnkx(y{+#WkBM?}~?WQY9>~S&mhf^E0vxlVx#m-usQ0 zAvu}s+o*Z1L{}P(4cs{v7DhjBZga5*g4!xNy5|z<+fVCllQy0G+ZjsEc*nyx&~IcL zeVj4H2zo6AYgq0J+L_O|K30FjWPGv9>mQOXYXzZ%+HDEUr%uR?anL|f3C=tgQ&N_E zx|&iVq91;*)nhJ=`G#4hIo9_%kOA9RNWu0Rv(v~rzA4(?41?5@7eRrf4H<~>@W1FT ztTJr4$?$lhcwUR-)etaKrffE>F*r zfoWqr39~$yZ+-Ev0Axg-Ul!k4!8PpAfdBh%G@{&sq#LSz{%Kb&8>rgUeJZAbyT?+= z&R(({?am{a$+Yd1yT`)=us+7TJz@;}$(H^s(Vw3||8nTJH*lq!+Z(u2DlBc_N~nLD zbx!5}_9$H2pLHbGn7Hm5Q88{rSbddErk%?oo7T5{J9j4(tewjO(b~C2%H!L)JDA=> z<#R-3?A(?NhUdAyox82I{d-#~f8+INkT){vuF+}Gxf6GDZaEsQue};?@HBj?g$^T2 z)RN4uAv1QO8e*TN_4risG6;Kta5e}=0faRUENZ7O2v!cx+v*qMuNooP^JkH>OSRE& zmpR_@m}1H2ZaRVNDZRNz0jh1IRn)vW*JrG})LG(hI=kAK^PQW%ZGi!aPDX8|477&q zIoR!Y5uh5q43bt2)oSIz!k8#~4oErd-JYgaoNzRe4d`?z-ch(3IXZ|+u!9V6ot>+3DmQDT`DD%&>gI#v3#l#xSKPs!k5WbidLBN@01Z8O?q`e>w; zTTPPkrH@8>!aRwbL}>0p0;c%gs7b__SfG{(52n%ij7Yx`-AV_^1*{M|rRBM|u>det%$c9k8i2>DebEHGunMS=45sl3zUhd+dsx$FI25 zZ!a^TWCe)&T*^crWtvN@X)yCMl(_aQbaeM(*mb|~G!mrSsdK)EYR^$dXwDwqdF}8} z{Qh9N0b9SpQj}rF#x#zM=KjbvlR1WRS<4D)so(jV!uO z+c=q=GdkXy&>#R(y*4a-Hm$Xsh5sps*ycHElxd#XEG(oKM%S~WdNiJon)7~5_mx;| zRD?~ky0fe{6`r+wqpg`i%x)SSto_WQ_j<0BC7)QN>G69;Q;>E~6e3Uq-gG*-Ed+ z&RnlgaLuS3HmvCAU`-u~H142nNR+7u;xh!@=6u*NX0I zfju0?M}Mc2OOv6SrO9t*h~jk8v&f@4S|?3CvcEMSGvPZPz8%Ab`Dh_;iWaQZdlUiZp>s*jHno(|d6z;Ymb@<%Grv!TD)=o=J{%fpexC}BGQTg#KN0vV zxG#b~?NwF2-_KLtUe6lAo8vSSLEzXW5NmkgQ(*n;m+HqW+s5`V^oznmd0kDPKke4DC41 zx@quS)j@Z@vx2o}TfILx2h`pme8F!>>3FZ38Z+ZJ0{JrK?geTZ+1z`!Zlx1Q5ZNwIS7f^mao?{O4uLWzfC+(tMa*au>0;?QScALV9uji%Pmw@$C&pm5G00{tJqK zgm|O-?!APZrC(_2Fo%BFn%D^!`X=@WjGR?mZ0k9Iv9#NnG8+?Hj1}<4gU-R9`76;Q z3Hke-_PmxE1K6q5w2iA#zjn4~IRM$7I4vH(*%36TWWm<+$cp0}@)(=aH;OrmBwo{Q zDUo^CzyR#DT!5r!`8+stIH;;_b|l@Zh5)l@yP8-RrtMHPIchvko;ck-3*EDHSHK_D zD6|=rHcZ^)#BnU+;`SW+H(yInQ662p?Ke3b$v~!nOrEu!~8@TdT~Fkr^WIsCESe&d?cO<&E^+>UtNK!^zs-oi7EKCX6VgI6#qNeAk6h&R>_Bkk z4%xoNX!j+uTzEvuKu(l&y0el#$~0k_JYqB+>JP9u8Tbxcpr>MHK4L5sE>9O4vozb} zp^fJwVOlG}axlJx@QYq}6yX=V@I=DeZorAe48yfJK<#xppV}Msd0KT)Ed_}hLrP(Y;T5SyJtwYe}-g>J;}Ncz>68D$a$D+-ttDkRcYc|7<+IejP>yghB>+p#<`RE z5|)hmDw((MXAe?YwpodqbdHKOJEX>$>T--z<-cH$USu^9{;ZLeH1b@>jGGJxkYQ4d&YbG^L8dzw0ml%pK{f~9HeliygIEl4bpzJZBZuqaM zA&Dy;ACXYjy?rZ3aB0FLsMe z4&9RC&Hfgiusf=3eNn1 z;Rrpt;&s?ybenTCg)k1awjzTyV<90~iaD&=3Vt%CQJO=YA|eG3P+!EaB>q|JK8k&- z1}FulaLU7%BHM`pE7&NgYo&^99bs|DtsQR%6s15{ij+xO%c+ zbAUMyeP|J$HR(N1c`EMZkEuvnKdDSbzWfP?vt9{(288XNJt#mtd@5OIPhkmo;EQMZ zbvOxgkg7xTnwCG0y&ar(=5HLl*`d1p6s+0GkP@B2*b1(&_w3rVS9g$ihUNF@T0@gL zh_d&%Fh1uhm)Zt4k&}U8sE=oBp2Nx1~O1|lot-l?5tuK^?cnOc4>G!+s4=*)Bt zy!1i)YP=K@@o*HAl~Z{h0u`<7*`aEFASSC(-of@M*)^uIgQG~=yv#yy=Evk4!#c>2 zIBQH*Sus%dKb}EFk5S{5RT-NP!J2c_Id~fo!J3%)vYQaR=xgK5MntgYNc)At1#1o>%p~I^wT$mr zDWJqdP>qJwzByoc@!R(iNoqZqedYN4{492%j|${7iVWVGWV{@#t>u5solnkK7(aQa z^VS;V3^?Cj;lyD6jSsywGUF^l`yydHJd)9govAFL%+_j6!xCwx!o-{LkLB(WVoQUK z%?-1@N?U=+@6puiXNL-nTo>@BA)WZY%+BoA*psNIBIdL(;*)KzJ&G?z)pzj2mtJk- z>(BPu=)W z-i%f3_zu`u>)r{(Qt5ZhE>{tAbYBHj>s~I)F z!$8HuOK8ouQjUVOt(1*4CW}d>G>tJC=M!s&+x@>zSIXzB?VY!0c>cRE|MuC=7+0Fr~++_GYg@c6g4^VK7%BGWJfd}~v)*Or)CBu!1 zDoaJ(Z=x0;w|ICP7C##kH4~Uj6v6RckQUH?vYE+zV-~K%Cl5oC^D_#wABD+W=-u`K z1W@ZjyB>^0zyFsf!9u$pbgjvB8ky3EXdO6K&kU@*p2jAAs0Hn`Gh-|sH@0fhSOU@C zXE}SB6C0DK3}|N6=%lP*-A-^ldrTL^M{4LEtQ|omF>aT6$*|t>a95Rd%Hh;cPg|Uc z&9iJ@r?r;cOVs#Lk zo15BMy;(b}HO-6&PYTw&!DeDS`~VF-drAn3FI;Mv42a2mU(`_hm}BFzVJ8LWt!TW= z)9=G*ep>8&1|t6MYBJEb%xt111};a&+Gd@lXv%L%KXEFg2RU8~bK(%jv)~IP3C=)^ za|<|SoTRzOx8Kf%pxM$iSj;XK^FmQeOLHJ-@#Ssqogg`NXuEM{=D+{mpE-I~AA6v+yV$b(?W6mREB{xe3avxp=Ax%B#89Lgm%G?hFH! zS9AaACMd7wIaiyYyqb&0o1pP(zQF|L)m$;!1m)G-{}L0FS91j!r(KzsO@FT!BZ}hRJ zQPmCkU53~brj;e>@j%fDm4h{PjW5oR@7Swq3rDgSOl~d7YB+`@W(LyKe9vfxgU(#F zRE1->cP#1O?AgbAVvE-0#*)AT@k2jUS+CK{zSi@U}(yAYayg#?O=ndm8FN&6) zxisEi{!rTZuIy`Wz*k>~BP*{q9^pNW-5pp2v6Mgf_i%?ZcX`peN%9BpBOlN1ATW1K z`-5+OeK&vbZKalk@dtk{MdE7w!8c_`;$H@Il1NZvw{4VLMOxDzMMlKK zM_?a2_>T5d&koKzJFC8=dhn=gPJpR6skwo|2*7yFBieJ}%NsX|9`mY;z%umR14P;P zo1e4ikhI@?5jYet?Khtf8Trl6Xzw?_KX@rQz4>-KIR#WJTcU>0y4)eQUYwTKc9YZF zV2Ff~QzAtIIc<9tzxm&4ypkOFI9L{CEGVvZp$p#uv|W$<2dKN){l0wh%Af$l6}oFW=vtW zcl@&gzYGyFWy(;G6%g_c1gxewYe2EZx%(}0xyOVvpFt!g3;WT|p+u@=!COWkN1ZPp%p|NI z1Fm3P_sJlt14MARp8`>R*8)K;AP~8$#1NeBM?3EmX$i9IpCYLBm0(=c1k$`tnufpl zDIoZO2r}Xo!KW3umoIrDYZQ63Wq+0;yJw`lT#-6gOv?X{wljf`vbz3$5;78y_(TQ8 zswL=HL9r%^8kA^85}jz&SOjs$qKK_lstMwT!AT&~F&Y&WtF5^26*rbqLc)%9iC8Ul z1NUc$2yQGQ=KcQeeV&;oh;9Gx|9$y<$lT|7?sD!q=bn4+x#ymHg+5LQd^|xPzq8uh zNPQd>_;`>$uCyPA=;PjjHVgFeMf-6tecT)<`OOkO{?&f$jF|3U?U!}m<|Ew?Ia6}g zpQegz&T~kFyhTn!ORmhyZu=;YSS8$@<;*JU*7i|B+v>jY74g<65z(Sey?Q36P+v5= zjowe8KBy8BRenH_IwU_zQ@7Hr(#RT#-$S~=u{%U9u#T^awt zX=ql3<=lc2Ui@fJ#Gkic!-2ItK**CbUjTl$o&fM^xX;EWAp=M!kq4cracUBjJ30-g zFt}r-2_^*g*!BiGyAMY@19Vcoz`046hr~)RwmGh+WVtgtzY-1_TYgkkcqga+N#2d6 zx}E6gKUH(-P%>w;W$MfZQ_S_1Ec`2++1iA-<7mh?er;yHzjUEiMYse;6GytMfjL&X z6|tS;+;oKzB>BCx!;vUGM+H9*(0wokQ>T!}qdV)*Q-G!k2q2s=B4Uhfw!S`^FU!N2 z%{%fq<(rqSk=h#Vu`q=oxAV05KDOw-2LdYGnb}SS_29#i zm->^cNb85T9XTW%+n9TZ$SPD57G)fe2`o*SsgWwlxZ@FQ?VV@tS#r;vEvIwDX%9(fTft!7rS zMx8MhjOT{}#>#+3muT}KcoBFy-5&rbRYS_78~icQmb_Xe+XEdi`Ku_ND$nE>`@IY^ zSniTnN`8vfGYB|Rn7}1q8ns8xKt& zn|7mNM2^^8nddGPgQ1P4?F1JxgTR+38#$R@V;fy8+LZ+u`kq#b9Y7wxf0MV&n3A0} z;V=L#vt@3fOk}R!>Z*!7A7X_6c`95=VZ=|$k;H4drl+NcyRY!r&}z1rocf#K4uM;3 z2W&h?tR z>~((j;#N)Ao$hIrvW)w(kaDarDnB^)ZWt&KKk>gh-}^YThR*joH_xLlh|Hcks@+~H=zf-ul8Tfo&`;E!x8Y_@&LmRud+(C_DY7M6~ip?WT#$t*i{GVjZ@ z^l<+{F5@EL_N2~?d>c{R>U;Jk4!QzPZdhA;b*wac3~~Uwq|@8@&5yKgKT<-iQNLEV zjyh$9%pAZvn(>9xs|Hp#|BSd*I;Knv`j49J}8i)uzj6GOw!tbXnS;HcYDS9|Hw z&_-BB0uz+Qtg~-g{-UQB)2h|e*NF;=!Qtqbx75UV!o_sV^yOPFX0Xx_c@;@=kC3OM z6M6?czWow?o}&5GnYG1ThVwNzntZas`wHk-8E;LlreDRaMj3P;CV$1r$# z;QSLku)AXSQp7@Zj)5rua9h<>-mCRSA4N2M7FF3=3N0Ob+Pr6xH(BI^NybA2Ivr!HQGh(@PUE}xc@QC7fd3hS6 z())h8O&0KWluhv?!*Ie1J?_t6AJW+bt?YkoJkI)3hsmYjp%quMzc zV-Bjo-#708T8N2*VR z96&dhuUk0L{}|jk*I(w;D?qeZD9WY5LvL^z-Zsy$o;IKu(dbsuZWAZEDfm-UMby0) zjEIwP$_dl{(5i0u!)D_VQGV1V;jnY_0yB3@+l=?wl@6s2NaF`8YzB3f3bQ4s)H2P8 zc1PfQpZcR2j^(^el)gsR?TC$g*y5pehAyNb37h5&aoi!aV*C5FA&~9QuwwdiCe^!Ffsge1!pH~3 zJFJXvHHV&j7os~5{Bj}Mk#ff8v0Ot}t|D@p@x6vVHDu49f~kKSuc4Jdp3C zc(UOF77&r9Nj*9Li9?W6-_F2xe=lgIw=5)_AsLor2nHY=>ym@SKyz{8--jQdo!A z<$hikjpNZ>A8zFt2S%dp<77sftUVDpLrG>?M{Ulo3}Z^igT{pBw5~k7%Qa$RT6hLI zy)V;MsA(7{JCG=LbKiU^R|NB6-Fa=@9Gix7%91rGFMFtFMBUeVp<&h=88ACxn`w z`r4;5F=UZ@^b2B51{@O5yg|9MiC?8fk9(G> zg7uR*Be%}olbAfwrsu;hz)DrxlgU^?;ubkK7a2kN8ncxzNDrqrJA}VA!sS`Md4hBy zD}Dx4Z*mytz(AAk^Hz`!w<2`&K~z{efugt+DOzIc68?Dl{QRCYIyOQq%~XGebu%0~ z6XuIBPQ;;b=CLGG7y0@sL%Gtn{O5z|X~EaWs(IfW_y<~+Y4bDF>1k%@!Gkh}^1jby zKw`N2r#>&fJzv~Up!WPvSae%Cdx+X833RUqjilZcK;|6FUy%1X*I(q+|H-hyO9r#f ztnNeWoQ5`Dxn}^30*(!Z8N`iM-54~8)In;BptHT5n=hcYXuLy8)qw%f0r{mt^xnAL z`}*o(_x;)@%6Ud|Sz1<(;f%*R_dWa#%_UW0NYNM?ji-QUw2e|0d5(S%fYsc1RAZ)7 z;#J1~#4PLMEQOsJL)#{|&*w&p%1%v%{isk2?78eNgn@O>Rie5ME+RY&TQzF%nP9YCK^ed2gqr8tn~_~VN3`j6CcG3 zLDU_2hKBZ?bm(1;ma&VibByYo69D{30p3N6-h^K3Y0+EFhP+0{O)t}jSn1PDAUW3$ z;XE&DH2cZ>yH|t8NZk*0wKdxu9_)G<8MFF;g~W479QGk3wS(&3Swhd?Ftiit3qf+n zBVF|A7s$IE(oJ~=JX{SP6yNd(_sUNV)`~D5K%L2y5p|;9WT-QO0#*yYP}t)fSE;5V zt4>#^0ous`l>a$Pb1EwF8ebp4R_%t`D2_l^k@5C-cAZ^0d{T?iU$^ArM z0e7F9DS1|{640!mTY2LJUa*rhY>CGy!DjuUWOJZj*HKEu%Us5E6la(8hIwI?d$e)w zHsoXB4*Av>i+m^4jF&Xc(?rGupGf`{CHXGP%ypyTMKA1Rc zX8^PGeBH1+ZF>Yg1-f+HZr0h7;%wBFesaKe3Hrj*5Of?E)nI!R7kMysKGp?FJWC0Y zv*_ClIj4}_^uYZA1X#izZ|Fh%1G;GGI+2nVu)_^t`Hrn|vt}5RbnwpiEZXW4f zp#YDy@^%CFg;hgJocdp*#_-U)yzyGCVRU{Xu!dP+=HK%02s0J0;Z%ij13ryhtYGY1 zjjrKO)aBtb@P$-7k80idT+-245PiF+3`GOW>cz2Y=HE~T*RVx>9b zFKS3vdqi4mC#I62A*(R>M0N3pL7^M4@wiTzqbj06$5G%hbH2=DfrYS>SC=^9S*Kwo zZ`g@lQM{a3D{w=eIL@*K2{r|k9-x;L_>H8>aHUSupAlIa!y_B3!l!Fd3Wd)gb8lr% z?XLmg>jU^dF=3z;zQ`x1{%s#C5*@6e4nxn@RSPg1(WZ~!t266(mI3HnjXCal;1VAl z%<$>+Aekd_Ki9ANGfW!{qa|4f*dZBH1$O=i_EVoRqaakQK?T%>eY=bTslNlvP%yiE zzTGr&*u}r{d1rq~O?2TB&ye%TYLo_TT_Vq^&_Af$9M87x z3d0p|8iVw|ALXfwsG9px0#TRxa#u1_lVsg~n*V?eu%6u)5Xbm>0!WEJD#^D$ISGMx zB20}1`hqZS5>Egkg&87Fo>BSw*&4>HhJpYzG8Sn!N5_1v?X)`+unC;) z+@#IX>*|M zzds`AFQ!5d)GPyPjV-a563JzO?Dvp8vzfKZJ)6nFgYx{3>^w2)npkOH3t$TvaO!mm z!diI`cHg6`Wd^=7!FQ5{ZwK4j=^lJp8#A~$kOnbh+*1g8{fI9GnP45rd!j)o-jY2> zA1nO}lZe$f@~F;EPD`FpCHePa4Tgg2``aN^7Upcd~zDN?IzwVcAw>e$lWZUlkw%v)mSD55ryH&KC2(8(l1<19GMdXgLTc6~CxXlvj zm*h^N5@zND;On1mZWTho>hH!LJ{shvK9WR~->RwiJJ2W)wyAf?L)1HmoWxz=e7`x@ zPmmLHq~9F&uZ+KK&iUK({jo1b5&s65rA!-ksW`%5_718~UKJP{mr^l{kscGc1tnj` z0i!XOL0{-Fj@bDOu{Wlv(CI&dI?Ln}oz&&du zS7KVj_u9<(0V0 zr)nvo^_-D@rM<|!9Si3B4?t+Gv3>snv;TpwIOLgpm_g5qUs@qCU{hugK1?x7o&8nT z*clFEVzT3G1|=+D=K>h5QrTcCOSXgE)UIOJ^#08VV$s_0IRl&)hsgL z_k*G?3$bUlit!2h0#lvcjZ&PKSm`*L$+`Z_vCTD;(&Q|LA3Sn$R7IL4kx~}=^k5R| z*CMYBn66)zyNKDtX)(Jfbg2Gd&}6m>tO;7<@35=(_R(~Nk!czF&4vISQ@gCos>r+@ z`t41(QfF>MzdU*WC-U1c1;F0BP*U^u`T+etyU(ZJ;c5ESG7DMyt=`KA?5~o7iTo>V zP0M&M7-j@&x+h)J7tnQVb6~%)JhAt&a9xEwVYGyemF}V@H2w84yM~3f+CNflvS_o% zUG53gD7s{J4fB{tyg4Nw5o_tR%+%P5NYA65!w{ehV*v!X zg)$<*O23Qf2p3Yo4j^sW#7Z|Ivn}$+q@n3=pvnK9^)j`b=(RjCP)ombEdxYG?UxfO za(fYwwiETNK}|}XHtQ09CH%=R)LMQ6jiy(^1HNY^{M@|+MnazEW){C_C7c1Oz#MuA zJO%^-WpC#hHK&>m8s$8_iR3ht+eKL*0GOdeW?g1#bsF|Wu+rYniZ#>$hp2kV;k%(B zk)<9W43NaYZY*)N4pumpUyy$n9pv5J#0Xm3mk$N8QmG2!x?NRYZ~f_mM#dyT&c3Q+ z4=s?Zu{cng}+^m6!Wgsoi;7nr%=AT>7$8|`7! zsm02{k;uzf>8|FBcKLo~o4Jw<;-=|=mQJK4t%YmOiI}DO2Mi(X(xd6Vv8efa{6QzP z&8jnAmI@aYugz%MJG1({p5Z8b9mU#7J5R4j>}EHoF0#J>aUW}Q`ucTW3&i>W5KI1| zfq6bGV3av|H43i)>T)LKlL5p`14?{ z*V}Crp11B{0RL7jhW&GQpKSL^6b0thek-VLD7iALDBJ4pfmT^XbyKS%KxRc`@;ME^ z<7FW4^G?G*nJ5U3ZdY6$nJPAZLiRCR>v#pXW9x) zq%PWO``Q_#(@RdrQyKlhx9?TPe;}RQmy_)@?D?Gt<^yS4FgH|DU~F^4yIR*dH$4d+q=&gXzGhfx z5k_C}PV?4d)rEX2^JQ7;E^kOfsV3Oj&JM(*9cm7<~BOW>l)^%ndzZ0Hz$0>R9f&TIk$7Tbstttanhi--Rm&@KqFQ z`#7(>$GhB6U*=3(Jb8=5EWzzH6q>xH)1-%sW^9dOB)o_uYVFXNn*+I=DeIx0{4j^& zyU{{sMB?Z0QMG%Fi8tsp4yMgm=`^M`%>&hrS)$yp1$v_Nn_VI9xX#Q7dwF_S;{FNw z?h*IqH!X3UoAwekrN>euqD|)>o%%;;+3=a1mqJj<>WcMun6bUZz5EqJm;-56gqcB; z=1hUFU*zv>0s5@~x+Ab=8UjF^|7Z9bpLv^q@|Ju$1p+^Zvij#t-AqFSR(0yvlYo!f zSaZ|CT}%gm%yckyCme+BWGhmt*%Uyr?KHThzB{Q;3v{O*QZs3tOS=RKy^)8iMCdxc zQuSD>P7a_>>VI~Z3~Sv))kZqSN`IwtlW-e4>=rUu#y^Ge=F1uGzp(IK&S!C237qP) z=yB8|?ldz`z}IA~h1Uggpjgcc8vu%_AlaTNO(#~sz3PO!73`-LliimGz>}SZXa3z? z2jVf1C{;pF6QOa;6LKtwnx;x$-I+G>en>-D&Z>mVUe68};c?{NNh_LQqCoS2at`ow zI1GLp*&ub#0nq!0lLM_O=J7} z?IjC*vM(v`)(JCI>4ragx;HgMV{HZQ4;Wu*Mby&uvqh;Zw}^Lamp3}w6>`fclPYFj zHe;e@7Y&wnrpWm^UZ1*y6wEhqgPB~)45>Hd))V~<%a6AYWmUGLi<$mz3;PHie1 z`L=-dH~{XdI^9g2?wZ^1^lX6%%&kSnQp=@XCoHcoxzwOdC@p1oc|8j!;+r{>83^+`F5a{2LxBfTuYTPm1zi!*o zYq}XOp^M4VwST9ZH|hRCuO_^2?Edoq4ZRLwu%_vCEQ@{k?>2Cl+z{x`?{4{T`ZK5J z&-X{;6K=bUEM5CE)x1gf$I>f_PWo@Uv<ql3!m&B3o4ahae zG}we;=3;n6&pKxzZMGgefo-0pjf9@QtEe|=rHDE1*(4BY2o$^?6`ObtBvZkEy6 z-Meo_SRN_m)vV*a_Mf6E+y1q*zhjs7GmW1|HAF#rT7Qxc#bq3%XNYC7XJFn$0ML&b6WUle%wdj0!dzkok4(K)%2W+@znIh z>i`%r2CsU`G&;iTJqhs3R`2!h8jW^<*EXI19%Jx>O?aRC}!jb*bzBP`b(@X@M z_{)4N7~8hSeDBA1iuB>9c*VxAfapk)0(!%+Ys+{%ibwn<_$xhPzW|7F?_DwPxIdDJ zJ;qKXx7%|Wr+x$<&qu$u)x_|b2JcYoZj|l#8~sr9r^wv%Is54}3}rN-r2KJj!(|H~ zr4BN!&dD(O9SMI)nfOW2;PbRjnY>6`6VT*+eLOPv48}so4F9=2(V)v5cEK9n>JNwgV-sAB>X!^j@Y1 zS@a+|JutTZ$(cT<#fW1Vz#Oji;fXl2cNmNJ@L?c^W$SS{AW3c%m5B9945Dk`9+Z?xai$0)EJU&yN|XaY7zo2G@Up_76v z^_xoq29o3@^qpRhGjW#c!>5tHFw5Q7n3bKzhggSj7`0!P6W3e_d?{AeGVs#RLWF=hSc3nx^zdhPq|Qdu>hYbEv63FdmjprLV}~ ze%{?A>)h`?6!+CQfl+dVSqFu7%?6q=FW4Jc%*~jD2I}}H@xM$n3iDKAn~AHL0-r`k z3vkb&C4VLmmtSWbBAxp0H$#!f%~CCYGfQ#$dxDB*=&>&)wJ?)AusZ>Q*oZ(&j~`WC zT}d382azKBw{+^GUWza^D@nE=aV&O|sjKz2#3WskMK-yf{>-6p9JK{KYCWM7h5_H7}_X8U#k$-+`og8~Q5w8;{ZqlcKc3c*wOXH$bh@RZ$U zl8=&XzSAUUlALKPn?rK^9p-H-$X%1!P`;yudB&MlaAcpmCS+&T>_<~( zx>8f8D62^5WxdUp$BM&nWS@&EQ2V+VF;CGx+ricM4zs-I@iv{eNyUyGx}Zx427_!-D`_-^2|%&C;{uUkx{%k9~N( zP?5@xZ7#U-g6Np#J|dPGM9eXW=-dVod3dx=Tj0!E!7Y7}#P>@e2Pwp~UPu zo|9^_gA3pp4`+wh$G?#UW>f6r>tUI`7GE9Nu`LA?m$frQa-XKXdpFwl zaqi?#>!>Pmn?cBP1|fH$&=Nb-vX*muD@!QUA5d#-;qchn_=4Ei**LvcEac)NFVhlTOd`P`jh5Sds8oVdGAoTw`f z*SS}-puqNacswS&q%kqZzOIPJgdh#J;9+b-Jj0KP{HtVNUWtHY5?Z{azpaA#_P#n# z3+PZV^5M#rmya&Hl6-$5A1{yQ_(XQlqZC&4fO#Rz+6W^2}t6Jc&i zXu;P0U6yg!Sak&LQ$~^YfjAl!+p|E%D^Ki|b04NFEO679{M@}O z#$o8v%T-@(S+a~zY?99}!|9hw+!yI2HPz0NBS2o-5nztK*X}Po`|f&Xy>7~znZ?xL zUQ6+g$KBh72(v$xmfj#BBfYgOWsD}~iz%^yc3GeH<0!O7X$l0n+^t9z-To z#@ixuKDbnyY;y&?p+k#O?kZvRMU55;Hw>k4WwLOA`R_nV6@h^K;<^ z1L?Mnx15O05EO~hJ2&@7)lQv+2Nc&=mB1Vx`(45@K_tjHRtj|#PQ>m}0p5(#pQ!$n z=+6Mw?&A}&>E74AddCrlaG3X_6R~N$Csmz@HQQ8KB6g!q6(wSgHdUC2iDP61fcw6< zPHJp@SU3rooTdSVZg$b8Ni^{!r)WV`;=yo<`F)b}V7zA$$B*@^v}mb((MJbpSVsJ{XyJfOyJ|zAF z7GXMb!p8pWldVq{1$yyIsy5S)%24UpX26>#oylo9n`w?_skvc;ZF|m?@!4fHaDnJY`JPJXmqg-k8QLzz9x|LLGK;pqftt~IQB(Cl6YBqWXVyY0;hJE(pYcC{KeCYn z=O4LKsJ3et3cW5|!WX*0HN6{Zxe5K>c0u&A@V}jg|NjD?S|xS`CeH(A6<_|B_!K-y zLr7ZOYUgp3DfDh*e`QJH?l?(9I=*<~h4G~=2?jahVE?Q1&K`s_v^Pg;;;V$Z6M?CC zIVn%Hu5yQ@--tt`SnSW6_<`GC4~h*~*zE4f5JF;v#rcW+CX5Y?wGY0L;BM2@Jt{EV zo}S22&~A*Y&W>l@k0?RGj;l?<(`>mt)SfTR}DZ}&MEbKbJ|8JjJ z{|>MC&9MZ#J=-iX{}(IXtOv{zGmmv;flX?~TWFJ7@fO*nR=j04IY1fy(~5Wf!=~OS zd1u(gn-xLRaR{co z342-*$>6~qf&CCed2f9h`?1HBtD|GSoWsnP6;I(%{@AhD6Kh^cm`jT_>U%NU^f`^$ zX2t}u;lu;#->um-k^59x0c%0-|3Z|avUl7Yd}XxwjvMFy876R-!6I6KUJc`j{}FBM z-^RZQs4vJhAcz0sZ(Wt&utH8StS+ScHw`m=*ngWoNQNQ4F7d^ee?CD0LQafr>0WbO zY>VRa)h>-~&5m&HSz2*)j}070YmIJvJ34vE0CnlrTGJ(*2s$2^|D=H3(*oNu&FbxP zl)=G{7u8!nxU+561jg7I(mSPOlI=6^i?Ytnao%Hd47vpn9FDuI^+|r z^^sS0V|#x}ceGlZP4hDK)L;wTFD?A}>#wHaQlC+Zwi@KbzR&yV`pat7Q!ossm~&9tlXgS54C`IjnXixPC-TAPgHsJf|^N zN?80e&ZNI>IMHc5RBsZw;ib7@mNNi`JFi>}$i|(+p<}+5{)1*OFJGB`cz3Axt^lL> z?sA9PO>g(}-h#|fe=l7~dT%d1fb7NDrPo#z*S+RA;{X z3XiwH7XQv?jLBa-N?(bnf<|3OO8=B@0?V0sYi%x!iW6he)_T}So z`Zx|m`Xt+1AJ;L0`8ZG?FY`aTIr?;=@EwIOA;dEnki{6SWFh@QvSN4ZReZc*l;l-P>}Z#4P(#^E17boZjdC<-jYYgu$7 z=ZUbQZ8|2}_I>y0zy;BZ7wBd`#if~{(gWL~7cVz&%t6U07kvq}PQCV*R15w&xnbUb z*|Sk(VTBny5I-w2Un~$YI(tW{UB_=?<@yM3-9zY2q9oQy7|;$?#Qn$FM%npl4R|Zr zIfK+?mucg0vtgz69xEBTfAF&mwKUt~sWtEv3UiOs78Mf2=5N#_#ccv)!2kWL{#n^( zoH#cZ3Ir~P05abS$E9xCe-Y9S?9D|v2r{!tBNm$cy?JzwZ+F+&IvdBRhUwAl+;B9} zI~*k%Sl)zPqS-8SQ^(Mpk0XUc`}6w#S=}aSE%j8E>GF?|CwY6CRsQ)02pt<52FK3` zRd>P;(iSl=Es7=vg*(dOmzODnzsK6-qm(O;r+gOtvkE6lpWt(GEANvt()C_%>Rp>% zb8Zw<2wQUpRwAs~Mto~Sd3APK?PHX?LZCe97cut&u62*2V8`RYyR}miy!2#c$_tQa zKQdT}Gutx%Ams=KYV1Z1c7vw#nQ`pY_acEl;2vfAmj56XYcO0%%QUdo%?yU+m->UD zla%{C1sDw7Y`IIkauWfjV+r=y(<|fu)S(j-YzjM_CgcXJQ#Ikdn(|m_zjdJg`ctKM z<>6)qO$kGwb#3Iac?>^Ehc%EPI=yn>QnRnXDd7NilvI|%SMz<|`Gyvq2JjuZX;h(u{N1-3`XH!d-R{OI$a5^|P4 z5fZ+pq>@7!6dn|=OzhB=kdIUDCxpC?f*p^`Zp{5R$`B;cXg;Psf-deI@N}X?cyaFr zYBHZqX3-z3n#eRgK>L9dv#W^nRD0L^*b|{83}$V+K;sziKEIBqx0M-HDfm*`b;P5 z?Y+0v4eFKM*9{U!X?mIk{l!KH^C=N}p0UwXosA;Z=P{Bt&NiDe(fH>GQnD!a4}55T zdwIWkGxN*=Bu__jZv)r1j5|Omp`DM+qSf644N{HWPRYbk8I`9$M2#;%{t$MyUeQky zN1^Q)t;Y@VjnP>wL4`;_qk0prG`bq;*S&NB>A!nvX=Y2kG;>L4p_i6sHs4E2GkcM= z)y(FSO7$@<|&5ZT;FJ_?h1zU-%jVn|48(EUysLVxV?sx-QuYiVd z;uXBq)h(L>B)^x^mJ{DnJ?lYHW_{DuKFbl|F3Roz8JoYIpJd$573$4a_*>jCbuJpC zul`E@2(x>$kSF?$^)z+wGA0qH;dRlSt_}#;G0!&d&c=F*z$w${=X5OrP~eYIc7Lhq znq2pIC>CvKjVeanhm>eJ(m=4(eGN|I17hE)-%V8wAxA!ms-|(-=CJ4z^ceQlfCYa% zz>BaqkMmOf)4a3p8OAthC|Os6wx*+^k;xb!n8ByUO*^aHDdW6$2t{kcxS`Rm0EOHx zNMl*^Ww>xp1sHgCq0{)3iov6r-A$seNys$>Ka{=-QC^V4-a2o`M%rG<8(Yo}$u99# z(L`24hTq=q?UqLy_M-auIawy;2SoiV25PL+$#%;bpMR9$jD>9KNYOqjP2;b4lr_0Zccv+O`RJ##2=LGo?FHn3qrLWgS2o zM6>jGcdQS<&Z?-Ft*DQMVG_9oc$8XF$1;?fx3$mUhr07>D{#GCzRv1EI0BY`UFCDM z>G)By(VTYTVeHw<$Dr`dh_>&uSUt{IXp(s(XFeav8oRVCx2R|dSMc#&wwJQy=KBDW zbxTdMh-A?UlayaU;S!USUqRVilZ=vVr7mjNjuC~o)hhOy$uNp!`^zR-N3z*M(@1hg zt9jc@a`k+ZoKAB5OC~vkGoNMbiP-J^jX^e0wr(qJVpo^vNDs+>e1oZe z%POjGecfAUxc|7ot6Z~-Bua138CQ;9(glkoQA#9H$^bO#+wVpHOka}L;`rH;+;A;R z>+HhL>b~C1f^w2~nK%J_qm$Qd>RmG&?zr(=gTeRTH|Rj|3iNO0Zzat_{R6F- z?sip3YR^wABj`237PCx?SM`#fX9{*KZZ@s6vs$;Qpk_Ah_p#{l}mcU4Uf2;uIXWVe@dwqz~HX0BbwRA8AH=_T?-;Ru^vaSf8CBxcd zyHFWDo;E*x2h!O&A405StduFmsh9C6n5`3+Idue=g>*-Dt~nGKWKTopjRl6lz%2_v zbEu#(qi}C(rN~FDrYUkLML^2JWMd%n4(ZJ6?T~%JX5QiF>woh+>L;^xgq*L=xt+Xo zf5E8E^k3JCEW04vtS~OWTu(3abmagJ6NF}x`q~*4YBj%u+8LMT8qKp(Zv(jHSLJ*3 zA&J!d{=k&X+Rdm0m%$w|dtu$D%gT(&c!<9D9uI8vhHOk7P79S?6;beP$H8_{9+orwR1Wf{Cb`%l1W4Nx_H zwl6oH!9JonePmDwe9sXpf%G6f2)vira6j3a+W`?6)^#JdE6G+0v3O!$TAf%qGl}u!HR-!c}L+(ZQ&LQ zn=`w+XPEicbSX3Ye++NK2g6(Hf!vO{LhYt;@MGuPu$xQG4Xv;$n$i^#8c&Rt@F>XF zFN->|ozcdHMuXaiv|s*g%_l-#EVjD}v?eoVVNkeAi5@1_pn2Em4m$y*V6gdA%V< zg=w;&&%e&|ds2}97HN9fPOsjOg!w2kWKnti)5{02MP?DS%6(>&=z~R9Gc9|27oc+* z*96H0)6aF7tm$VN5UZc<$pL*m{Ci^a+&9KL1D5e0i!!~y=`oGD;nbd1J!={X`|9)Y zUAERW{{Ro`19)gV!^2og_gi@Q_Rj%XsM)p@76!S8As3Vy;I+5T-Pd~)HiAO~ZD;RI zp%D~%(}}DwSoCgNUgxgm4b&ebPPp&fZ1wgxz@?pDK}wY zY1`x^VCfh>3!s^Pjv(Y`gr?CJwRyjz%&)tiN?@fQbfdi*|&pY$1@a4I{aNP>^GL?MQ2ZM2UDu5_fX`V8%=}xwhUbavD zZ0;a3VKBo5^~!;S{A5yt7rH5Tr%_&JnaSx=Z*n?J zBV-<-zJFG)ZC6`eCnxBezucIPOMmeO;EeMH1o-`Ggf9VSW%M~SKb;hqhukv_R1+^T z5NTzyxuB+jYA?6dE~J-%d1JNTfO{*|8((|!9%u93*){KUKQF*s-~*FVWIH>@H1p1V z0$vX22SIz{)-DbcKd~pG2#nR9A{Gcs=HnC@imMwr!o?bcU#@!i z44olV$TK_{s(h_K#)!T_T%5el&eeaWmb#(g-nF&PtYO)e7~Z;h+15oGbHlvMiP%<# zbyFh8?QKd!I zzaiyb%J3lDFUdxs`4mbP?vWV`Uk>+khskaD{l8kZW%xzw-&d*CGj!PXTQz93whm3V z-l}aE6Z61n?B7N8y3FJ5BgEaS3_`AYV!NtWU&>{;+n?|0RSJW2GD@%L=wZ;zAL%>O zIa3p!8|nQH!{2`hQsL^gmZq}B!s9vytJSwte+`UCYS)@;`MYxsR)+jZ#`azMIz5Kx zTazWf$l&t+-@xy(36gK5K-vUICPq3hDr~_!Y(d`;Qx_n`7>XNcQ*tP?1K?)fQ8>>Q zK0|nJ_lvlP@KJ6v|3Ego4Ga~tUidF1R2m=9@BF`SOTSaMN59|LAi|9WUFdh7N56wb zzkY_qS3MzXw-(&Fp3UrYaY0%zS-X28zxZRr!Z*A^^Wy`EJmXaQ@{(^)KwqxGRWHAr z&3ziV1L9^zpj>~Is}GX)UUPbE;`xTGmZ<0ZL=`!oHa6hWEL$fI!Fy)N`DQibJk*kN zs?E1jh;johKCL`Xnp~@Sbhp5D0eKZUowL5)erS1ov1UzkKA0uvxGuJkQPMjIO3W$u z0`Gk8+~dHTABDqd_zh!BBBF0JmYm5Kb{YN#Eim@$5VHN0IJSI<>)eQ@loeltuRi`@ zxO!~lAZWvinqH8lAln_-&K5us=_08#d1Tf5Xwz-74avW&tg$M56xGUD$mnGwoqEC) z8jO2vm{X;_*~-67?gPZX?si(ZK;_9cl;<$}?t{rXU_ySp-D{dp1IR+DataUF$R##V zq{f6`xgdO0@!Ck+x;)C{vOb`NT&WNBsHgDe;$@&b1Q?35N8vm;v#dtbs9ED3Ti$p< zco^@>(+fQ>Am4*TN7kxpw&m8Ua2X@NkJE4ubrCizwsoJHDz$ToVUepE=RrjpB({3m zP!xBah8rjj=Z*`Sij1BdUkt!SsaaBtq>sZ*=VPEo1U|G@*>xxJ-mg3?2QzIW;%T9r#h-%PYc&f4{yTR|nMTY!5W z89Gy+@|Pt@So1?_r74qNv&wW<^a%{d@quomxp%rvCow`EvPB}c$gMwLgatvTd!~w9 zP7x~u6Fj;PGqTe9=iAZvr@ouit2(#%kIbl={Dqdvfq_-A3~}C6QGjPito4`C*y{Ds zfs5wUkx9|voXXf|>l>Dv@mB7XyWc##v_Z!MMVW0z-)>kKDq}C1Ym^vyRSdbM4Wr1b zu@?c&<>f;b#7DdozkM_>ou+T7Bk_XL4I3OIm7+~Cjj+n5k-7M2mB*htn~(flz)wYd zYc$?4kyK;TmHdsyZy(1`Rs6!4iQ1QR+ub_1|9D1RgK{TsSJ6b1(&gFi5L#`hCVfS( z1W7aOHpkyc+^()v#J^zZkBTNLW;SpI@A!$6_AYMa_W56R*5r3YnvZSjJ)myrZk@G< zvtk+2cKy1OZuI1OqV`4&zrTDdXRi@6IP9Qqv!feXvcm-x zjg#2gm?sQG8b`9>F=A$<5&f*&m*MlGJ;= z@$ezmvVy@d71bje6x%d4Lz&X^wUrNx`0R~-ZGpJ6KC%6~pZ8w>P+*GfxLf|N8LGcn z+-0b)&kR-f^Gf{KD_+uwO%?D5x+2eRvE@Djoypcf?zuKMU|DaKjPNi)Eo*z*F$5@C zR+21cTvI*J*x=-LU_VPWv~r+rU4h=X6c>@MA=jas|=WGa#F{!>E@lfgp^!F~Lz<%+ z4O?jlBh1X84k5Q8Qh|a2nFj2wt;B8jr({^8)awH)dQVbk#qPRl!%nKZOS8cFe!eD z5J5Y&itN3@$=yU9#f;ww&JIf}DdrXSuGJd0(L}GX(|9k$FcWv76;8uw3fAbg(y+!k zZ8A(nVvDeF^r6mVyU!->0mqpD!TZ!)BJ$otY;4XXjE*kVBu*7eEAU$~zn0CHRv2fI z#IVsoRH%rp1bSg-)danXebg=XeK+U!^6}hcL-x^Jr(q*wos8k^)OQj_>2pP0 z^(ChvpE+_HZHZzBs_Y>BIatA#i?UMhP_HNVcrj3)4$z;2^!?ZRQ>;IS=+B}0GdL@S zFOlRD5Bq1Ow7qBfvu3BreA)g}L-dM!!mbVX?Kz<%71pEdH%6bT1DQ$wTUrplpjX(v zVO0kC`|vG|`~s)pR-Vl*=d$_o5g+*5W zmqhTdB)t#mOZnZ`sUJ)RjlwbwFe14ao!Y`L6dI+r0`pgKEAbDCO{AJOg4!RVyyZhS zOduIgP zbmfSDK<$yv+vS{MVmVXh7|H~Md;w)>Ycf?BsZ2BHP;?HE*l90uO$~=d;l{9u#$t<# zjj8J!nWslnL&r-zeJcBIqV#Zqo%PEMDEZW9ZVG~L@P1?4izU4@?1X9&KGP_>{C%O! zY21z0K^yA~yJ9?qXPE(PjzpRaTX&JPWHz&&OLdzf`jSV} zqyJ@+1thD#F-ZZm{wtF#BH7-ZqD!aBNvXPreZrdTt{)nA(AbdqIjO;S!u&0lo&Ofu`Zq}RV{j?9ftcdy-a z@AQA)z0sNOjkig4Z}orbUSXztWtr|3WxAJ@|No?Wc7I12$6T+El^*e`MWXmA!QPEs zT9!AwdP!N&?T~T(w+4kG{L(y$Q)BuK|XdjbT6kRQR zFP$2o==KPPe3$=RwB>};BFCR}VrAFS-8rvP9qRSJ>`;5?|8a-t<}!Lb{=2Ts z=ydACMf$0C&u$!^IYfrnJhpU`x z`&wPSIR1}vR9)RZ7t7v7=!8xdqi-^KP8bsFEOYATko4DY6aFx{vyNw{ z{s#VX{sSdNdRuLO)>Lu;_2w;fs+uceoq07U$2!qW+%%cJ14&I1IWrCkS~QR9Qp zGX17kYi|;kq>K&*mTC1Sq(*UTB6RZH{%l-!bLxLbj@TxS=sZeQMCZKtd3wmQtYB_$ zzM#ky?8%B{*Q%xqLp=1}2_1810#f0oXD$Hc&J*`=x*TmfF@%b`q`X%_R?Y6*fKr+L zUh#@U@YZY1t{Sqs@w8qAwO^q>{`NUlKYWMECUa_&r3sr}C_)XmKWQ^OK?FwwbJ_*= zI378xrJne9(S>MCJ0!!056haFjf#|0yL;+OpdZ_8l-UKju}ud#^&j)5{K%Zzj_CAq zXZGNReaJqRKn70za^)ok69*%1^O8S0^>T}=Y8u179EXSGqS^0Ay2XMizF1bvJg0t* zYHS>jEB?f8c)EXy4eFgGSqJkf$6WyqaAWkATK~8TwTYWo%elj3BGq66&GSwXhDJXN z27KpZb-g}>&IfPZ{4z|{w?8`OMcOZx%H&TxK+mG zMnUwJT|d|h*Ej^-Ua8QYmxNbpQ*^a=8Cwl!;bUJ+OoYB-cnFPnfnpFgY<2^jYS?Tu z4an?YSiGV5U0FqsskF$@ZL#rn^Gy4LXn-c$5J{8^PL)@3zx; zC2yob9}_8F6x-T;!U;e=49Mx-G;p2Vv~L<6FB&uP*dufhSAH(lGNf=u;{xuj<#Dtk za*Wf3XyX}V6Bjne*K~&8YApf**59Y1{uAOr&=nKK4*xX3Sm#cmzBIIF=Z59Zmoot8 zzNxyw`>z?t$}s#ECrzKyv%mjg~OuB@uv$=KuT zN@hCsHFPhAOG0+CJGBiVgHyhyqrt3;hwd~_62`Tox^(}3l36R~N#t<YAKt=A7@ml+EwB)9{>03E51}ew2 zM%gVWaBg^(+BMvYG{hO(Gq4MgiPS?*{il2+)#%i}XtL#>P2-UHDS$MbpBEst0pJ<| zP#^$2f1}Wcf1`*${0a>i@pUZU>B>&(%6iz{;6nVr#8iJW)hC++Rg=BbEmYMnXyKpT zEXR=o3cNyrU97tNc%k`| zRaHAxr8IOi?=RN-NA*6zd)gdjfqd*~~e~E zP~uYeB2{*}$Enb}lqcKw*m0AEYHlyS>7b=`rr-8N6)yCN&}N348RQR&XEnQsz#8rS z%wgf;wO&8GL5!v9b0(F3*|EjhZW+#X$wJXpi}}ypX2z<{G__7TL!-M;vBvB9%=I&q z<^$PiAcOYLKu>t3HQ5di`uMItl!ZMGKlGsMN&YtAVQ7!CGs!~En>+QhZKcjlax|mj z?zZAlRGb{OU#8N7sg%GBPeQ+lI(O6MrIR$KaTsdVOV?ypW zzwQY9jE#N~f18tVznUNK@}hR+(oi43L|SEWR)T3GbqsJU4P|*w9HuZ3(yiQ{Nt!mHcN&>)XNb+)N=st##L{TC% zTt)uQOE<*OGx8`hvNHY}SI)7itDQNyi)nYRV?TeqU{Tc_Dw<{Ms3f!hasy9xzLwQ> z5uUG#NMJp+b~8S?lNR9E<hxrKmdI{l_~icu(+M%X`3d$+$@4`|R*)=>;xfvd|1{a8r37P{WrpN)j3C z+j60KOBc{e0PSEnMD@D@;X(6`N#0ENnVFt$j$7CqE8PlvakrQe%KeqgIXpJp z99}VJ1l~O{JL~G42}jJyD;}2h3{IW}eVTFD>*(W+fBE~j=1tyQ$gEs*KCZVf<8P^w z%P#-ene{K?f1CatEJxAHt_D40IGb2{-DC7I>O(?_+{IbcKSvVDjRF_g$%_+1ZXJI0 z(|MWN7q&s}y;#UY$7AXKbve(_55Sx$1F1v`Q01ZKJOe(|?&PgH&cIcJ&eV3wxAfLP zS6lW(1NxA6o}nWCa-{8}9+8b-<5n`|V6p@bG`tHkFPU$UKkw1>frgoWFJPdX4)QTN zS71`F{81-fW&L2Csq%Bsn_0I4&Ci`@=wX{UlO~emsaypb4#~EhZycTH=_G^OpT@R# zsKMwNP1hc@y>ksteF>y4b7sBW(Zk3uj%%-N(HUI{q4~_X>>lkXmmb(HdA#?jgM(W_;ikKNbN@}7%9M2;DhwaY}7PQj=jsCPJA5Hg1lb_1d zr;M-r?m$e1K7ux(cVt}MZ|}IDaRZW`dC4FtNBte|R|wPo>j0l=CqTh%&g*I*tz;Ys z1NOYrJ3QI*POYSLbfMk+4r+%;(8mndvr<<81nmN6XwG;^#r$I0PZkX{Q_OV_c~eaK zywhz|;+_mB_Po<9PoCTJP7`bm>s}P803BK%^^+QgsD@suK_ff$M>=EdF#}9sAmdfl zMdEWBFTP$=RW9??4sC8<3=7%u_1u|CA}79{35@F|NOyC0+hpdf-R)#0SHIrI)vxwI z5l&OpX@CY)4?PHk6X~NrOC2`yk1+F9sxg0G|PhhP&QO3rvYApYU0*B&M*0 zQz|DhC2UjO5>vjgUvm@Y-ao#E62?)5)DDR$o9x%_i77vjq6@svb4PLvNb^zv@{oa? zcqjlB7_Wi*sOiom2Gq7Va|eQAzFo~Xt~5BEAN_N8;We?=Xwr0Tk5)5l!VvO7S{gdu z%ZIj|?ZjG(`OP7uSJ+LOGd~k|@9IQZTjUK1TB?3PxXu3xgHdv30Jnt$d~3l-Qtlra z1>?K|)<_aKXZ59{DR zgZ1w2YTX99J*+b>+=ZZv-{GFZ`=*I040K)ZTFNVKg-7-l(sG3{{pp5$+<|s;J)iE7 z=hMA|=hMxn?(PM&jh1yGr#2=X;i+2s>DD+5UvLUH4#O85=4l+}sbF~-t{wsIiRKB; zubX4o`nCIX-U+#SK!&sL|CP_#3N~<>&G--*lj{RCx;CBbZnvGWApG0$D4ojch&!u$ z+1t`V-OYCI!C^|;;x^nNC2@e}r-i=Wm&ku(wt;mYflwU)bn|{-dcOM`S~v2bWNsl% z8zEua4|Qo;I_j@%tKN9e9EUgKy+nw)z&7^63>s?=G4#<`;8AatfB$7V)W7VU9G@;Tvrcah!Nd<|} zR)&dLFf60`nA=V1Dc6z~op+?ZJg>gY(Hh$GX2>%_N7ASox4)yzuRAX+lG!68)_%c( z78!ip2iQPY#c)&au(|EayXZ@Gyp40YxfF}}jJBB?Lsu9PURr;z?bBb-z|2@Bdr6z`OZx~U$$xo{+*LrVy8Qnzc!S8sZRxx0e?&h_YIR=&K@wuTgG)4Da z6iae`@mG5iDit^h)ygN;l&{Oi?lwrZN1=v>uI$M~%~7Z#x}l>`%GOKW{0AZ%+ZDay z2vp`MRPAL}1-$Zj={U0+kw57JN98dy|3xH$W(@`bBkqG_reiomQ-mW`M8L3?z zFB?6Mq61YlbFL}JlyVsjDOs1iabnLmjnxZ7Px#Z^_a^>Kgp%ZzVPWcGdTWmcji!fG z{>ooOw|^z)`*dTJ%-F{=Vtz|A+2s)bCD%Gy#=gaS^Pcx+rxsLRljmjLuoeTYR9ov+ z8$1V9Nws9C3h!jHIhu4!tGtZ=^xJp$AzQ4pI}m5r474}NxHnnV(426xb}WoRH6KOh zBq^3Uj9Ed@^=i?17QuTouo%)s=JY5TmNoSKntoT74jmDhQ^?Lr&8|ZqkIdPhRPFI; zeHT#L?y;x{p0c{VKMOB;bPoM8GG_~o)x16Q`^cPcm3n39Cy_ZhR9~~e9@pwfX8arF z&&NS=?ATuX4r|Je#$Q#yXI8J*67_lV7ZaKO15(Q0MTwQphbx$Kad@wYzfy&zrLTXJ z{VxP&BL77!V(FXg=OC%vR3X!*+{ug^Fqo66V<-)9?K)AcnXY54gPBAM%M)XwvKZCR z=3_{@l*=Vk;QTu1XwqHY3ceq#`W?{yZjYkJgRt`|+vnq#;K;^#`M^ za&@r1n2D{4H^0Pfw!HCz@IF13u%*-AJ(syZGDkB(tn@oka?(IR&I4rde8%1Ivzw8v z>Rq0DAkbIIj%4h4rs}ypfv+l#lKp{uiFfK|7)={%*EM_-iT5!xaU++?MibBbJ3NEE z0~?oOH5An;HLp5^$uwUmKB6PQTz+WhqW_O`y$ZGFXS4S7mbV{t2g`@>qGkKl(m zEwX`uAu2UfLc+7JN9Oa;8F@Y@RZfEQ*zOn;He}a9RcvW)4ezNuK#~+st&Q zcJ}}ojug`3Zfc40eZpzlxn^%u-L5SQYnir7c%V+)Rg^9xfEUb^$)VMn_;)?N_@!UuU5ogmH+0RzQ9P` zX#~cf{uU4z%b?{asIvFw_p~&0vo*zy~<>5#&K-|I|{bB|`HX=BI9+DH<+;!AY3J%awZ)JJU^&AYb2WkJbRs#?CJ${@cZ(@^h%6#Fk2D_ioXB8|IhYrwQ^P6Ap$Y~(=38svyF*V`6Vx_~F zlk7!eBQY|=BahqxkF*DPWD-z$(h1Y9*;M$ucTne_03d_>+L}E1u4+_96Vr?YN-N?{ z!#m`XV3Ht`V6uYfK~E4pX#`Of@=TJbiYV80$~SqD$Zzkd*j6rG7NFT-U1;_-XpJ$$HP1+~5pcI1|J%qhr$PH>76wn2 z9U&+pi958}A4yE<%a0JhC#aEu)zZrZ{bk^Y%?3xzwQNW_4qS+SsXwYYJKvj)#tOu# zos>)@IbhpMJC}3F)){#rCp9^v51DM_XPiam)3kcEmlRxU?tURVwWDNN`aHrm^3ti- zrsYp$rtCpKk(tl%QaumK%2SLyGe5fd{p(BA<3Iw;flKARZJ+LSdlKwV@0 z_~`)3G6N`;l=V(>7C_WrPmXR6kXrDytw23Bz&}Gg%I#$-r_pNU8bY%IV>1Kdj@uXz z1%om|^U}V)&~yOQZDD+ndv}|A9J%FNJ3TOhhEhPMK35BD`eo^=-H+5)SeTljn|b&| z<|e)e&r1jI$6?tSE4>x2MOm`cj4|T_ZQb{gX#zyE~6w95t*{I?4;Y#M+2*ov=E z#RaSm%xaib)d|${pYb>SrZoP3a^Lx*j2ZBE6ZKd&14+<{PzmR z$p01p9fi3W{M`uYy5Mh2@1NkW*}UR@6ya?X_~UjvEsf;UGeB`TB(Ll#CRF>HOR$U` zl4$6~TLe*dqM^X1a%6%OclZWK_jC@4aH5IJW8Fc9?K6j(7y<-)Gl!bW3GFTy35_v( zM~;k4o_UCcsY6v0(7rcNgA_};5j9hU8 zTuA?ar>c6UCxpfK?t9<+zW?o{=TukMUZ>7Eb?Q|0hTl$!e+$eJf-~RKrN2B5Vh2bG z;|4ou4$_O{?+rFWUyi7uMzHMc3fEVnNqnSg(`X5p)LwI;z(Q{})DfjVP#={b@iyaC zut7s#c{&8zSM_g@zU0S3zkvR;NTZmff}GVftfKxD>)WVb*T4;n@yxsOhGoJ9#Wz$X zdVec5vYHvY?YZb$o+Le>~f43?c5LF}~NcunQ!- zs=h?(ZLGndmN#;RbK1u%L@lS9wbY+F&D8F_uB)gd^ofwi_we;*AZYk_58TK<3JWxT zl};UONW>sV-c6Bt81i)0(jkO7_N;ySOGp=EusihR>u>7KwA)=^1Mvt(E!2h>;7d+T zk#`}~p4%vt4WS6xGhU5As*a`_({LQ3Y!w*;if;z$x6t4UL>3}qI8n%lk4Y2=PwxJd znkI6%#oJ^}#E@Ru1A@@ohcmd2&5$@BK8hc0ZP|+X=M<@RFb@eRfOex8)yxcBU24IR zWnAP%CQxl)0TOm@lK)5# z-IY!j#&@bQx6M>q9JZ<|eG(AgRvOcDc+VJn%>&3T(~or}*`H}2^HmY%?4%1BRfOD7jy*Ij8je^%;tcOuBm?)u_mSxk06z7I)042Pmp{|s zPv`RrPU7_W5CCDf;Gc;K0DZTRo;Q$oHhQrB854KGSq_|vZLbkAR85*{Ohuaory2pQ z!%o4>fx5!95y1xuux<$ZhtMPf8^$q5L;V;vI>FF+4G%zEq&|_|Kw6Ka=9}QDe+2ZF z^gzgOp?!5Uztu@*HT4yi^CJrUZwq8u^4*=htbquwuc2>^FNcS~4vqS#hr|wOVF^9# zm^PW{(F4P!9wV8meRd;?O%n~ql?ODNxT;-DxuWKGI*QZPXutVfJ_UnyWbLj%Mj>Jp zuBBmV&-|E9cYv)V47eUaivEuYV=DZgP(Ol&bUyS6wLsnI$n3fakz{9j6$;u91)wd* zhT~4!E}jFk5OjZDP``-g?hKsyKEwa1t|bFuQg-{l%>ue$qhB}0SHago!2S5n_6u;% zCib#>&uE!ywqIRmY;Ql+@QsBM8fUC6`d-G|f&$Hd2=odaPi+_Kcr=;4b_u-D z`_#;q-lus&0Lw}_F-ge2tC8XFkby;}2uz``S$;)KWybQc5#p4MjJk-36y1A1h3y98 z8ng`hrCSWg+$;2XV8D(5zEXkF1C!hj>AeHr3YXLF*K;te`Ed_(yHJ%sg~eHnx10Zy zOY0U4i{umj%=mr!woXLCCX&r8l9%)9R=xTYj{VC?_{| zwL#=75Q7l88^I7FGl|HO&Qfo2T#$%87`Q=*V_*3d5Em3PY8%xKNGK*@uD~dcCVaa) zhGPn;t^;W|-GJe^$1)t9s17pkOzAfl(l~h<1N0dBAe#QrdI;AC(L->&$ir4bdVClk z1qTWSD;>a3W3o|Ax#3Z&XDwF}1OiXs0jHo40Q*fKz6s$J>@kNViE*I14)D=&T>-l~ z0{K1G^`;1ZoDfA0UEL{~y_8TV@Cu<$Q{*(iu+M9XoDCPen0|EudX1kc@2$*eAiZ-2 zHyO@YPjhm7dxx(>1kw>^;VJ&ea}ke>FAGA^hSReP&$JhmK9b!GMdiD2@$STzTA;vt zM)@e#IqsxwB6l&|IJbnHuSN61;kyC1;L*Np3yKs-dzxN7 z6nwRczuJLUz=#|1Ks^R$q3PtGu@_rE2@gW+h4xxHKox=o4BE_-6NFv{c+s0wUa)^( z)|=JtSUVKbLB`rk@tf`c0YU+GSSE%h1%o@9g2(-c`OV!t<}k#pFSdlTo1vP0RKh@) z1`*Is0oRxTbv;VVsq;jjyF{RGYr+C;L?D!I!HsB&p=b)4grAQHdUR(XX;2>`M!U#paT*`iPcvTwtx--qo9@J+=a7vPQQV;!mfh81yqcXbPz~HOlF{@ z)Dig0Vl*Y^2Snk?CY>jNk(u5N!-z`&j+%9i2nF>03(1J#%%6W-!$BoT7W{F=pz$fJDoAS%XAw8CQQwX#j`5 zpl5nyqAj}?fm4SR*WlQMIWJHL6SdyFjH^@60P@i47X#TgWr5|(VhZ8q2-L5^i=4pY zgiSnB2&Dp}t^%bT_9!fL$=I)E%VNZGiCE82a1so@h44U!Z=};X8(Nw`w{)@DVp$AH zv5vqxB045YU)I-J;xe-!$cYNV5R3|)OG^IpMQLgO$rv*nF9ZV3 zMj-o3qppCH5DU&3XmT9N1&?P9ll-5oBOb~nqk;&c$B=vK3ZjFe&%*6A)T_>g*wc+y1J$9L*q6SKA;-Tk ziE+0U`Y=#{jtfBns<4&F)HLl;xd6h&QGN;^!mdErY*-cF2}*%DA6zf(^e{%BwFam3 z5e_jfM~r$M!CU?*JhI8dK^_glV;FfP(H^EJ^>-rWhhNS8`m~=}?hzfEgTkLan(PsSC@W z`()h+v^{^|M9=d3C_c|Dr`!yjcRt^&%uqje4tLT<-~(tPv`d7i!t#BTJ=AVZ`~ZZc z^SF~Xsy`!~v{8LkFYe3RxDR)d_VO$-~k{^}KUOp(iZgtA^c3w*O80 zOXFY&G|Gkrw|v-@ola~U<2Y*h8Q9vjHwyMa9k-{$>KOMSG~Shqdb15*cYsM*&AaJY z9k=P5fLrK2GD^g!6);ZqGa!W{_qJWGT3MhKy}o&uLSzZCifPW9;| zLVny&#^fyjX8DOWls6}Ebro>}TG@s+!0W*Z0+uI#PTtj$g+r;aithgyLhy(6OO3!lqa@*O!| zqo05l5OwD!T=qLmPEGua&tqxb3Lg%SWp-|5d~kyv0X;_N zEdL*B^)t2HotBo{d&F$Hvs#as_yeshx_o=aT1v#>|B-jR_=M|eD7W~YS%fc& zsK`dN18{R1(ncRWUIl{CXr`~%Aay|w-VghJFYqs8Eos-~0uVOr?&X=tZ(8b)V|It+ zhJ(K7i5M1QNSN|8slsJ^ZnRNHQnY`cH3f{ju1KHhS0N=B0dgy@&lc+yEZ>x%VjZcv!sm6t@YlQ@)) z%F%<$p@}x5`L{fXaUwPmO(M&=CEvv%MaztPSxgUj&|buAFlcE;(GPNx9)W`DGl3KO zP55!pe0Ve3s52GEzHA?UNf_$7Dm!q04>X*kc9(rwBYayX9qLwJu^3#!ZP1+)$nX(YZ zQC(I;M}}7Z!bhJ(J6yjwHN@Ip#vUiwajjoA9NT8-zyQp@XPTww`;RGGQNr3QiN*!z zhq-|vx!CbuOIk~Oa9TUbR@9D`VU3dax0IZ6X++CW$u}WFP1+`K22t{l z1H9z%vgE5#N>ju+@~bHMKd9t1YazNn3T4UhC+x(4rAok;HD5)gCcbkIVxTpaAx-|} zGc*HQWve$N2R()m6pys-e6e0XM4dZJM7&fK=3Ydg_&qGmSRo?1CjM^9;kg7OKGktjYh?I=5{E@Z?uMv0&0%xqFY%}&@L{BX0vAa-;yRIh)Aqe%?WBTH6SK!pBf*7+|DKDvtU=<=HWE?9u`eX@>=6=6 zS2zy{XAd~(LR1aT#uy%zhOYD_@uxy0NaRMlhzB6?=c;(_B=ILnI7zx$6UTk?;5=|4 zcal)FDwaEo$ya2t22KVi^y5U&{o63K0%@z}^=AGbprLDM#A~2P)X(TeldkWhzUJah zC$q5t5kCq$ogycWrX566BbQ-i;zxVGEwyj@yEu^E!i7tI{SB!tv^PZ#!ZW$o(GR{Z zx(E8FhPCKM-VvbIGjVWTVDM=Omb_yioODpQ%%Azlm|!NEc?@2GW(M(+r zeM?eh7M=HcN@o09kUIT8EP4HB6pObvX8lpT8#*E}-3zP)!^aniQ#Sgdku>%*(D+6- zDc7KzcGmAP@n8QKr~C<4{n0AxdLI9tUT_0xT%h{|r0nn?hJr4ejBPhz%jeB+Q`1nj zrN~Lvw0FD?6-eA$_J$oeinhB|u;aG2v}TzZh@1-&k>%f%acFn0YkzLRkIu~4us1UKpxjT3qnnYc!{6&% zL;-Q@36LBA9n|&9Vkm*-S=6n^G$9GnYs}bkh+TfdElqfY9RIttYZYQMaYBC+8Z)l> zg^PrKCMPA~S&$2R?WIIO>sCQ6dK;eU5=|NiUmpkm^#7B$7fGd5eZFnF03AGx6oJS9 z_I9TD{?j8FnDVA$DD|Ke?RPZ7rZR4uy`d3u4XNW>YZ^P_6Yp5AvoAXvm;j?O`^v)z z3R%7n^>=1Ui+$NU68Usem1%Q`kKfaFx()YHX!L!O=|7aR$v#2Pw&hj{ zJjP5xY0;0MG}KdHqo;bqR0C^4i(Z{R14>b$KHo_663rKjRG_u;cGWw9<^k!t!hl|yLBzspy+88Fir&ndW)etFPbeWDK3$mh7!u7``vByLbxY0yyG*iltnUYt#B9=2 z;70IksSN%N_0L*@jmIk}+FRBH`F+MjF%{v9B~X5RfDJ*|i}@4UK|{6UQ666HCK4*3 zXPZo4_P`8$9+8g@c)MX=6dKsp@pb!4HqqmE!Bnm$?FJyU0*jc1z=&n2Msn zMLWYTRX9_zzjOxS1%l9;cvm`w05FOU4FD|$%lYmANe-C%`nph{RO~LhwGs3k{UD4+ z+VTq*%=CjMJ~fROin#>I>NN{CN1;W22W|BZm0S}@z8Ukeuk|nc%F~UoJ_T(LadRS0 zSV0Ig)7u>%Y9!fAN1D)l3+C!XcYcBGRPufx|9j{#aHGC0rxvZsRF2^M*>vADNBr9vYrFQv z;7dmV>$Q7aOr8P#jXniKpAK?qvM=w6;yYYh94T*CzTxn{g=s|t1*JvLD5RyYK+#B@ z9+jdOiA_f8IU{{z&3%K@OzMMys7qlH&ETt7ONY^H9{i2umpI+E+cTEmDD z*(smr*vI~!>pBEPz*#K%VnXrm^++L8`7I~@Cx7>+;P$;h`zXc&v2BZ{5xcSQTG%(O zC6#BJdeh|fJs^O@L6T4Wk>{W|O_5WPB3&E{lXo-|;){OjqCjyz34}DkQHzglH}F@>zOm#i!+z6&eP8Wm)x>`|;AcfbS`s8rxUC z2At93dC9t*4ow77b0IZ8@wOnrP?;c)Wla-$$SE1?)6o1EM^k2}*5KwBngIv@cN%wA{J}*FD z2|5tAoGzaW?*eOApAUZxnPesziFkp-Zc^VX9OkKZ= zK^5eBL?F$H1kz2q5F!f$kPzBuG~S{EEmXl#vr*Bz;Vr~kFZOz0Ohf?*NTNVpbSjm} zLKG-@eJ|{;TAmE$+w5x7kBta`e#FT*);1UAgcQ(2FGqk(R1QkAE(OqbWnfni&VNEW z4oC;}RhBOF%Cy>cA<>J#V4`(I92&ksj)137X#eNan-f6r!sSu99*ptckc#HS`7cYp z8wTMgOa&JQ4=^>%C!id~VxayDVGt?Zn!J~1*EA_PIHF0ToEA{4)_HKEVjgnbL|*F< zc5~*%29=2Cp}#C*JNz4cZxgS46Y3-5$EO^JwmWK?F>n19hDP_3s^wouO+Ooj*S&Dj zIM(l>47j=vXT|}Wa_XMo`ek)NJjMmoHL)&|AiCv7#+w9+f#@Nu@^|8f^k$mO` zN8LEpVb9#o&`_V)a^g`0%zyMVs7o;milPmn@vmpsE$GhlhOen)-qf13L!kYteWg5z z@)Rh*fJsxFz{ui}A#RX}@XdQcG%WP4W*DlACc!k1*RLXy41BvGJM!xcKfMWtT8_Xi zJYb;9W6&dK`!^u{Lstd0dJua@Mb>XaUizB|-MoM@LI0Wh%ZYgAr`G}1i;(~t1_``` z1l9(?N;B3j#fZ}% zEKw}_SVus#m;ZZ5ARW>(5TZi$58ep^p)W>4)MjMOsNVvK41#GRULboPvKMW(aVGjC z%2LO>5wJNI;+uXITnL*jih4H!qNu!#^<7avv_~0*Xh12;oO9iUj&)i^WqF~`m)>c{Fn>B8bf0qn&lDVV3rZk zC=*$*P%fl%3erKUe@CjM<9@~@Q2Ga7m>ty#Y3mn)4hb2<{&d;~&@)hyKS1dIfaKDH z%Ww36?{9((O8-Usq3XhV5ay@JI0ol%?ZLpycLFo*x4v$ydKA+YbJEx)B)mxdUoiZw ze=_{Ne=_`uZ_VIsHwTKP;C}K|zyoya9sA7I+X`10 zy)LLxj6ml(K}LYJ9$}qe6J%tf zL11<>*qrbgH$L$6E6ltD&#yphyodFZ&ujK6#x2yJe6ACCemP#iTlfW@Uu8Z%LtVZD z33hH1hEy<}k!(iI_sV;FI&Q)&!|>giu{;r?i9&LH4lFn{Sh%6mHEEyEg0y5``3$v0 zAZ^SQsP045G$3o#^1b%bki*OzFXQRu3+7AvOOU_xBYW^3XSa5RNgS;drsTwEzIPG$ zkLd_pZw5xl_b4QZB@ibfgq?06?L!LHCdtQTl06g$j)Lvsdk?8SMd7M*LQ{%b9;mJ8 zz~@VYt3kuo(<)L^WD%THljgw~e|%hp?T<-9{lNmn9@`&D3SliT z)&^h1j$VeV_`7f!l#va%&hrRB*pk)-%F;`Yq2Id1OK;VTMq6Nk+ltf0&9~s_M zQ~wbMANp3yZ_504(MEkhE0T!fZnOpP>@!nKvoXU5xN2E1x2%6#zrSU!fB$k)U)(xV6qRts)Mi2D zq~FYYTonH>n%uH43)};PQ#*Q9e4s47^^02_P9`^&eU&eM4-JEd{{Ui(XLk=en}R_w%1+2ZYpbj7@ZJPpdbDCKpbDcl&w zFL+j{EQ-Ay>uTO4v)sqb&ylhj`MvyBhJT9#Wx0DdDw*KQM`xc zOx+|kx9)ljTFiM`CXu{JBcOL6tvh0-Y{Vgi<6G(`rm-czQI7T-J@}=?gdPr;5&tm` zrQSo$;QGM7QLh0G*%EexDAn%rCwu^CUwJ-)V%P< z+rXvJw0}~dK$i;4|4I0+w1mBv!t!G7fQ#tciWuq)NTQvEBsv_DD0O7GB)UK(*$YY5 zv#e0wIl=Un!lmDbx`&MqI){Eb9?8j@GdqeqP=;IIh=-&7y#v4i z?TbiMBkoAzT1v~Jw-DnWBF1xO_4TD921#3kSK{S*jcW5LHOYn$e?!b`@Us3XGhT9I z@_u~`l~NP)#le^1J#RB=Z)8lF*Bt5UO-N~3cmUHtWCE*ia5<48cSUN=KqLf>(-Ec zWi94E-k7L`ll&h*P1BM@^+?(6aN$!0-`L0RhVGTfOpdE1emB$#xypC?ndoDsmv=HS zdH=%m0)wxHE70pI{Ko%OyK52lhaJ*)VA-m6|Dt_0X>U&<#qsGxxNwlnZ|h$|+vzqM zsx@z5XR)R9Vmufj9AwByyQ4JP8cIBm z=qqLn5FA$_(vdFf5Q;6-YL4{c$B%$8cB?ru(th6tyxm~qPEH~3q&3^F*gc1%wFcD^ zom|(7nE0e|w(B!TN{hojc6W~Ji{)3u^rltatI*ChX}i%1C=x#fJP`Q?o-*pLRC8eX zr)5Fr>PT9N9`J(dwxMHo;1ZBde!yr$ZzN4JEh(h^J!3#v^(%o_DI2|4gPhLe6mFHi z>o85U)4rR)Nn9P&!(6`*LPvew`zDF|9xh2g5oH~sfJSe$1YL;$&67cmgZi1A_^-SJ zbCs`}-xK(v4@VG0UO@S^p}Tn$+fA_*v@2PQ3EMjJu+=^^r$ev^!=}G<&wJf1B$gTu(~PnHlKr09|aSMqE#q1hkkQA7uB>^|#PSLc?iaR)GRb6Gl)9(q&?!3F)Yo$Kwsg4OEZo=rmmzBs$S& zyhNn_`-KwW28q7gIRD8F#Kh#{EW27-tfY@+L}bCfqV{$q;|MG>%@QLx%f=od@;3V| zxN%SFPI*bb*PjEyp_2TH>`{{SDuy%6G04D>KFh!|9ia!uSh9J8wsgRp9B6Z9L(4nJ^m@5+{z} zRm=1GW`O!)WQZY$!Dn0=)O+f?@QPZFbS=#rXb9aUlKTXKXoRL)%K4NGjOJm@*qw~= zMk%imDYyJh-@gN?MShVdr}Zxj`RQgPRX@y9tRkKT#u#*WO_AS$!SEC-k)qj)pp+5% zNE~d6@=lq0-pNqy0)2|(6e+JWF@yQ@ZUBWG+NCg|a zf&lzs`4l{I5!1YFq#*+R%J3hd1JgDfv1Mb=;7{)odhYl3gn0}28jNajd>Bq)$}qZp zN-HrSevAmF2T5opa~9E6o?;6LVCw zl;k94esGSNUr9v9lRPD5v$eePO0Q2+%w-WEnPPs!{ur`K2M=9SBI&nt1cwV)@OJgJHml{!7eOp7Zi(q_3S6>p`}HOE~T z&*Qi&oxE(W;*tu{M9#88ZS;gmIhoq{2@?@Ta}_(wX1kGFX_3$4X2ty1&3~y$Ja6Py+@mHDVmhK%F4Z3rQ21G8n_GlX`b@R%4)PD`nRyW%$=xd6Or^Bt-9Qo zh;Hb@`(6AL278?iP8^irM5mXO;SgKO(B&+ngiFdwyd~(QYu%c!q7aQzsg;&^ykxtA zbvci7HcEuVri-rj%!r=A``nWnt!W7r+6d~}vhtCZ{@~7h_cgu}6gN>TsBpVVimJ7Y z$@y9#Z`wqPI!hZd3;j@u9NR>eJ>}N&3latl9H3D!jl%JGqY$se>nbgG&1nOFYh57;#RYw_GEva%)B9l^VxBZ7whb zU60l)(MA-yOP$qWIr2pCxlnC1wT_la0kr8QW!l^lS7o^e7*tk>4n*`klp3Sx=-9#D zLfA65i`SJx^16-*ma?rQ*kvZ!2n`-rvD-C=N5^nG#+B!;L?!2HP7IQg3Q=tyWx_Ec zXqp)%f3#Naag~&oI=yHn7Z7=t?4mf2+f7K9GVreQYVZ|tz0mF!MxNF z)Q_}^HFA0?mB8tx<~h!l%X>g82QCRj@Nn!d5qLsnKF*cvEA^ICpmR|YIh=?lYR=Nq z^7%Bf-A-3AM*1~AHwNHw64JC151}&^*EUEiD=9jDa}pKyXrAJ7UumI* zR)h3N+zn$mVMWR+Ba(@GZb_N5R3j43+agOF;jSw2Qg@7;-Y?{yq2++Q(vqoUe;Nfd zyG`Jcho?{-mXGyeG-t;bNczB8w1==DD=RO5LN1RyO&d|>n>)*0dDIxs4iS7oUj&Q4 zpiKhvtQm&6C%Y?WftqOLK5vE3o2Vtsqkp1OpiZLl*Mvo|K3wK=qi=Za-5yUUjcc@o zYj_%^@a8qR#2PKk4H{WNqzx$R(R{?B!1tv1LP=i@!j-Cxn3SKBTI}^!r1tOcan1v` za~3C-m6y#f^Cgy7&h9S*diu+lBf;{lvQ3cfn0QQm^rNC#Cx4 zO|G6sHa2uYW=Pf0e;(ptv7Ub5YI7?vjtVvYtU0~#MWE5;71fm`vx~i2oGTs@T~bm4 zeg_2GZo*{*XYZ`~}q>uX`>=Vj)S7ZCNMLuRgJ! zK0?Z{*0<8_5!xffe;1eOlD8#Tti=$}t>;xg6?JISH_o9Iy zb`eLUClm@gfgf8dZMFNCOCtvP2qOUiiX@cv2FMmx*;dXXaDTZj=v zeoMztJ;#@G(T(Ih?dU@H!vJ(^eINnrCKu5Jz>_9fy5Q-$t?Gg_{pU<8dp*X z#2n3vNBsydspAvIXpmEBW`enu8{NWbvXuEmJwtMTs0b)7rALDYom{RtT`qS8%|$%k zN*EG>I$=g9>m5GMLV_jcD$X{=567$Upd14sbYM^waz-O$94od9yqs1t$L;B@Y1wiH zEW-s;OGL@2J+rESI)taeH#}L)l|VsalLkx;{g};*c;W2}Y4;dSDVUpVNS>c5I;Cnf zE97lQxMLYJG-5)7g_x-IDv%RQtx(8f7>RAtoyZB47qz0fWF;TLG>ptNDLXD&VK(cW z#RsXZ8MOzdxmhS%PDX)4PE^_%nf=i3RfjG`5a+N!nK*)ufgi5!NY_0kW>X$;&6^MGv5q#|MKukF_!st~IA321!k||Shj+u(P z(#$V7!7rc*JWyA%IubH9A%V^ZQ}C5lx=TxF5}2rEqY3A^wb>=}z$knbe9(}%2&}Vq z3JIOOC#akuJfSpAwL@=h3et|Pq7J}}fq2|}Jj(u?!UbP+I+)HJw`_HajY@`87hD{^ zM4MjSzifua2}XE+mXtw!Ts~5Eh$}oCSAd*U!NTKlmC1i09#^uQR6IQ{ofr7^*l@T) z`p=Q@N(pl%94TSjcz^aeS*D|%WO{4*igMl}VcYz&FAT3o+xI8?JVmB|X`6h~+dQ{T z@B5R?e_xw$AIj&q+W5aHpC6U*9tm%56V5EhV(DME|HUP4FddSXylxWR!GDCB2ql|MVt#p9X*F?jFXTTWfWeRx z=!N+lB*Y?ZQXinslz&)eoEi6n)L02&d2WdZ8WX52AaGFjP?N?c4XQ#R$T6Tw>rI+d za#31zh1Ey2pCHq+$kY?8B?#Ch?^ZJCR9})&gKH>3rZ&IufK2UwKXnx0at0pPO3<5M4q83Wen`I`o z>P)FAiHp}}`Mgm1iU~_JV$Gx36_jRkQBm}|x42w%8!s1^#H8>t*N5%`A+La*iDbp{ zq9V=*IO`!{xtwE2NtrLiN1R?v;GpXT)5q&VQVdD9k(kJlL-waR3=)C@rd#THHD?j& zM$GE*l)x&1p^%!nfJf~>T!)trlO7*bVUS^{lnI5ffJdI8#JIrd@nT8=3`<3iAt6h_ zO*ueTnOLdE2f5PYDe_UOm2OK3C90fkKU6@+@MqdT)Po{saLjYEL#Sm=StV{!FrkYJ zwo8!C1czvl0QBRS5I)S&H+-bW7Mhfxo$>8-c|{Wg7YM_=?lL|!iP(Vzq71Q6fW^r{ z0z~5@y0l75FkSIlNc`bA%HyB79cgs^m(iKij>XFoGtlGhU!%CjJFgtNrSL0{D%Ji) zp&_EgdZbc!BGKbqh*DB>UO;Oim8%+~F0$f0T9xb<|Y>KAkI;-%j<)f0L zAk87ogwUmtlmA$Mg@^PJAk51effA7I9Gj4w3@SdTP>UTbZ*nILf#(o7=z*YoDG9Mj zg{l2yZ6{P<2}iW&1dvv)>8Eze#vDu=1)UcE;cY@LC@(ZD+<`ubI8-EgLCt(DmwaWC zf6yh$LexQv9fl^2#gZm3E&W)+@%1UNSJI8UfbK;_pd>_vk%Wgj21_#Lz@T|dP}@Km z1TP}5DDY@nRmkFtDMIJQ1K?#L2rk~|WsJ?r2}UvcfvkI~% z(wwED+=JCL5LCKk=J{C@^QUPQzEX(Op-aAm)(DGlCW++Q<4{a64piUW=CzDDv1G0r z8rV>Z+(mUlfeXCOSz`Ie`d+>qJ-5(Zv|~rk*val$xV6i%}6#v zE_{t-R7~Rd?If0;m66G&c&mio@;R75!*rq$KWzM@+)-Ki z{WPvcON*V&3MS@56B+dIuZ+Qi8ky+(lFV^TNpu5Uz z1}LkT$pZk20CIc@`VZ-W7)0ntu!|tuFu5c5Zr?YU9%pdLlF<>fOHQh2??*&HDT$v` zZMeY#id_PBZeC@gPIH~JOI*p)ld2`16_swW3dREr;QF*+MgB{5poB#YP->!m!>TNW z7apP?c#pYD-E*PRGK-Gd$dYNA?3oi5T@(FG@%}xvu=Gzfqhy2iL04F7WA+Tqu{17V z@;V7qB0iHKDHu9C7p--Z0BT|>mLxb~qS-1fQNi{I(igN|bGexl1{WAgX#JGSC}L