From 9bac601e4f2936e342d925c6ce7173ba73c60a60 Mon Sep 17 00:00:00 2001 From: Robert Szczepanski Date: Wed, 13 Dec 2023 12:43:04 +0100 Subject: [PATCH] Set default JTAG ID to 0 Internal-tag: [#52131] Signed-off-by: Robert Szczepanski --- testbench/tb_top.sv | 4 +--- 1 file changed, 1 insertion(+), 3 deletions(-) diff --git a/testbench/tb_top.sv b/testbench/tb_top.sv index 3a7834173b..d639af6e5b 100644 --- a/testbench/tb_top.sv +++ b/testbench/tb_top.sv @@ -442,9 +442,7 @@ module tb_top ( abi_reg[30] = "t5"; abi_reg[31] = "t6"; // tie offs - jtag_id[31:28] = 4'b1; - jtag_id[27:12] = '0; - jtag_id[11:1] = 11'h45; + jtag_id[31:1] = 31'h0; reset_vector = `RV_RESET_VEC; nmi_vector = 32'hee000000; nmi_int = 0;