{"payload":{"header_redesign_enabled":false,"results":[{"id":"179157840","archived":false,"color":"#adb2cb","followers":0,"has_funding_file":false,"hl_name":"chkrr00k/hex-controller","hl_trunc_description":"Simple seven segment display controller for the 4 seven segment displays for the terasic de1 altera board","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":179157840,"name":"hex-controller","owner_id":23009645,"owner_login":"chkrr00k","updated_at":"2019-04-12T22:26:20.720Z","has_issues":true}},"sponsorable":false,"topics":["vhdl","altera","seven-segment","vhdl-modules","seven-segments-display","altera-fpga","vhdl-coursework","seven-segment-display","altera-de1","terasic-de1"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":82,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Achkrr00k%252Fhex-controller%2B%2Blanguage%253AVHDL","metadata":null,"csrf_tokens":{"/chkrr00k/hex-controller/star":{"post":"kMILEWWhIBkWDnTd6joQmmDtRl5MjZscHJFe5eACJJDJWrnb2vBIwZJK0Z0g1PrAuTfwhAPO_Uo81Fr12leV9Q"},"/chkrr00k/hex-controller/unstar":{"post":"7Qy4M30nYM2KchRQ4etunv3AvIJu25j_Il2wAn206DCDWbbUmk2FK8y1A3WAtJlCWFq4f0tMX9SctVqs0VjatA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"9G-0VJzRxtKsYztDRai5c_WTDfGEmjwaoqFLrG-fMdCVCF6x8XhGx-JU5LNjaHtASCuW1a8G9TbRTAqKnsHCxA"}}},"title":"Repository search results"}