From b0aa13ad2ff39009ae27a7e19a2e9fd9d085e456 Mon Sep 17 00:00:00 2001 From: agorararmard Date: Thu, 8 Oct 2020 17:56:42 +0200 Subject: [PATCH] checkers cleanup --- .gitattributes | 3 +++ configuration/README.md | 5 ----- configuration/checkers.tcl | 2 +- scripts/openroad/or_cts.tcl | 2 ++ scripts/tcl_commands/synthesis.tcl | 2 +- 5 files changed, 7 insertions(+), 7 deletions(-) create mode 100644 .gitattributes diff --git a/.gitattributes b/.gitattributes new file mode 100644 index 000000000..1253c4c81 --- /dev/null +++ b/.gitattributes @@ -0,0 +1,3 @@ +# Settings to improve linguist data reporting (used by GitHub) (copied from Tim Ansell: https://github.com/SymbiFlow/symbiflow-examples/commit/70b13fe74a56dd5ebfa2060c2473050dfff1a0c6#diff-fc723d30b02a4cca7a534518111c1a66) +*.v linguist-language=Verilog +*.vh linguist-language=Verilog diff --git a/configuration/README.md b/configuration/README.md index d6d0d5696..705f92092 100644 --- a/configuration/README.md +++ b/configuration/README.md @@ -156,8 +156,3 @@ These variables are optional that can be specified in the design configuration f |---------------|---------------------------------------------------------------| | `CHECK_UNMAPPED_CELLS` | Checks if there are unmapped cells after synthesis and aborts if any was found. 1 = Enabled, 0 = Disabled
(Default: `0`)| | `CHECK_ASSIGN_STATEMENTS` | Checks for assign statement in the generated gate level netlist and aborts of any was found.1 = Enabled, 0 = Disabled
(Default: `0`)| -| `CHECK_LATCHES_IN_DESIGN` | Checks for any latches or failures in synthesis and aborts if any was found. 1 = Enabled, 0 = Disabled
(Default: `1`)| -| `CHECK_DIODE_PLACEMENT` | Checks if there was any failure in legalizing placement after inserting diodes and aborts if any was found.1 = Enabled, 0 = Disabled
(Default: `1`)| - - - diff --git a/configuration/checkers.tcl b/configuration/checkers.tcl index 425b85edf..3159c1da6 100644 --- a/configuration/checkers.tcl +++ b/configuration/checkers.tcl @@ -14,7 +14,7 @@ # Synthesis set ::env(CHECK_ASSIGN_STATEMENTS) 0 -set ::env(CHECK_LATCHES_IN_DESIGN) 1 +set ::env(CHECK_UNMAPPED_CELLS) 1 # Floor Planning diff --git a/scripts/openroad/or_cts.tcl b/scripts/openroad/or_cts.tcl index 915e8028f..ecf25914d 100644 --- a/scripts/openroad/or_cts.tcl +++ b/scripts/openroad/or_cts.tcl @@ -30,12 +30,14 @@ read_sdc $::env(SCRIPTS_DIR)/base.sdc set max_slew [expr {$::env(SYNTH_MAX_TRAN) * 1e-9}]; # must convert to seconds set max_cap [expr {$::env(CTS_MAX_CAP) * 1e-12}]; # must convert to farad +puts "\[INFO\]: Configuring cts characterization..." configure_cts_characterization\ -max_slew $max_slew\ -max_cap $max_cap\ -sqr_cap $::env(CTS_SQR_CAP)\ -sqr_res $::env(CTS_SQR_RES) +puts "\[INFO\]: Performing clock tree synthesis..." clock_tree_synthesis\ -buf_list $::env(CTS_CLK_BUFFER_LIST)\ -root_buf $::env(CTS_ROOT_BUFFER)\ diff --git a/scripts/tcl_commands/synthesis.tcl b/scripts/tcl_commands/synthesis.tcl index 07d3376c2..3522098d4 100644 --- a/scripts/tcl_commands/synthesis.tcl +++ b/scripts/tcl_commands/synthesis.tcl @@ -94,7 +94,7 @@ proc run_synthesis {args} { check_assign_statements } - if { $::env(CHECK_LATCHES_IN_DESIGN) == 1 } { + if { $::env(CHECK_UNMAPPED_CELLS) == 1 } { check_synthesis_failure } }