Skip to content
New issue

Have a question about this project? Sign up for a free GitHub account to open an issue and contact its maintainers and the community.

By clicking “Sign up for GitHub”, you agree to our terms of service and privacy statement. We’ll occasionally send you account related emails.

Already on GitHub? Sign in to your account

GPIO0 missing #30

Closed
thesourcerer8 opened this issue Jan 19, 2023 · 4 comments
Closed

GPIO0 missing #30

thesourcerer8 opened this issue Jan 19, 2023 · 4 comments

Comments

@thesourcerer8
Copy link
Contributor

The io_config.py doesn't check for GPIO#0 , it starts with GPIO#1:

*** flashing Caravel
gpio[1] >> Passed

but in the end it claims that gpio#0 is ok:
== LOW chain FAILED. Valid IO = 0 thur 14. ==

@thesourcerer8
Copy link
Contributor Author

It also claims that GPIOs are valid which have actually timed out!

@thesourcerer8
Copy link
Contributor Author

These are off-by-one errors.

@proppy
Copy link
Contributor

proppy commented Jan 24, 2023

Is that fixed by @jeffdi's recent commits?
https://github.com/efabless/caravel_board/commits?author=jeffdi

@thesourcerer8
Copy link
Contributor Author

I heard from Tim Edwards, that the GPIO0 does not have any Hold Violations, so it's assumed to be always good and doesn't need to be checked.

@jeffdi jeffdi closed this as completed Feb 8, 2023
Sign up for free to join this conversation on GitHub. Already have an account? Sign in to comment
Labels
None yet
Projects
Status: Done
Development

No branches or pull requests

3 participants