diff --git a/pvtoolsSrc/pvinfo.cpp b/pvtoolsSrc/pvinfo.cpp index c9dc54d6..1f16a6a4 100644 --- a/pvtoolsSrc/pvinfo.cpp +++ b/pvtoolsSrc/pvinfo.cpp @@ -131,7 +131,7 @@ int main (int argc, char *argv[]) SET_LOG_LEVEL(debug ? pva::logLevelDebug : pva::logLevelError); - std::vector infos(argc - optind); + std::vector > infos; pva::ca::CAClientFactory::start(); @@ -139,7 +139,9 @@ int main (int argc, char *argv[]) pvac::ClientProvider prov(defaultProvider); for(int i = optind; i info(new GetInfo); + info->op = prov.connect(argv[i]).info(info.get()); + infos.push_back(info); } Tracker::prepare(); // install signal handler