diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..5b65d77 --- /dev/null +++ b/.gitignore @@ -0,0 +1,18 @@ +/.vs + +.Xil + +/project/vivado/run/* +!/project/vivado/run/.gitkeep + +/project/petalinux/*/.petalinux +/project/petalinux/*/project-spec/hw-description/* +!/project/petalinux/*/project-spec/hw-description/metadata + +/project/yocto/*/build/cache +/project/yocto/*/build/downloads +/project/yocto/*/build/sstate-cache +/project/yocto/*/build/tmp +/project/yocto/*/build/*.log +/project/yocto/*/build/*.lock +# !/project/yocto/*/build/conf/bblayers.conf diff --git a/.gitmodules b/.gitmodules new file mode 100644 index 0000000..ebb2cc2 --- /dev/null +++ b/.gitmodules @@ -0,0 +1,27 @@ +[submodule "project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp"] + path = project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp + url = https://github.com/extra2000/ros2-helloworld-cpp.git +[submodule "project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python"] + path = project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python + url = https://github.com/extra2000/ros2-helloworld-python.git +[submodule "project/third-party/digilent-vivado-library"] + path = project/third-party/digilent-vivado-library + url = https://github.com/extra2000/digilent-vivado-library.git +[submodule "project/yocto/rpizero-w/poky"] + path = project/yocto/rpizero-w/layers/poky + url = https://github.com/yoctoproject/poky.git +[submodule "project/yocto/rpizero-w/meta-openembedded"] + path = project/yocto/rpizero-w/layers/meta-openembedded + url = https://github.com/openembedded/meta-openembedded.git +[submodule "project/yocto/rpizero-w/meta-raspberrypi"] + path = project/yocto/rpizero-w/layers/meta-raspberrypi + url = https://git.yoctoproject.org/meta-raspberrypi +[submodule "project/yocto/rpizero-w/layers/meta-ros"] + path = project/yocto/rpizero-w/layers/meta-ros + url = https://github.com/ros/meta-ros.git +[submodule "project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp"] + path = project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp + url = https://github.com/extra2000/ros2-helloworld-cpp.git +[submodule "project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python"] + path = project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python + url = https://github.com/extra2000/ros2-helloworld-python.git diff --git a/project/petalinux/arty-z7-20/.gitignore b/project/petalinux/arty-z7-20/.gitignore new file mode 100644 index 0000000..85333e5 --- /dev/null +++ b/project/petalinux/arty-z7-20/.gitignore @@ -0,0 +1,12 @@ +*/*/config.old +*/*/rootfs_config.old +build/ +images/linux/ +pre-built/linux/ +.petalinux/* +!.petalinux/metadata +*.o +*.jou +*.log +/components/plnx_workspace +/components/yocto diff --git a/project/petalinux/arty-z7-20/config.project b/project/petalinux/arty-z7-20/config.project new file mode 100644 index 0000000..3d5b675 --- /dev/null +++ b/project/petalinux/arty-z7-20/config.project @@ -0,0 +1,11 @@ +# +# Automatically generated file; DO NOT EDIT. +# PetaLinux SDK Project Configuration +# +CONFIG_PROJECT_ADDITIONAL_COMPONENTS_SEARCH_PATH="" + +# +# Subsystems of the project +# +CONFIG_PROJECT_SUBSYSTEM_LINUX_INSTANCE_LINUX=y +CONFIG_PROJECT_SUBSYSTEMS=y diff --git a/project/petalinux/arty-z7-20/project-spec/attributes b/project/petalinux/arty-z7-20/project-spec/attributes new file mode 100644 index 0000000..41530e7 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/attributes @@ -0,0 +1,7 @@ +#Virtual Providers + + + +#defconfigs + +UBOOT_DEFAULT_DEFCONFIG="xilinx_zynq_virt_defconfig" diff --git a/project/petalinux/arty-z7-20/project-spec/configs/busybox/inetd.conf b/project/petalinux/arty-z7-20/project-spec/configs/busybox/inetd.conf new file mode 100644 index 0000000..b7c0bbc --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/configs/busybox/inetd.conf @@ -0,0 +1,22 @@ +#/etc/inetd.conf: see inetd(8) for further informations. +# +# Internet server configuration database +# +# If you want to disable an entry so it isn't touched during +# package updates just comment it out with a single '#' character. +# +# +# +#:INTERNAL: Internal services +#echo stream tcp nowait root internal +#echo dgram udp wait root internal +#chargen stream tcp nowait root internal +#chargen dgram udp wait root internal +#discard stream tcp nowait root internal +#discard dgram udp wait root internal +#daytime stream tcp nowait root internal +#daytime dgram udp wait root internal +#time stream tcp nowait root internal +#time dgram udp wait root internal +telnet stream tcp nowait root telnetd telnetd -i +ftp stream tcp nowait root ftpd ftpd -w diff --git a/project/petalinux/arty-z7-20/project-spec/configs/config b/project/petalinux/arty-z7-20/project-spec/configs/config new file mode 100644 index 0000000..650031b --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/configs/config @@ -0,0 +1,257 @@ +# +# Automatically generated file; DO NOT EDIT. +# misc/config System Configuration +# +CONFIG_SUBSYSTEM_TYPE_LINUX=y +CONFIG_SYSTEM_ZYNQ=y + +# +# Linux Components Selection +# +CONFIG_SUBSYSTEM_COMPONENT_DEVICE__TREE_NAME_DEVICE__TREE__GENERATOR=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_AUTO_FSBL=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_NAME_ZYNQ_FSBL=y +CONFIG_SUBSYSTEM_COMPONENT_BOOTLOADER_AUTO_PS_INIT=y +CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_U__BOOT__XLNX=y +# CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_REMOTE is not set +# CONFIG_SUBSYSTEM_COMPONENT_U__BOOT_NAME_EXT__LOCAL__SRC is not set +CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_LINUX__XLNX=y +# CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_REMOTE is not set +# CONFIG_SUBSYSTEM_COMPONENT_LINUX__KERNEL_NAME_EXT__LOCAL__SRC is not set + +# +# Auto Config Settings +# +CONFIG_SUBSYSTEM_AUTOCONFIG_DEVICE__TREE=y +# CONFIG_SUBSYSTEM_DEVICE_TREE_MANUAL_INCLUDE is not set +CONFIG_SUBSYSTEM_HARDWARE_AUTO=y +CONFIG_SUBSYSTEM_PROCESSOR0_IP_NAME="ps7_cortexa9_0" +CONFIG_SUBSYSTEM_PROCESSOR_ps7_cortexa9_0_SELECT=y +CONFIG_SUBSYSTEM_ARCH_ARM=y + +# +# Memory Settings +# +CONFIG_SUBSYSTEM_MEMORY_PS7_DDR_0_BANKLESS_SELECT=y +# CONFIG_SUBSYSTEM_MEMORY_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_MEMORY_PS7_DDR_0_BANKLESS_BASEADDR=0x0 +CONFIG_SUBSYSTEM_MEMORY_PS7_DDR_0_BANKLESS_SIZE=0x20000000 +CONFIG_SUBSYSTEM_MEMORY_PS7_DDR_0_BANKLESS_KERNEL_BASEADDR=0x0 +CONFIG_SUBSYSTEM_MEMORY_PS7_DDR_0_BANKLESS_U__BOOT_TEXTBASE_OFFSET=0x400000 +CONFIG_SUBSYSTEM_MEMORY_IP_NAME="PS7_DDR_0" + +# +# Serial Settings +# +CONFIG_SUBSYSTEM_FSBL_SERIAL_PS7_UART_0_SELECT=y +# CONFIG_SUBSYSTEM_FSBL_SERIAL_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_SELECT=y +# CONFIG_SUBSYSTEM_SERIAL_MANUAL_SELECT is not set +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_600 is not set +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_9600 is not set +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_28800 is not set +CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_115200=y +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_230400 is not set +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_460800 is not set +# CONFIG_SUBSYSTEM_SERIAL_PS7_UART_0_BAUDRATE_921600 is not set +CONFIG_SUBSYSTEM_SERIAL_FSBL_IP_NAME="ps7_uart_0" +CONFIG_SUBSYSTEM_SERIAL_IP_NAME="ps7_uart_0" + +# +# Ethernet Settings +# +CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_SELECT=y +# CONFIG_SUBSYSTEM_ETHERNET_MANUAL_SELECT is not set +# CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_MAC_AUTO is not set +CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_MAC="00:0a:35:00:1e:53" +CONFIG_SUBSYSTEM_ETHERNET_PS7_ETHERNET_0_USE_DHCP=y + +# +# Flash Settings +# +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_SELECT=y +# CONFIG_SUBSYSTEM_FLASH_MANUAL_SELECT is not set +# CONFIG_SUBSYSTEM_FLASH__ADVANCED_AUTOCONFIG is not set + +# +# partition 0 +# +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART0_NAME="qspi-boot" +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART0_SIZE=0x500000 + +# +# partition 1 +# +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART1_NAME="qspi-kernel" +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART1_SIZE=0xA80000 + +# +# partition 2 +# +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART2_NAME="qspi-bootenv" +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART2_SIZE=0x20000 + +# +# partition 3 +# +CONFIG_SUBSYSTEM_FLASH_PS7_QSPI_0_BANKLESS_PART3_NAME="" +CONFIG_SUBSYSTEM_FLASH_IP_NAME="ps7_qspi_0" + +# +# SD/SDIO Settings +# +CONFIG_SUBSYSTEM_PRIMARY_SD_PS7_SD_0_SELECT=y +# CONFIG_SUBSYSTEM_PRIMARY_SD_MANUAL_SELECT is not set +CONFIG_SUBSYSTEM_SD_PS7_SD_0_SELECT=y + +# +# RTC Settings +# +CONFIG_SUBSYSTEM_RTC_MANUAL_SELECT=y +CONFIG_SUBSYSTEM_USB_PS7_USB_0_SELECT=y +CONFIG_SUBSYSTEM_ENDIAN_LITTLE=y + +# +# DTG Settings +# +CONFIG_SUBSYSTEM_MACHINE_NAME="template" +CONFIG_SUBSYSTEM_EXTRA_DT_FILES="" + +# +# Kernel Bootargs +# +CONFIG_SUBSYSTEM_BOOTARGS_AUTO=y +CONFIG_SUBSYSTEM_BOOTARGS_EARLYPRINTK=y +CONFIG_SUBSYSTEM_BOOTARGS_GENERATED="console=ttyPS0,115200 earlycon root=/dev/mmcblk0p2 rw rootwait" +CONFIG_SUBSYSTEM_EXTRA_BOOTARGS="" +CONFIG_SUBSYSTEM_DEVICETREE_COMPILER_FLAGS="-@" +# CONFIG_SUBSYSTEM_DTB_OVERLAY is not set +# CONFIG_SUBSYSTEM_REMOVE_PL_DTB is not set +# CONFIG_SUBSYSTEM_ENABLE_NO_ALIAS is not set +# CONFIG_SUBSYSTEM_ENABLE_DT_VERBOSE is not set + +# +# FSBL Configuration +# +CONFIG_SUBSYSTEM_FSBL_BSPCOMPILER_FLAGS="" +CONFIG_SUBSYSTEM_FSBL_COMPILER_EXTRA_FLAGS="" + +# +# FPGA Manager +# +CONFIG_SUBSYSTEM_FPGA_MANAGER=y +CONFIG_FPGA_HW_PATH="" + +# +# u-boot Configuration +# +CONFIG_SUBSYSTEM_UBOOT_CONFIG_TARGET="xilinx_zynq_virt_defconfig" + +# +# u-boot script configuration +# +CONFIG_SUBSYSTEM_UBOOT_APPEND_BASEADDR=y +CONFIG_SUBSYSTEM_UBOOT_PRE_BOOTENV="" + +# +# JTAG/DDR image offsets +# +CONFIG_SUBSYSTEM_UBOOT_DEVICETREE_OFFSET=0x100000 +CONFIG_SUBSYSTEM_UBOOT_KERNEL_OFFSET=0x200000 +CONFIG_SUBSYSTEM_UBOOT_RAMDISK_IMAGE_OFFSET=0x4000000 +CONFIG_SUBSYSTEM_UBOOT_FIT_IMAGE_OFFSET=0x10000000 + +# +# QSPI/OSPI image offsets +# +CONFIG_SUBSYSTEM_UBOOT_QSPI_KERNEL_OFFSET=0xA00000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_KERNEL_SIZE=0x600000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_RAMDISK_OFFSET=0x1000000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_RAMDISK_SIZE=0xF80000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_FIT_IMAGE_OFFSET=0xA80000 +CONFIG_SUBSYSTEM_UBOOT_QSPI_FIT_IMAGE_SIZE=0x1500000 + +# +# NAND image offsets +# +CONFIG_SUBSYSTEM_UBOOT_NAND_KERNEL_OFFSET=0x1000000 +CONFIG_SUBSYSTEM_UBOOT_NAND_KERNEL_SIZE=0x3200000 +CONFIG_SUBSYSTEM_UBOOT_NAND_RAMDISK_OFFSET=0x4600000 +CONFIG_SUBSYSTEM_UBOOT_NAND_RAMDISK_SIZE=0x3200000 +CONFIG_SUBSYSTEM_UBOOT_NAND_FIT_IMAGE_OFFSET=0x1080000 +CONFIG_SUBSYSTEM_UBOOT_NAND_FIT_IMAGE_SIZE=0x6400000 +CONFIG_SUBSYSTEM_UBOOT_KERNEL_IMAGE="uImage" +CONFIG_SUBSYSTEM_UBOOT_FIT_IMAGE="image.ub" +# CONFIG_SUBSYSTEM_UBOOT_EXT_DTB is not set + +# +# Linux Configuration +# +CONFIG_SUBSYSTEM_LINUX_CONFIG_TARGET="" + +# +# Image Packaging Configuration +# +# CONFIG_SUBSYSTEM_ROOTFS_INITRAMFS is not set +# CONFIG_SUBSYSTEM_ROOTFS_INITRD is not set +# CONFIG_SUBSYSTEM_ROOTFS_JFFS2 is not set +# CONFIG_SUBSYSTEM_ROOTFS_UBIFS is not set +# CONFIG_SUBSYSTEM_ROOTFS_NFS is not set +CONFIG_SUBSYSTEM_ROOTFS_EXT4=y +# CONFIG_SUBSYSTEM_ROOTFS_OTHER is not set +CONFIG_SUBSYSTEM_SDROOT_DEV="/dev/mmcblk0p2" +CONFIG_SUBSYSTEM_UIMAGE_NAME="image.ub" +CONFIG_SUBSYSTEM_RFS_FORMATS="tar.gz" +CONFIG_SUBSYSTEM_DTB_PADDING_SIZE=0x1000 +# CONFIG_SUBSYSTEM_COPY_TO_TFTPBOOT is not set + +# +# Firmware Version Configuration +# +CONFIG_SUBSYSTEM_HOSTNAME="arty-z7-20" +CONFIG_SUBSYSTEM_PRODUCT="arty-z7-20" +CONFIG_SUBSYSTEM_FW_VERSION="1.00" + +# +# Yocto Settings +# +CONFIG_YOCTO_MACHINE_NAME="zynq-generic" + +# +# TMPDIR Location +# +CONFIG_TMP_DIR_LOCATION="${PROOT}/build/tmp" + +# +# Devtool Workspace Location +# +CONFIG_DEVTOOL_WORKSPACE_LOCATION="${PROOT}/components/yocto/workspace" + +# +# Parallel thread execution +# +CONFIG_YOCTO_BB_NUMBER_THREADS="" +CONFIG_YOCTO_PARALLEL_MAKE="" + +# +# Add pre-mirror url +# +CONFIG_PRE_MIRROR_URL="http://petalinux.xilinx.com/sswreleases/rel-v${PETALINUX_MAJOR_VER}/downloads" + +# +# Local sstate feeds settings +# +CONFIG_YOCTO_LOCAL_SSTATE_FEEDS_URL="" +CONFIG_YOCTO_NETWORK_SSTATE_FEEDS=y + +# +# Network sstate feeds URL +# +CONFIG_YOCTO_NETWORK_SSTATE_FEEDS_URL="http://petalinux.xilinx.com/sswreleases/rel-v${PETALINUX_MAJOR_VER}/arm/sstate-cache" +# CONFIG_YOCTO_BB_NO_NETWORK is not set +# CONFIG_YOCTO_BUILDTOOLS_EXTENDED is not set + +# +# User Layers +# +CONFIG_USER_LAYER_0="" diff --git a/project/petalinux/arty-z7-20/project-spec/configs/init-ifupdown/interfaces b/project/petalinux/arty-z7-20/project-spec/configs/init-ifupdown/interfaces new file mode 100644 index 0000000..0acf4cf --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/configs/init-ifupdown/interfaces @@ -0,0 +1,31 @@ +# /etc/network/interfaces -- configuration file for ifup(8), ifdown(8) + +# The loopback interface +auto lo +iface lo inet loopback + +# Wireless interfaces +iface wlan0 inet dhcp + wireless_mode managed + wireless_essid any + wpa-driver wext + wpa-conf /etc/wpa_supplicant.conf + +iface atml0 inet dhcp + +# Wired or wireless interfaces +auto eth0 +iface eth0 inet dhcp +iface eth1 inet dhcp + +# Ethernet/RNDIS gadget (g_ether) +# ... or on host side, usbnet and random hwaddr +iface usb0 inet static + address 192.168.7.2 + netmask 255.255.255.0 + network 192.168.7.0 + gateway 192.168.7.1 + +# Bluetooth networking +iface bnep0 inet dhcp + diff --git a/project/petalinux/arty-z7-20/project-spec/configs/rootfs_config b/project/petalinux/arty-z7-20/project-spec/configs/rootfs_config new file mode 100644 index 0000000..4dd8670 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/configs/rootfs_config @@ -0,0 +1,3911 @@ +# +# Automatically generated file; DO NOT EDIT. +# Configuration +# +CONFIG_system-zynq=y + +# +# Filesystem Packages +# + +# +# admin +# + +# +# sudo +# +# CONFIG_sudo is not set +# CONFIG_sudo-dbg is not set +# CONFIG_sudo-dev is not set + +# +# base +# + +# +# base-files +# +# CONFIG_base-files is not set +# CONFIG_base-files-dbg is not set +# CONFIG_base-files-dev is not set + +# +# base-passwd +# +# CONFIG_base-passwd is not set +# CONFIG_base-passwd-dev is not set +# CONFIG_base-passwd-dbg is not set +# CONFIG_base-passwd-update is not set + +# +# bc +# +# CONFIG_bc is not set +# CONFIG_bc-dev is not set +# CONFIG_bc-dbg is not set + +# +# busybox +# +# CONFIG_busybox is not set +# CONFIG_busybox-udhcpd is not set +# CONFIG_busybox-httpd is not set +# CONFIG_busybox-dbg is not set +# CONFIG_busybox-inetd is not set +# CONFIG_busybox-dev is not set +# CONFIG_busybox-hwclock is not set +# CONFIG_busybox-udhcpc is not set +# CONFIG_busybox-syslog is not set + +# +# cpio +# +# CONFIG_cpio is not set +# CONFIG_cpio-dbg is not set +# CONFIG_cpio-dev is not set +# CONFIG_cpio-rmt is not set + +# +# dbus +# +# CONFIG_dbus is not set +# CONFIG_dbus-lib is not set +# CONFIG_dbus-dev is not set +# CONFIG_dbus-dbg is not set + +# +# dbus-glib +# +# CONFIG_dbus-glib is not set +# CONFIG_dbus-glib-bash-completion is not set +# CONFIG_dbus-glib-tests is not set +# CONFIG_dbus-glib-dbg is not set +# CONFIG_dbus-glib-dev is not set + +# +# dbus-wait +# +# CONFIG_dbus-wait is not set +# CONFIG_dbus-wait-dbg is not set +# CONFIG_dbus-wait-dev is not set + +# +# diffutils +# +# CONFIG_diffutils is not set +# CONFIG_diffutils-dbg is not set +# CONFIG_diffutils-dev is not set + +# +# dnf +# +CONFIG_dnf=y + +# +# e2fsprogs +# +# CONFIG_e2fsprogs is not set +# CONFIG_e2fsprogs-resize2fs is not set +# CONFIG_e2fsprogs-badblocks is not set +# CONFIG_e2fsprogs-e2fsck is not set +# CONFIG_libss is not set +# CONFIG_libcomerr is not set +# CONFIG_libext2fs is not set +# CONFIG_e2fsprogs-dev is not set +# CONFIG_e2fsprogs-tune2fs is not set +# CONFIG_libe2p is not set +CONFIG_e2fsprogs-mke2fs=y +# CONFIG_e2fsprogs-dbg is not set + +# +# ed +# +# CONFIG_ed is not set +# CONFIG_ed-dev is not set +# CONFIG_ed-dbg is not set + +# +# elfutils +# +# CONFIG_elfutils is not set +# CONFIG_libdw is not set +# CONFIG_elfutils-dev is not set +# CONFIG_elfutils-binutils is not set +# CONFIG_libelf is not set +# CONFIG_libasm is not set +# CONFIG_elfutils-dbg is not set + +# +# formfactor +# +# CONFIG_formfactor is not set +# CONFIG_formfactor-dbg is not set +# CONFIG_formfactor-dev is not set + +# +# fpga-manager-script +# +CONFIG_fpga-manager-script=y + +# +# haveged +# +CONFIG_haveged=y + +# +# i2c-tools +# +# CONFIG_i2c-tools is not set +# CONFIG_i2c-tools-dev is not set +# CONFIG_i2c-tools-dbg is not set +# CONFIG_i2c-tools-misc is not set + +# +# init-ifupdown +# +# CONFIG_init-ifupdown is not set +# CONFIG_init-ifupdown-dev is not set +# CONFIG_init-ifupdown-dbg is not set + +# +# initscripts +# +# CONFIG_initscripts is not set +# CONFIG_initscripts-dev is not set +# CONFIG_initscripts-dbg is not set +# CONFIG_initscripts-functions is not set + +# +# iproute2 +# +# CONFIG_iproute2 is not set +# CONFIG_iproute2-tc is not set +# CONFIG_iproute2-nstat is not set +# CONFIG_iproute2-dev is not set +# CONFIG_iproute2-lnstat is not set +# CONFIG_iproute2-rtacct is not set +# CONFIG_iproute2-ss is not set +# CONFIG_iproute2-ifstat is not set +# CONFIG_iproute2-genl is not set +# CONFIG_iproute2-bash-completion is not set +# CONFIG_iproute2-dbg is not set + +# +# kmod +# +# CONFIG_kmod is not set +# CONFIG_kmod-dbg is not set +# CONFIG_libkmod is not set +# CONFIG_kmod-dev is not set +# CONFIG_kmod-bash-completion is not set + +# +# linuxptp +# +# CONFIG_linuxptp is not set +# CONFIG_linuxptp-dev is not set +# CONFIG_linuxptp-dbg is not set + +# +# modutils-initscripts +# +# CONFIG_modutils-initscripts is not set +# CONFIG_modutils-initscripts-dev is not set +# CONFIG_modutils-initscripts-dbg is not set + +# +# mtd-utils +# +CONFIG_mtd-utils=y +# CONFIG_mtd-utils-ubifs is not set +# CONFIG_mtd-utils-dev is not set +# CONFIG_mtd-utils-jffs2 is not set +# CONFIG_mtd-utils-dbg is not set +# CONFIG_mtd-utils-misc is not set + +# +# netbase +# +# CONFIG_netbase is not set +# CONFIG_netbase-dbg is not set +# CONFIG_netbase-dev is not set + +# +# opkg +# +# CONFIG_opkg is not set +# CONFIG_opkg-dev is not set +# CONFIG_libopkg is not set +# CONFIG_opkg-dbg is not set + +# +# opkg-utils +# +# CONFIG_opkg-utils is not set +# CONFIG_update-alternatives-opkg is not set +# CONFIG_opkg-utils-dbg is not set + +# +# procps +# +# CONFIG_procps is not set +# CONFIG_procps-dbg is not set +# CONFIG_procps-dev is not set + +# +# pseudo +# +# CONFIG_pseudo is not set +# CONFIG_pseudo-dbg is not set +# CONFIG_pseudo-dev is not set + +# +# psplash +# +# CONFIG_psplash is not set +# CONFIG_psplash-dbg is not set +# CONFIG_psplash-default is not set +# CONFIG_psplash-dev is not set + +# +# quota +# +# CONFIG_quota is not set +# CONFIG_quota-dev is not set +# CONFIG_quota-dbg is not set + +# +# shared-mime-info +# +# CONFIG_shared-mime-info is not set +# CONFIG_shared-mime-info-dev is not set +# CONFIG_shared-mime-info-dbg is not set +# CONFIG_shared-mime-info-data is not set + +# +# shell +# + +# +# bash +# +# CONFIG_bash is not set +# CONFIG_bash-dev is not set +# CONFIG_bash-dbg is not set + +# +# sysvinit +# +# CONFIG_sysvinit is not set +# CONFIG_sysvinit-pidof is not set +# CONFIG_sysvinit-dbg is not set +# CONFIG_sysvinit-dev is not set +# CONFIG_sysvinit-sulogin is not set + +# +# tar +# +# CONFIG_tar is not set +# CONFIG_tar-dev is not set +# CONFIG_tar-rmt is not set +# CONFIG_tar-dbg is not set + +# +# tzdata +# +# CONFIG_tzdata is not set +# CONFIG_tzdata-asia is not set +# CONFIG_tzdata-arctic is not set +# CONFIG_tzdata-posix is not set +# CONFIG_tzdata-africa is not set +# CONFIG_tzdata-europe is not set +# CONFIG_tzdata-americas is not set +# CONFIG_tzdata-antarctica is not set +# CONFIG_tzdata-atlantic is not set +# CONFIG_tzdata-misc is not set +# CONFIG_tzdata-right is not set +# CONFIG_tzdata-pacific is not set +# CONFIG_tzdata-australia is not set + +# +# update-rc.d +# +# CONFIG_update-rc.d is not set +# CONFIG_update-rc.d-dev is not set +# CONFIG_update-rc.d-dbg is not set + +# +# usbutils +# +# CONFIG_usbutils is not set +# CONFIG_usbutils-dbg is not set +# CONFIG_usbutils-dev is not set + +# +# util-linux +# +# CONFIG_util-linux is not set +# CONFIG_util-linux-dev is not set +# CONFIG_util-linux-fsck.cramfs is not set +# CONFIG_util-linux-swaponoff is not set +# CONFIG_util-linux-sfdisk is not set +# CONFIG_util-linux-uuidd is not set +# CONFIG_util-linux-getopt is not set +# CONFIG_util-linux-findfs is not set +# CONFIG_util-linux-mountpoint is not set +# CONFIG_util-linux-hwclock is not set +# CONFIG_util-linux-mcookie is not set +# CONFIG_util-linux-dbg is not set +# CONFIG_util-linux-mkfs.cramfs is not set +# CONFIG_util-linux-blkid is not set +# CONFIG_util-linux-sulogin is not set +# CONFIG_util-linux-losetup is not set +# CONFIG_util-linux-fstrim is not set +# CONFIG_util-linux-cfdisk is not set +# CONFIG_util-linux-agetty is not set +# CONFIG_util-linux-bash-completion is not set +# CONFIG_util-linux-lscpu is not set +# CONFIG_util-linux-prlimit is not set +# CONFIG_util-linux-umount is not set +# CONFIG_util-linux-partx is not set +# CONFIG_util-linux-mkfs is not set +# CONFIG_util-linux-readprofile is not set +# CONFIG_util-linux-uuidgen is not set +# CONFIG_util-linux-mount is not set +# CONFIG_util-linux-fdisk is not set +# CONFIG_util-linux-fsck is not set + +# +# utils +# + +# +# shadow +# +# CONFIG_shadow is not set +# CONFIG_shadow-base is not set +# CONFIG_shadow-dev is not set +# CONFIG_shadow-dbg is not set + +# +# xz +# +# CONFIG_xz is not set +# CONFIG_xz-dev is not set +# CONFIG_xz-dbg is not set +# CONFIG_liblzma is not set + +# +# baseutils +# + +# +# shadow-securetty +# +# CONFIG_shadow-securetty is not set +# CONFIG_shadow-securetty-dev is not set +# CONFIG_shadow-securetty-dbg is not set + +# +# benchmark +# + +# +# tests +# + +# +# dhrystone +# +# CONFIG_dhrystone is not set +# CONFIG_dhrystone-dev is not set +# CONFIG_dhrystone-dbg is not set + +# +# linpack +# +# CONFIG_linpack is not set +# CONFIG_linpack-dbg is not set +# CONFIG_linpack-dev is not set + +# +# whetstone +# +# CONFIG_whetstone is not set +# CONFIG_whetstone-dev is not set +# CONFIG_whetstone-dbg is not set + +# +# bootgen +# +# CONFIG_bootgen is not set +# CONFIG_bootgen-dev is not set +# CONFIG_bootgen-dbg is not set + +# +# console +# + +# +# network +# + +# +# canutils +# +# CONFIG_canutils is not set +# CONFIG_canutils-dbg is not set +# CONFIG_canutils-dev is not set + +# +# can-utils +# +CONFIG_can-utils=y +# CONFIG_can-utils-dbg is not set +# CONFIG_can-utils-dev is not set + +# +# curl +# +# CONFIG_curl is not set +# CONFIG_curl-dev is not set +# CONFIG_libcurl is not set +# CONFIG_curl-dbg is not set + +# +# dropbear +# +# CONFIG_dropbear is not set +# CONFIG_dropbear-dev is not set +# CONFIG_dropbear-dbg is not set + +# +# ethtool +# +# CONFIG_ethtool is not set +# CONFIG_ethtool-dbg is not set +# CONFIG_ethtool-dev is not set + +# +# lrzsz +# +# CONFIG_lrzsz is not set +# CONFIG_lrzsz-dbg is not set +# CONFIG_lrzsz-dev is not set + +# +# mailx +# +# CONFIG_mailx is not set +# CONFIG_mailx-dbg is not set +# CONFIG_mailx-dev is not set + +# +# minicom +# +# CONFIG_minicom is not set +# CONFIG_minicom-dbg is not set +# CONFIG_minicom-dev is not set + +# +# nfs-utils +# +CONFIG_nfs-utils=y +# CONFIG_nfs-utils-dev is not set +# CONFIG_nfs-utils-client is not set +# CONFIG_nfs-utils-stats is not set +# CONFIG_nfs-utils-dbg is not set + +# +# openssh +# +# CONFIG_openssh is not set +# CONFIG_openssh-misc is not set +# CONFIG_openssh-dbg is not set +# CONFIG_openssh-sshd is not set +# CONFIG_openssh-keygen is not set +# CONFIG_openssh-ssh is not set +# CONFIG_openssh-dev is not set +# CONFIG_openssh-sftp is not set +# CONFIG_openssh-sftp-server is not set +# CONFIG_openssh-scp is not set + +# +# ppp +# +# CONFIG_ppp is not set +# CONFIG_ppp-minconn is not set +# CONFIG_ppp-l2tp is not set +# CONFIG_ppp-dev is not set +# CONFIG_ppp-password is not set +# CONFIG_ppp-radius is not set +# CONFIG_ppp-tools is not set +# CONFIG_ppp-dbg is not set +# CONFIG_ppp-oe is not set +# CONFIG_ppp-oa is not set +# CONFIG_ppp-winbind is not set + +# +# rpcbind +# +# CONFIG_rpcbind is not set +# CONFIG_rpcbind-dbg is not set +# CONFIG_rpcbind-dev is not set + +# +# rsync +# +# CONFIG_rsync is not set +# CONFIG_rsync-dev is not set +# CONFIG_rsync-dbg is not set + +# +# socat +# +# CONFIG_socat is not set +# CONFIG_socat-dbg is not set +# CONFIG_socat-dev is not set + +# +# subversion +# +# CONFIG_subversion is not set +# CONFIG_subversion-dev is not set +# CONFIG_subversion-dbg is not set + +# +# tcp-wrappers +# +# CONFIG_tcp-wrappers is not set +# CONFIG_tcp-wrappers-dbg is not set +# CONFIG_libwrap-dev is not set +# CONFIG_libwrap is not set + +# +# wget +# +# CONFIG_wget is not set +# CONFIG_wget-dev is not set +# CONFIG_wget-dbg is not set + +# +# tools +# + +# +# parted +# +# CONFIG_parted is not set +# CONFIG_parted-dbg is not set +# CONFIG_parted-dev is not set + +# +# utils +# + +# +# alsa-utils +# +# CONFIG_alsa-utils is not set +# CONFIG_alsa-utils-aconnect is not set +# CONFIG_alsa-utils-alsaloop is not set +# CONFIG_alsa-utils-aseqdump is not set +# CONFIG_alsa-utils-aplay is not set +# CONFIG_alsa-utils-iecset is not set +# CONFIG_alsa-utils-alsaucm is not set +# CONFIG_alsa-utils-dev is not set +# CONFIG_alsa-utils-alsamixer is not set +# CONFIG_alsa-utils-amixer is not set +# CONFIG_alsa-utils-speakertest is not set +# CONFIG_alsa-utils-alsactl is not set +# CONFIG_alsa-utils-dbg is not set +# CONFIG_alsa-utils-midi is not set +# CONFIG_alsa-utils-aseqnet is not set +# CONFIG_alsa-utils-alsatplg is not set + +# +# bash-completion +# +# CONFIG_bash-completion is not set +# CONFIG_bash-completion-dev is not set +# CONFIG_bash-completion-extra is not set +# CONFIG_bash-completion-dbg is not set + +# +# bzip2 +# +# CONFIG_bzip2 is not set +# CONFIG_libbz2 is not set +# CONFIG_bzip2-dbg is not set +# CONFIG_bzip2-dev is not set + +# +# file +# +# CONFIG_file is not set +# CONFIG_file-dbg is not set +# CONFIG_file-dev is not set + +# +# findutils +# +# CONFIG_findutils is not set +# CONFIG_findutils-dbg is not set +# CONFIG_findutils-dev is not set + +# +# gawk +# +# CONFIG_gawk is not set +# CONFIG_gawk-dbg is not set +# CONFIG_gawk-dev is not set + +# +# git +# +# CONFIG_git is not set +# CONFIG_git-bash-completion is not set +# CONFIG_gitweb is not set +# CONFIG_git-perltools is not set +# CONFIG_git-dev is not set +# CONFIG_git-dbg is not set + +# +# grep +# +# CONFIG_grep is not set +# CONFIG_grep-dbg is not set +# CONFIG_grep-dev is not set + +# +# groff +# +# CONFIG_groff is not set +# CONFIG_groff-dev is not set +# CONFIG_groff-dbg is not set + +# +# gzip +# +# CONFIG_gzip is not set +# CONFIG_gzip-dbg is not set +# CONFIG_gzip-dev is not set + +# +# hdparm +# +# CONFIG_hdparm is not set +# CONFIG_wiper is not set +# CONFIG_hdparm-dbg is not set +# CONFIG_hdparm-dev is not set + +# +# less +# +# CONFIG_less is not set +# CONFIG_less-dev is not set +# CONFIG_less-dbg is not set + +# +# ltp +# +# CONFIG_ltp is not set +# CONFIG_ltp-dev is not set +# CONFIG_ltp-dbg is not set + +# +# man +# +# CONFIG_man is not set + +# +# man-pages +# +# CONFIG_man-pages is not set +# CONFIG_man-pages-dbg is not set +# CONFIG_man-pages-dev is not set + +# +# mc +# +# CONFIG_mc is not set +# CONFIG_mc-dev is not set +# CONFIG_mc-helpers-perl is not set +# CONFIG_mc-helpers is not set +# CONFIG_mc-fish is not set +# CONFIG_mc-dbg is not set + +# +# pciutils +# +CONFIG_pciutils=y +# CONFIG_pciutils-ids is not set +# CONFIG_pciutils-dev is not set +# CONFIG_libpci is not set +# CONFIG_pciutils-dbg is not set + +# +# pkgconfig +# +# CONFIG_pkgconfig is not set +# CONFIG_pkgconfig-dbg is not set +# CONFIG_pkgconfig-dev is not set + +# +# screen +# +# CONFIG_screen is not set +# CONFIG_screen-dbg is not set +# CONFIG_screen-dev is not set + +# +# sed +# +# CONFIG_sed is not set +# CONFIG_sed-dbg is not set +# CONFIG_sed-dev is not set + +# +# setserial +# +# CONFIG_setserial is not set +# CONFIG_setserial-dev is not set +# CONFIG_setserial-dbg is not set + +# +# smartmontools +# +# CONFIG_smartmontools is not set +# CONFIG_smartmontools-dbg is not set +# CONFIG_smartmontools-dev is not set + +# +# strace +# +# CONFIG_strace is not set +# CONFIG_strace-dev is not set +# CONFIG_strace-dbg is not set + +# +# sysstat +# +# CONFIG_sysstat is not set +# CONFIG_sysstat-dev is not set +# CONFIG_sysstat-dbg is not set + +# +# texinfo +# +# CONFIG_texinfo is not set +# CONFIG_texinfo-dev is not set +# CONFIG_info is not set +# CONFIG_texinfo-dbg is not set + +# +# unzip +# +# CONFIG_unzip is not set +# CONFIG_unzip-dbg is not set +# CONFIG_unzip-dev is not set + +# +# vim +# +# CONFIG_vim is not set +# CONFIG_vim-syntax is not set +# CONFIG_vim-dev is not set +# CONFIG_vim-help is not set +# CONFIG_vim-common is not set +# CONFIG_vim-vimrc is not set +# CONFIG_vim-tutor is not set +# CONFIG_vim-tools is not set +# CONFIG_vim-dbg is not set + +# +# zip +# +# CONFIG_zip is not set +# CONFIG_zip-dev is not set +# CONFIG_zip-dbg is not set + +# +# devel +# + +# +# autoconf +# +# CONFIG_autoconf is not set +# CONFIG_autoconf-dev is not set +# CONFIG_autoconf-dbg is not set + +# +# automake +# +# CONFIG_automake is not set +# CONFIG_automake-dev is not set +# CONFIG_automake-dbg is not set + +# +# binutils +# +# CONFIG_binutils is not set +# CONFIG_binutils-dev is not set +# CONFIG_binutils-dbg is not set + +# +# bison +# +# CONFIG_bison is not set +# CONFIG_bison-dbg is not set +# CONFIG_bison-dev is not set + +# +# ccache +# +# CONFIG_ccache is not set +# CONFIG_ccache-dbg is not set +# CONFIG_ccache-dev is not set + +# +# diffstat +# +# CONFIG_diffstat is not set +# CONFIG_diffstat-dbg is not set +# CONFIG_diffstat-dev is not set + +# +# distcc +# +# CONFIG_distcc is not set +# CONFIG_distcc-dbg is not set +# CONFIG_distcc-dev is not set + +# +# expect +# +# CONFIG_expect is not set +# CONFIG_expect-dbg is not set +# CONFIG_expect-dev is not set + +# +# flex +# +# CONFIG_flex is not set +# CONFIG_flex-dev is not set +# CONFIG_flex-dbg is not set + +# +# gmp +# +# CONFIG_gmp is not set +# CONFIG_gmp-dbg is not set +# CONFIG_gmp-dev is not set +# CONFIG_libgmpxx is not set + +# +# gnu-config +# +# CONFIG_gnu-config is not set + +# +# intltool +# +# CONFIG_intltool is not set +# CONFIG_intltool-dev is not set +# CONFIG_intltool-dbg is not set + +# +# libarchive +# +# CONFIG_libarchive is not set +# CONFIG_libarchive-dev is not set +# CONFIG_bsdcpio is not set +# CONFIG_bsdtar is not set +# CONFIG_libarchive-dbg is not set + +# +# libcheck +# +# CONFIG_libcheck is not set +# CONFIG_libcheck-dev is not set +# CONFIG_libcheck-dbg is not set + +# +# libpcre +# +# CONFIG_libpcre is not set +# CONFIG_libpcre-dev is not set +# CONFIG_libpcreposix is not set +# CONFIG_libpcre-dbg is not set +# CONFIG_libpcrecpp is not set +# CONFIG_pcretest is not set +# CONFIG_pcregrep is not set + +# +# lsof +# +# CONFIG_lsof is not set +# CONFIG_lsof-dev is not set +# CONFIG_lsof-dbg is not set + +# +# make +# +# CONFIG_make is not set +# CONFIG_make-dbg is not set +# CONFIG_make-dev is not set + +# +# mpfr +# +# CONFIG_mpfr is not set +# CONFIG_mpfr-dev is not set +# CONFIG_mpfr-dbg is not set + +# +# perl +# +# CONFIG_perl is not set +# CONFIG_perl-module-unicore is not set +# CONFIG_perl-dev is not set +# CONFIG_perl-misc is not set +# CONFIG_perl-dbg is not set +# CONFIG_perl-module-cpan is not set +# CONFIG_perl-modules is not set +# CONFIG_perl-pod is not set + +# +# python3-nose +# +# CONFIG_python3-nose is not set +# CONFIG_python3-nose-dbg is not set +# CONFIG_python3-nose-dev is not set + +# +# python3-numpy +# +# CONFIG_python3-numpy is not set +# CONFIG_python3-numpy-dev is not set +# CONFIG_python3-numpy-dbg is not set + +# +# python3-scons +# +# CONFIG_python3-scons is not set +# CONFIG_python3-scons-dev is not set +# CONFIG_python3-scons-dbg is not set + +# +# python3-dbus +# +# CONFIG_python3-dbus is not set +# CONFIG_python3-dbus-dbg is not set +# CONFIG_python3-dbus-dev is not set + +# +# python3-pygobject +# +# CONFIG_python3-pygobject is not set +# CONFIG_python3-pygobject-dbg is not set +# CONFIG_python3-pygobject-dev is not set + +# +# quilt +# +# CONFIG_quilt is not set +# CONFIG_quilt-dbg is not set +# CONFIG_quilt-dev is not set +# CONFIG_guards is not set + +# +# ruby +# + +# +# ruby +# +# CONFIG_ruby is not set +# CONFIG_ruby-dev is not set +# CONFIG_ruby-rdoc is not set +# CONFIG_ruby-dbg is not set + +# +# run-postinsts +# +CONFIG_run-postinsts=y +# CONFIG_run-postinsts-dbg is not set +# CONFIG_run-postinsts-dev is not set + +# +# swig +# +# CONFIG_swig is not set +# CONFIG_swig-dev is not set +# CONFIG_swig-dbg is not set + +# +# tcltk +# + +# +# tcl +# +# CONFIG_tcl is not set +# CONFIG_tcl-dev is not set +# CONFIG_tcl-lib is not set +# CONFIG_tcl-dbg is not set + +# +# vala +# +# CONFIG_vala is not set +# CONFIG_vala-dev is not set +# CONFIG_vala-dbg is not set + +# +# fonts +# + +# +# cantarell-fonts +# +# CONFIG_cantarell-fonts is not set +# CONFIG_cantarell-fonts-dbg is not set +# CONFIG_cantarell-fonts-dev is not set + +# +# kernel +# + +# +# userland +# + +# +# kexec-tools +# +# CONFIG_kexec-tools is not set +# CONFIG_kexec-tools-dbg is not set +# CONFIG_kdump is not set +# CONFIG_kexec-tools-dev is not set +# CONFIG_kexec is not set +# CONFIG_vmcore-dmesg is not set + +# +# libs +# + +# +# acl +# +# CONFIG_acl is not set +# CONFIG_acl-dev is not set +# CONFIG_libacl is not set +# CONFIG_acl-dbg is not set + +# +# apr +# +# CONFIG_apr is not set +# CONFIG_apr-dbg is not set +# CONFIG_apr-dev is not set + +# +# apr-util +# +# CONFIG_apr-util is not set +# CONFIG_apr-util-dev is not set +# CONFIG_apr-util-dbg is not set + +# +# attr +# +# CONFIG_attr is not set +# CONFIG_attr-dbg is not set +# CONFIG_attr-dev is not set +# CONFIG_libattr is not set + +# +# bluez5 +# +# CONFIG_bluez5 is not set +# CONFIG_bluez5-testtools is not set +# CONFIG_bluez5-dbg is not set +# CONFIG_bluez5-obex is not set +# CONFIG_bluez5-dev is not set +# CONFIG_bluez5-noinst-tools is not set + +# +# boost +# +CONFIG_boost=y +CONFIG_boost-random=y +CONFIG_boost-regex=y +CONFIG_boost-atomic=y +CONFIG_boost-thread=y +CONFIG_boost-serialization=y +CONFIG_boost-filesystem=y +CONFIG_boost-test=y +CONFIG_boost-system=y +CONFIG_boost-graph=y +CONFIG_boost-container=y +CONFIG_boost-date-time=y +CONFIG_boost-math=y +CONFIG_boost-wave=y +CONFIG_boost-chrono=y +CONFIG_boost-timer=y +CONFIG_boost-dev=y +CONFIG_boost-program-options=y +CONFIG_boost-iostreams=y +CONFIG_boost-dbg=y +CONFIG_boost-log=y + +# +# cairo +# +# CONFIG_cairo is not set +# CONFIG_cairo-gobject is not set +# CONFIG_cairo-script-interpreter is not set +# CONFIG_cairo-perf-utils is not set +# CONFIG_cairo-dbg is not set +# CONFIG_cairo-dev is not set + +# +# db +# +# CONFIG_db is not set +# CONFIG_db-dbg is not set +# CONFIG_db-cxx is not set +# CONFIG_db-dev is not set +# CONFIG_db-bin is not set + +# +# devel +# + +# +# libyaml +# +CONFIG_libyaml=y +CONFIG_libyaml-dev=y +# CONFIG_libyaml-dbg is not set + +# +# expat +# +# CONFIG_expat is not set +# CONFIG_expat-bin is not set +# CONFIG_expat-dbg is not set +# CONFIG_expat-dev is not set + +# +# faad2 +# +# CONFIG_faad2 is not set +# CONFIG_faad2-dev is not set +# CONFIG_faad2-dbg is not set + +# +# ffmpeg +# +# CONFIG_ffmpeg is not set +# CONFIG_ffmpeg-dbg is not set +# CONFIG_ffmpeg-dev is not set + +# +# flac +# +# CONFIG_flac is not set +# CONFIG_flac-dbg is not set +# CONFIG_libflacPLUSPLUS is not set +# CONFIG_libflac is not set +# CONFIG_flac-dev is not set + +# +# fontconfig +# +# CONFIG_fontconfig is not set +# CONFIG_fontconfig-utils is not set +# CONFIG_fontconfig-dbg is not set +# CONFIG_fontconfig-dev is not set + +# +# freetype +# +# CONFIG_freetype is not set +# CONFIG_freetype-dbg is not set +# CONFIG_freetype-dev is not set + +# +# gdbm +# +# CONFIG_gdbm is not set +# CONFIG_gdbm-bin is not set +# CONFIG_gdbm-compat is not set +# CONFIG_gdbm-dbg is not set +# CONFIG_gdbm-dev is not set + +# +# gdk-pixbuf +# +# CONFIG_gdk-pixbuf is not set +# CONFIG_gdk-pixbuf-xlib is not set +# CONFIG_gdk-pixbuf-dbg is not set +# CONFIG_gdk-pixbuf-dev is not set + +# +# gettext +# +# CONFIG_gettext is not set +# CONFIG_libgettextlib is not set +# CONFIG_gettext-dev is not set +# CONFIG_gettext-runtime is not set +# CONFIG_libgettextsrc is not set +# CONFIG_gettext-dbg is not set + +# +# glib-networking +# +# CONFIG_glib-networking is not set +# CONFIG_glib-networking-dbg is not set +# CONFIG_glib-networking-dev is not set + +# +# gobject-introspection +# +# CONFIG_gobject-introspection is not set +# CONFIG_gobject-introspection-dev is not set +# CONFIG_gobject-introspection-dbg is not set + +# +# gtk+ +# +# CONFIG_gtkPLUS is not set +# CONFIG_gtkPLUS-dev is not set +# CONFIG_gtkPLUS-dbg is not set +# CONFIG_libgail is not set +# CONFIG_gtk-demo is not set + +# +# gtk+3 +# +# CONFIG_gtkPLUS3 is not set +# CONFIG_gtkPLUS3-demo is not set +# CONFIG_gtkPLUS3-dev is not set +# CONFIG_gtkPLUS3-dbg is not set + +# +# harfbuzz +# +# CONFIG_harfbuzz is not set +# CONFIG_harfbuzz-icu is not set +# CONFIG_harfbuzz-icu-dev is not set +# CONFIG_harfbuzz-bin is not set +# CONFIG_harfbuzz-dev is not set +# CONFIG_harfbuzz-dbg is not set + +# +# libaio +# +# CONFIG_libaio is not set +# CONFIG_libaio-dev is not set +# CONFIG_libaio-dbg is not set + +# +# libcap +# +# CONFIG_libcap is not set +# CONFIG_libcap-dbg is not set +# CONFIG_libcap-dev is not set +# CONFIG_libcap-bin is not set + +# +# libdaemon +# +# CONFIG_libdaemon is not set +# CONFIG_libdaemon-dbg is not set +# CONFIG_libdaemon-dev is not set + +# +# libdmx +# +# CONFIG_libdmx is not set +# CONFIG_libdmx-dbg is not set +# CONFIG_libdmx-dev is not set + +# +# libeigen +# +# CONFIG_libeigen-dev is not set +# CONFIG_libeigen-dbg is not set + +# +# libepoxy +# +# CONFIG_libepoxy is not set +# CONFIG_libepoxy-dev is not set +# CONFIG_libepoxy-dbg is not set + +# +# libevdev +# +# CONFIG_libevdev is not set +# CONFIG_libevdev-dbg is not set +# CONFIG_libevdev-dev is not set + +# +# libevent +# +# CONFIG_libevent is not set +# CONFIG_libevent-dev is not set +# CONFIG_libevent-dbg is not set + +# +# libexif +# +# CONFIG_libexif is not set +# CONFIG_libexif-dbg is not set +# CONFIG_libexif-dev is not set + +# +# libffi +# +# CONFIG_libffi is not set +# CONFIG_libffi-dev is not set +# CONFIG_libffi-dbg is not set + +# +# libfontenc +# +# CONFIG_libfontenc is not set +# CONFIG_libfontenc-dev is not set +# CONFIG_libfontenc-dbg is not set + +# +# libgcrypt +# +# CONFIG_libgcrypt is not set +# CONFIG_libgcrypt-dbg is not set +# CONFIG_libgcrypt-dev is not set +# CONFIG_dumpsexp-dev is not set + +# +# libgpg-error +# +# CONFIG_libgpg-error is not set +# CONFIG_libgpg-error-dbg is not set +# CONFIG_libgpg-error-dev is not set + +# +# libgphoto2 +# +# CONFIG_libgphoto2 is not set +# CONFIG_libgphoto2-dbg is not set +# CONFIG_libgphotoport is not set +# CONFIG_libgphoto2-bin is not set +# CONFIG_libgphoto2-camlibs is not set +# CONFIG_libgphoto2-dev is not set + +# +# libgpiod +# +CONFIG_libgpiod=y +CONFIG_libgpiod-dev=y +CONFIG_libgpiod-dbg=y + +# +# libgudev +# +# CONFIG_libgudev is not set +# CONFIG_libgudev-dev is not set +# CONFIG_libgudev-dbg is not set + +# +# libical +# +# CONFIG_libical is not set +# CONFIG_libical-dev is not set +# CONFIG_libical-dbg is not set + +# +# libice +# +# CONFIG_libice is not set +# CONFIG_libice-dbg is not set +# CONFIG_libice-dev is not set + +# +# libid3tag +# +# CONFIG_libid3tag is not set +# CONFIG_libid3tag-dbg is not set +# CONFIG_libid3tag-dev is not set + +# +# libidn +# +# CONFIG_libidn is not set +# CONFIG_idn is not set +# CONFIG_libidn-dbg is not set +# CONFIG_libidn-dev is not set + +# +# libinput +# +# CONFIG_libinput is not set +# CONFIG_libinput-dbg is not set +# CONFIG_libinput-dev is not set + +# +# libjpeg-turbo +# +# CONFIG_libjpeg-turbo is not set +# CONFIG_libturbojpeg is not set +# CONFIG_jpeg-tools is not set +# CONFIG_libjpeg-turbo-dbg is not set +# CONFIG_libjpeg-turbo-dev is not set + +# +# libmetal +# +# CONFIG_libmetal is not set +# CONFIG_libmetal-dbg is not set +# CONFIG_libmetal-dev is not set + +# +# libmpc +# +# CONFIG_libmpc is not set +# CONFIG_libmpc-dev is not set +# CONFIG_libmpc-dbg is not set + +# +# libnet +# +# CONFIG_libnet is not set +# CONFIG_libnet-dev is not set +# CONFIG_libnet-dbg is not set + +# +# libnewt +# +# CONFIG_libnewt is not set +# CONFIG_libnewt-dev is not set +# CONFIG_libnewt-dbg is not set +# CONFIG_whiptail is not set + +# +# libnotify +# +# CONFIG_libnotify is not set +# CONFIG_libnotify-dbg is not set +# CONFIG_libnotify-dev is not set + +# +# libnss-mdns +# +# CONFIG_libnss-mdns is not set +# CONFIG_libnss-mdns-dbg is not set +# CONFIG_libnss-mdns-dev is not set + +# +# libogg +# +# CONFIG_libogg is not set +# CONFIG_libogg-dev is not set +# CONFIG_libogg-dbg is not set + +# +# libpciaccess +# +# CONFIG_libpciaccess is not set +# CONFIG_libpciaccess-dbg is not set +# CONFIG_libpciaccess-dev is not set + +# +# libpng +# +# CONFIG_libpng is not set +# CONFIG_libpng-dev is not set +# CONFIG_libpng-dbg is not set +# CONFIG_libpng-tools is not set + +# +# libproxy +# +# CONFIG_libproxy is not set +# CONFIG_libproxy-dev is not set +# CONFIG_libproxy-dbg is not set + +# +# libsamplerate0 +# +# CONFIG_libsamplerate0 is not set +# CONFIG_libsamplerate0-dbg is not set +# CONFIG_libsamplerate0-dev is not set + +# +# libsecret +# +# CONFIG_libsecret is not set +# CONFIG_libsecret-dbg is not set +# CONFIG_libsecret-dev is not set + +# +# libsm +# +# CONFIG_libsm is not set +# CONFIG_libsm-dev is not set +# CONFIG_libsm-dbg is not set + +# +# libtasn1 +# +# CONFIG_libtasn1 is not set +# CONFIG_libtasn1-bin is not set +# CONFIG_libtasn1-dev is not set +# CONFIG_libtasn1-dbg is not set + +# +# libtheora +# +# CONFIG_libtheora is not set +# CONFIG_libtheora-dbg is not set +# CONFIG_libtheora-dev is not set + +# +# libtool +# +# CONFIG_libtool is not set +# CONFIG_libtool-dbg is not set +# CONFIG_libltdl is not set +# CONFIG_libtool-dev is not set + +# +# liburcu +# +# CONFIG_liburcu is not set +# CONFIG_liburcu-dev is not set +# CONFIG_liburcu-dbg is not set + +# +# libusb-compat +# +# CONFIG_libusb-compat is not set +# CONFIG_libusb-compat-dev is not set +# CONFIG_libusb-compat-dbg is not set + +# +# libusb1 +# +# CONFIG_libusb1 is not set +# CONFIG_libusb1-dbg is not set +# CONFIG_libusb1-dev is not set + +# +# libvorbis +# +# CONFIG_libvorbis is not set +# CONFIG_libvorbis-dbg is not set +# CONFIG_libvorbis-dev is not set + +# +# libwebp +# +# CONFIG_libwebp is not set +# CONFIG_libwebp-bin is not set +# CONFIG_libwebp-dev is not set +# CONFIG_libwebp-dbg is not set + +# +# libx11 +# +# CONFIG_libx11 is not set +# CONFIG_libx11-dbg is not set +# CONFIG_libx11-xcb is not set +# CONFIG_libx11-dev is not set + +# +# libxau +# +# CONFIG_libxau is not set +# CONFIG_libxau-dbg is not set +# CONFIG_libxau-dev is not set + +# +# libxcomposite +# +# CONFIG_libxcomposite is not set +# CONFIG_libxcomposite-dbg is not set +# CONFIG_libxcomposite-dev is not set + +# +# libxcursor +# +# CONFIG_libxcursor is not set +# CONFIG_libxcursor-dev is not set +# CONFIG_libxcursor-dbg is not set + +# +# libxdamage +# +# CONFIG_libxdamage is not set +# CONFIG_libxdamage-dev is not set +# CONFIG_libxdamage-dbg is not set + +# +# libxdmcp +# +# CONFIG_libxdmcp is not set +# CONFIG_libxdmcp-dev is not set +# CONFIG_libxdmcp-dbg is not set + +# +# libxext +# +# CONFIG_libxext is not set +# CONFIG_libxext-dbg is not set +# CONFIG_libxext-dev is not set + +# +# libxfixes +# +# CONFIG_libxfixes is not set +# CONFIG_libxfixes-dev is not set +# CONFIG_libxfixes-dbg is not set + +# +# libxfont +# +# CONFIG_libxfont is not set +# CONFIG_libxfont-dev is not set +# CONFIG_libxfont-dbg is not set + +# +# libxft +# +# CONFIG_libxft is not set +# CONFIG_libxft-dev is not set +# CONFIG_libxft-dbg is not set + +# +# libxi +# +# CONFIG_libxi is not set +# CONFIG_libxi-dbg is not set +# CONFIG_libxi-dev is not set + +# +# libxinerama +# +# CONFIG_libxinerama is not set +# CONFIG_libxinerama-dbg is not set +# CONFIG_libxinerama-dev is not set + +# +# libxkbcommon +# +# CONFIG_libxkbcommon is not set +# CONFIG_libxkbcommon-dbg is not set +# CONFIG_libxkbcommon-dev is not set + +# +# libxkbfile +# +# CONFIG_libxkbfile is not set +# CONFIG_libxkbfile-dbg is not set +# CONFIG_libxkbfile-dev is not set + +# +# libxml-parser-perl +# +# CONFIG_libxml-parser-perl is not set +# CONFIG_libxml-parser-perl-dbg is not set +# CONFIG_libxml-parser-perl-dev is not set + +# +# libxml2 +# +# CONFIG_libxml2 is not set +# CONFIG_libxml2-dbg is not set +# CONFIG_libxml2-dev is not set +# CONFIG_libxml2-python is not set + +# +# libxmu +# +# CONFIG_libxmu is not set +# CONFIG_libxmu-dev is not set +# CONFIG_libxmu-dbg is not set +# CONFIG_libxmuu is not set + +# +# libxrandr +# +# CONFIG_libxrandr is not set +# CONFIG_libxrandr-dev is not set +# CONFIG_libxrandr-dbg is not set + +# +# libxrender +# +# CONFIG_libxrender is not set +# CONFIG_libxrender-dev is not set +# CONFIG_libxrender-dbg is not set + +# +# libxres +# +# CONFIG_libxres is not set +# CONFIG_libxres-dev is not set +# CONFIG_libxres-dbg is not set + +# +# libxslt +# +# CONFIG_libxslt is not set +# CONFIG_libxslt-dev is not set +# CONFIG_libxslt-bin is not set +# CONFIG_libxslt-dbg is not set + +# +# libxt +# +# CONFIG_libxt is not set +# CONFIG_libxt-dbg is not set +# CONFIG_libxt-dev is not set + +# +# libxtst +# +# CONFIG_libxtst is not set +# CONFIG_libxtst-dev is not set +# CONFIG_libxtst-dbg is not set + +# +# libxv +# +# CONFIG_libxv is not set +# CONFIG_libxv-dbg is not set +# CONFIG_libxv-dev is not set + +# +# libxxf86vm +# +# CONFIG_libxxf86vm is not set +# CONFIG_libxxf86vm-dbg is not set +# CONFIG_libxxf86vm-dev is not set + +# +# lzo +# +# CONFIG_lzo is not set +# CONFIG_lzo-dbg is not set +# CONFIG_lzo-dev is not set + +# +# mtdev +# +# CONFIG_mtdev is not set +# CONFIG_mtdev-dbg is not set +# CONFIG_mtdev-dev is not set + +# +# multimedia +# + +# +# alsa-lib +# +# CONFIG_alsa-lib is not set +# CONFIG_alsa-lib-dbg is not set +# CONFIG_alsa-lib-dev is not set +# CONFIG_alsa-server is not set +# CONFIG_libasound is not set +# CONFIG_alsa-conf-base is not set +# CONFIG_alsa-conf is not set +# CONFIG_alsa-oss is not set + +# +# libsndfile1 +# +# CONFIG_libsndfile1 is not set +# CONFIG_libsndfile1-dbg is not set +# CONFIG_libsndfile1-dev is not set +# CONFIG_libsndfile1-bin is not set + +# +# pulseaudio +# +# CONFIG_pulseaudio is not set +# CONFIG_pulseaudio-misc is not set +# CONFIG_libpulse-mainloop-glib is not set +# CONFIG_pulseaudio-dbg is not set +# CONFIG_libpulsecommon is not set +# CONFIG_pulseaudio-module-console-kit is not set +# CONFIG_pulseaudio-bash-completion is not set +# CONFIG_libpulse-simple is not set +# CONFIG_libpulsecore is not set +# CONFIG_libpulse is not set +# CONFIG_pulseaudio-dev is not set +# CONFIG_pulseaudio-server is not set + +# +# taglib +# +# CONFIG_taglib is not set +# CONFIG_taglib-dev is not set +# CONFIG_taglib-c is not set +# CONFIG_taglib-dbg is not set + +# +# ncurses +# +# CONFIG_ncurses is not set +# CONFIG_ncurses-terminfo-base is not set +# CONFIG_ncurses-dev is not set +# CONFIG_ncurses-tools is not set +# CONFIG_ncurses-terminfo is not set +# CONFIG_ncurses-dbg is not set + +# +# neon +# +# CONFIG_neon is not set +# CONFIG_neon-dev is not set +# CONFIG_neon-dbg is not set + +# +# nettle +# +# CONFIG_nettle is not set +# CONFIG_nettle-dev is not set +# CONFIG_nettle-dbg is not set + +# +# network +# + +# +# libnl +# +# CONFIG_libnl is not set +# CONFIG_libnl-xfrm is not set +# CONFIG_libnl-nf is not set +# CONFIG_libnl-dev is not set +# CONFIG_libnl-cli is not set +# CONFIG_libnl-dbg is not set +# CONFIG_libnl-route is not set +# CONFIG_libnl-idiag is not set +# CONFIG_libnl-genl is not set + +# +# libpcap +# +# CONFIG_libpcap is not set +# CONFIG_libpcap-dev is not set +# CONFIG_libpcap-dbg is not set + +# +# libsocketcan +# +# CONFIG_libsocketcan is not set +# CONFIG_libsocketcan-dbg is not set +# CONFIG_libsocketcan-dev is not set + +# +# libtirpc +# +# CONFIG_libtirpc is not set +# CONFIG_libtirpc-dev is not set +# CONFIG_libtirpc-dbg is not set + +# +# openssl +# +# CONFIG_openssl is not set +# CONFIG_openssl-bin is not set +# CONFIG_openssl-conf is not set +# CONFIG_openssl-dbg is not set +# CONFIG_openssl-engines is not set +# CONFIG_libcrypto is not set +# CONFIG_openssl-dev is not set +# CONFIG_openssl-misc is not set +# CONFIG_libssl is not set + +# +# open-amp +# +# CONFIG_open-amp is not set +# CONFIG_open-amp-dbg is not set +# CONFIG_open-amp-dev is not set + +# +# opencv +# +# CONFIG_opencv is not set +# CONFIG_opencv-dev is not set +# CONFIG_opencv-apps is not set +# CONFIG_opencv-samples is not set +# CONFIG_opencv-dbg is not set + +# +# pango +# +# CONFIG_pango is not set +# CONFIG_pango-dbg is not set +# CONFIG_pango-dev is not set + +# +# popt +# +# CONFIG_popt is not set +# CONFIG_popt-dbg is not set +# CONFIG_popt-dev is not set + +# +# readline +# +# CONFIG_readline is not set +# CONFIG_readline-dev is not set +# CONFIG_readline-dbg is not set + +# +# sbc +# +# CONFIG_sbc is not set +# CONFIG_sbc-dev is not set +# CONFIG_sbc-dbg is not set + +# +# slang +# +# CONFIG_slang is not set +# CONFIG_slang-dev is not set +# CONFIG_slang-dbg is not set + +# +# speex +# +# CONFIG_speex is not set +# CONFIG_speex-dev is not set +# CONFIG_speex-dbg is not set + +# +# speexdsp +# +# CONFIG_speexdsp is not set +# CONFIG_speexdsp-dev is not set +# CONFIG_speexdsp-dbg is not set + +# +# sqlite3 +# +# CONFIG_sqlite3 is not set +# CONFIG_libsqlite3 is not set +# CONFIG_sqlite3-dbg is not set +# CONFIG_libsqlite3-dev is not set + +# +# startup-notification +# +# CONFIG_startup-notification is not set +# CONFIG_startup-notification-dev is not set +# CONFIG_startup-notification-dbg is not set + +# +# tremor +# +# CONFIG_tremor is not set +# CONFIG_tremor-dev is not set +# CONFIG_tremor-dbg is not set + +# +# which +# +# CONFIG_which is not set +# CONFIG_which-dev is not set +# CONFIG_which-dbg is not set + +# +# xrt +# +CONFIG_xrt=y +CONFIG_xrt-dev=y +# CONFIG_xrt-dbg is not set + +# +# zocl +# +CONFIG_zocl=y +CONFIG_zocl-dev=y +# CONFIG_zocl-dbg is not set + +# +# opencl-clhpp +# +CONFIG_opencl-clhpp-dev=y + +# +# opencl-headers +# +CONFIG_opencl-headers=y + +# +# protobuf +# +# CONFIG_protobuf is not set + +# +# zlib +# +# CONFIG_zlib is not set +# CONFIG_zlib-dbg is not set +# CONFIG_zlib-dev is not set + +# +# misc +# + +# +# alsa-state +# +# CONFIG_alsa-state is not set +# CONFIG_alsa-state-dev is not set +# CONFIG_alsa-state-dbg is not set +# CONFIG_alsa-states is not set + +# +# apache2 +# +# CONFIG_apache2 is not set +# CONFIG_apache2-dbg is not set +# CONFIG_apache2-dev is not set + +# +# at-spi2-atk +# +# CONFIG_at-spi2-atk is not set +# CONFIG_at-spi2-atk-dbg is not set +# CONFIG_at-spi2-atk-gnome is not set +# CONFIG_at-spi2-atk-dev is not set +# CONFIG_at-spi2-atk-gtk2 is not set + +# +# at-spi2-core +# +# CONFIG_at-spi2-core is not set +# CONFIG_at-spi2-core-dev is not set +# CONFIG_at-spi2-core-dbg is not set + +# +# babeltrace +# +# CONFIG_babeltrace is not set +# CONFIG_babeltrace-dev is not set +# CONFIG_babeltrace-dbg is not set + +# +# blktool +# +# CONFIG_blktool is not set +# CONFIG_blktool-dev is not set +# CONFIG_blktool-dbg is not set + +# +# blktrace +# +# CONFIG_blktrace is not set +# CONFIG_blktrace-dbg is not set +# CONFIG_blktrace-dev is not set + +# +# ca-certificates +# +# CONFIG_ca-certificates is not set +# CONFIG_ca-certificates-dev is not set +# CONFIG_ca-certificates-dbg is not set + +# +# chrpath +# +# CONFIG_chrpath is not set +# CONFIG_chrpath-dev is not set +# CONFIG_chrpath-dbg is not set + +# +# connman +# +# CONFIG_connman is not set +# CONFIG_connman-tests is not set +# CONFIG_connman-dev is not set +# CONFIG_connman-dbg is not set +# CONFIG_connman-tools is not set +# CONFIG_connman-wait-online is not set +# CONFIG_connman-client is not set + +# +# connman-conf +# +# CONFIG_connman-conf-dbg is not set + +# +# consolekit +# +# CONFIG_consolekit is not set +# CONFIG_consolekit-dbg is not set +# CONFIG_consolekit-dev is not set + +# +# coreutils +# +# CONFIG_coreutils is not set +# CONFIG_coreutils-dev is not set +# CONFIG_coreutils-dbg is not set + +# +# cpufrequtils +# +# CONFIG_cpufrequtils is not set +# CONFIG_cpufrequtils-dev is not set +# CONFIG_cpufrequtils-dbg is not set + +# +# cryptodev-linux +# +# CONFIG_cryptodev-linux is not set +# CONFIG_cryptodev-linux-dev is not set +# CONFIG_cryptodev-linux-dbg is not set + +# +# encodings +# +# CONFIG_encodings is not set +# CONFIG_encodings-dev is not set +# CONFIG_encodings-dbg is not set + +# +# epiphany +# +# CONFIG_epiphany is not set +# CONFIG_epiphany-dbg is not set +# CONFIG_epiphany-dev is not set + +# +# libudev +# +# CONFIG_libudev is not set +CONFIG_udev-extraconf=y +CONFIG_linux-xlnx-udev-rules=y + +# +# fbset +# +# CONFIG_fbset is not set +# CONFIG_fbset-dbg is not set +# CONFIG_fbset-dev is not set + +# +# fbset-modes +# +# CONFIG_fbset-modes is not set +# CONFIG_fbset-modes-dev is not set +# CONFIG_fbset-modes-dbg is not set + +# +# font-util +# +# CONFIG_font-util is not set +# CONFIG_font-util-dev is not set +# CONFIG_font-util-dbg is not set + +# +# gcc-runtime +# +CONFIG_libstdcPLUSPLUS=y +# CONFIG_libstdcPLUSPLUS-dev is not set + +# +# gcc-sanitizers +# +# CONFIG_gcc-sanitizers is not set +# CONFIG_libubsan-dev is not set +# CONFIG_libubsan is not set +# CONFIG_gcc-sanitizers-dbg is not set +# CONFIG_libasan is not set +# CONFIG_libasan-dev is not set + +# +# gcr +# +# CONFIG_gcr is not set +# CONFIG_gcr-dev is not set +# CONFIG_gcr-dbg is not set + +# +# gdb +# +# CONFIG_gdb is not set +# CONFIG_gdb-dbg is not set +# CONFIG_gdb-dev is not set +# CONFIG_gdbserver is not set + +# +# glib-2.0 +# +# CONFIG_glib-2.0 is not set +# CONFIG_glib-2.0-codegen is not set +# CONFIG_glib-2.0-utils is not set +# CONFIG_glib-2.0-dbg is not set +# CONFIG_glib-2.0-bash-completion is not set +# CONFIG_glib-2.0-dev is not set + +# +# glibc +# +# CONFIG_glibc is not set +# CONFIG_glibc-dev is not set +# CONFIG_glibc-dbg is not set +# CONFIG_ldd is not set + +# +# gnome-desktop-testing +# +# CONFIG_gnome-desktop-testing is not set +# CONFIG_gnome-desktop-testing-dbg is not set +# CONFIG_gnome-desktop-testing-dev is not set + +# +# gnutls +# +# CONFIG_gnutls is not set +# CONFIG_gnutls-dev is not set +# CONFIG_gnutls-dbg is not set +# CONFIG_gnutls-bin is not set +# CONFIG_gnutls-xx is not set +# CONFIG_gnutls-openssl is not set + +# +# gsettings-desktop-schemas +# +# CONFIG_gsettings-desktop-schemas is not set +# CONFIG_gsettings-desktop-schemas-dev is not set +# CONFIG_gsettings-desktop-schemas-dbg is not set + +# +# gst-player +# +# CONFIG_gst-player is not set + +# +# gstreamer1.0-plugins-bad +# +# CONFIG_gstreamer1.0-plugins-bad is not set +# CONFIG_gstreamer1.0-plugins-bad-meta is not set +# CONFIG_gstreamer1.0-plugins-bad-dev is not set +# CONFIG_gstreamer1.0-plugins-bad-dbg is not set + +# +# gstreamer1.0-plugins-base +# +# CONFIG_gstreamer1.0-plugins-base is not set +# CONFIG_gstreamer1.0-plugins-base-dev is not set +# CONFIG_gstreamer1.0-plugins-base-apps is not set +# CONFIG_gstreamer1.0-plugins-base-meta is not set +# CONFIG_gstreamer1.0-plugins-base-dbg is not set + +# +# gstreamer1.0-plugins-good +# +# CONFIG_gstreamer1.0-plugins-good is not set +# CONFIG_gstreamer1.0-plugins-good-meta is not set +# CONFIG_gstreamer1.0-plugins-good-dev is not set +# CONFIG_gstreamer1.0-plugins-good-dbg is not set + +# +# hicolor-icon-theme +# +# CONFIG_hicolor-icon-theme is not set +# CONFIG_hicolor-icon-theme-dbg is not set +# CONFIG_hicolor-icon-theme-dev is not set + +# +# icu +# +# CONFIG_icu is not set +# CONFIG_libicudata is not set +# CONFIG_libicuio is not set +# CONFIG_libicui18n is not set +# CONFIG_icu-dbg is not set +# CONFIG_libicuuc is not set +# CONFIG_libicutu is not set +# CONFIG_icu-dev is not set + +# +# iotop +# +# CONFIG_iotop is not set +# CONFIG_iotop-dev is not set +# CONFIG_iotop-dbg is not set + +# +# iptables +# +# CONFIG_iptables is not set +# CONFIG_iptables-dbg is not set +# CONFIG_iptables-dev is not set + +# +# iso-codes +# +# CONFIG_iso-codes is not set +# CONFIG_iso-codes-dbg is not set +# CONFIG_iso-codes-dev is not set + +# +# json-c +# +# CONFIG_json-c is not set +# CONFIG_json-c-dbg is not set +# CONFIG_json-c-dev is not set + +# +# l3afpad +# +# CONFIG_l3afpad is not set +# CONFIG_l3afpad-dev is not set +# CONFIG_l3afpad-dbg is not set + +# +# lttng-ust +# +# CONFIG_lttng-ust is not set +# CONFIG_lttng-ust-dbg is not set +# CONFIG_lttng-ust-bin is not set +# CONFIG_lttng-ust-dev is not set + +# +# m4 +# +# CONFIG_m4 is not set +# CONFIG_m4-dbg is not set +# CONFIG_m4-dev is not set + +# +# matchbox-config-gtk +# +# CONFIG_matchbox-config-gtk is not set +# CONFIG_matchbox-config-gtk-dev is not set +# CONFIG_matchbox-config-gtk-dbg is not set + +# +# matchbox-panel-2 +# +# CONFIG_matchbox-panel-2 is not set +# CONFIG_matchbox-panel-2-dbg is not set +# CONFIG_matchbox-panel-2-dev is not set + +# +# mdadm +# +# CONFIG_mdadm is not set +# CONFIG_mdadm-dbg is not set +# CONFIG_mdadm-dev is not set + +# +# mkfontdir +# +# CONFIG_mkfontdir is not set + +# +# mkfontscale +# +# CONFIG_mkfontscale is not set +# CONFIG_mkfontscale-dbg is not set +# CONFIG_mkfontscale-dev is not set + +# +# net-tools +# +# CONFIG_net-tools is not set +# CONFIG_net-tools-dbg is not set +# CONFIG_net-tools-dev is not set + +# +# nicstat +# +# CONFIG_nicstat is not set +# CONFIG_nicstat-dbg is not set +# CONFIG_nicstat-dev is not set + +# +# ofono +# +# CONFIG_ofono is not set +# CONFIG_ofono-tests is not set +# CONFIG_ofono-dev is not set +# CONFIG_ofono-dbg is not set + +# +# openamp-fw-echo-testd +# +# CONFIG_openamp-fw-echo-testd is not set +# CONFIG_openamp-fw-echo-testd-dev is not set +# CONFIG_openamp-fw-echo-testd-dbg is not set + +# +# openamp-fw-mat-muld +# +# CONFIG_openamp-fw-mat-muld is not set +# CONFIG_openamp-fw-mat-muld-dev is not set +# CONFIG_openamp-fw-mat-muld-dbg is not set + +# +# openamp-fw-rpc-demo +# +# CONFIG_openamp-fw-rpc-demo is not set +# CONFIG_openamp-fw-rpc-demo-dev is not set +# CONFIG_openamp-fw-rpc-demo-dbg is not set + +# +# opkg-arch-config +# +# CONFIG_opkg-arch-config is not set +# CONFIG_opkg-arch-config-dbg is not set +# CONFIG_opkg-arch-config-dev is not set + +# +# orc +# +# CONFIG_orc is not set +# CONFIG_orc-dbg is not set +# CONFIG_orc-dev is not set + +# +# p11-kit +# +# CONFIG_p11-kit is not set +# CONFIG_p11-kit-dev is not set +# CONFIG_p11-kit-dbg is not set + +# +# packagegroup-core-boot +# +CONFIG_packagegroup-core-boot=y +# CONFIG_packagegroup-core-boot-dev is not set +# CONFIG_packagegroup-core-boot-dbg is not set + +# +# packagegroup-core-buildessential +# +# CONFIG_packagegroup-core-buildessential is not set +# CONFIG_packagegroup-core-buildessential-dev is not set +# CONFIG_packagegroup-core-buildessential-dbg is not set + +# +# packagegroup-core-sdk +# +# CONFIG_packagegroup-core-sdk is not set +# CONFIG_packagegroup-core-sdk-dbg is not set +# CONFIG_packagegroup-core-sdk-dev is not set + +# +# packagegroup-core-ssh-dropbear +# +CONFIG_packagegroup-core-ssh-dropbear=y +# CONFIG_packagegroup-core-ssh-dropbear-dbg is not set +# CONFIG_packagegroup-core-ssh-dropbear-dev is not set + +# +# packagegroup-core-standalone-sdk-target +# +# CONFIG_packagegroup-core-standalone-sdk-target is not set +# CONFIG_packagegroup-core-standalone-sdk-target-dev is not set +# CONFIG_packagegroup-core-standalone-sdk-target-dbg is not set + +# +# packagegroup-core-tools-debug +# +# CONFIG_packagegroup-core-tools-debug is not set +# CONFIG_packagegroup-core-tools-debug-dev is not set +# CONFIG_packagegroup-core-tools-debug-dbg is not set + +# +# packagegroup-core-tools-profile +# +CONFIG_packagegroup-core-tools-profile=y +CONFIG_packagegroup-core-tools-profile-dbg=y +# CONFIG_packagegroup-core-tools-profile-dev is not set + +# +# packagegroup-core-tools-testapps +# +# CONFIG_packagegroup-core-tools-testapps is not set +# CONFIG_packagegroup-core-tools-testapps-dbg is not set +# CONFIG_packagegroup-core-tools-testapps-dev is not set + +# +# packagegroup-core-x11 +# +# CONFIG_packagegroup-core-x11 is not set +# CONFIG_packagegroup-core-x11-dbg is not set +# CONFIG_packagegroup-core-x11-utils-dbg is not set +# CONFIG_packagegroup-core-x11-dev is not set +# CONFIG_packagegroup-core-x11-utils is not set +# CONFIG_packagegroup-core-x11-utils-dev is not set + +# +# packagegroup-core-x11-base +# +# CONFIG_packagegroup-core-x11-base is not set +# CONFIG_packagegroup-core-x11-base-dev is not set +# CONFIG_packagegroup-core-x11-base-dbg is not set + +# +# packagegroup-core-x11-xserver +# +# CONFIG_packagegroup-core-x11-xserver is not set +# CONFIG_packagegroup-core-x11-xserver-dev is not set +# CONFIG_packagegroup-core-x11-xserver-dbg is not set + +# +# packagegroup-self-hosted +# +# CONFIG_packagegroup-self-hosted is not set +# CONFIG_packagegroup-self-hosted-extended-dev is not set +# CONFIG_packagegroup-self-hosted-debug-dev is not set +# CONFIG_packagegroup-self-hosted-dev is not set +# CONFIG_packagegroup-self-hosted-extended-dbg is not set +# CONFIG_packagegroup-self-hosted-sdk-dev is not set +# CONFIG_packagegroup-self-hosted-dbg is not set +# CONFIG_packagegroup-self-hosted-graphics-dev is not set +# CONFIG_packagegroup-self-hosted-sdk is not set +# CONFIG_packagegroup-self-hosted-debug is not set +# CONFIG_packagegroup-self-hosted-host-tools is not set +# CONFIG_packagegroup-self-hosted-debug-dbg is not set +# CONFIG_packagegroup-self-hosted-extended is not set +# CONFIG_packagegroup-self-hosted-host-tools-dev is not set +# CONFIG_packagegroup-self-hosted-graphics-dbg is not set +# CONFIG_packagegroup-self-hosted-sdk-dbg is not set +# CONFIG_packagegroup-self-hosted-host-tools-dbg is not set +# CONFIG_packagegroup-self-hosted-graphics is not set + +# +# perf +# +# CONFIG_perf is not set +# CONFIG_perf-tests is not set +# CONFIG_perf-python is not set +# CONFIG_perf-dbg is not set +# CONFIG_perf-dev is not set + +# +# pixman +# +# CONFIG_pixman is not set +# CONFIG_pixman-dbg is not set +# CONFIG_pixman-dev is not set + +# +# powertop +# +# CONFIG_powertop is not set +# CONFIG_powertop-dev is not set +# CONFIG_powertop-dbg is not set + +# +# ptest-runner +# +# CONFIG_ptest-runner is not set +# CONFIG_ptest-runner-dev is not set +# CONFIG_ptest-runner-dbg is not set + +# +# python3 +# +# CONFIG_python3 is not set +# CONFIG_python3-smtpd is not set +# CONFIG_python3-syslog is not set +# CONFIG_python3-pickle is not set +# CONFIG_python3-dbg is not set +# CONFIG_python3-db is not set +# CONFIG_python3-fcntl is not set +# CONFIG_python3-html is not set +# CONFIG_python3-core is not set +# CONFIG_python3-distutils is not set +# CONFIG_python3-terminal is not set +# CONFIG_python3-pprint is not set +# CONFIG_python3-tkinter is not set +# CONFIG_python3-unixadmin is not set +# CONFIG_python3-mime is not set +# CONFIG_python3-logging is not set +# CONFIG_python3-resource is not set +# CONFIG_python3-email is not set +# CONFIG_python3-math is not set +# CONFIG_python3-json is not set +# CONFIG_python3-image is not set +# CONFIG_python3-stringold is not set +# CONFIG_python3-pydoc is not set +# CONFIG_python3-codecs is not set +# CONFIG_python3-debugger is not set +# CONFIG_python3-xmlrpc is not set +# CONFIG_python3-io is not set +# CONFIG_python3-pkgutil is not set +# CONFIG_python3-idle is not set +# CONFIG_python3-difflib is not set +# CONFIG_python3-unittest is not set +# CONFIG_python3-netserver is not set +# CONFIG_python3-netclient is not set +# CONFIG_python3-gdbm is not set +# CONFIG_python3-profile is not set +# CONFIG_python3-sqlite3 is not set +# CONFIG_python3-2to3 is not set +# CONFIG_libpython3 is not set +# CONFIG_python3-xml is not set +# CONFIG_python3-threading is not set +# CONFIG_python3-modules is not set +# CONFIG_python3-dev is not set +# CONFIG_python3-curses is not set +# CONFIG_python3-multiprocessing is not set +# CONFIG_python3-crypt is not set +# CONFIG_python3-compression is not set +# CONFIG_python3-shell is not set +# CONFIG_python3-tests is not set +# CONFIG_python3-numbers is not set +# CONFIG_python3-audio is not set +# CONFIG_python3-pyvenv is not set +# CONFIG_python3-asyncio is not set +# CONFIG_python3-misc is not set +# CONFIG_python3-datetime is not set +# CONFIG_python3-compile is not set +# CONFIG_python3-mmap is not set +# CONFIG_python3-mailbox is not set +# CONFIG_python3-ctypes is not set + +# +# python3-async +# +# CONFIG_python3-async is not set +# CONFIG_python3-async-dev is not set +# CONFIG_python3-async-dbg is not set + +# +# python3-git +# +# CONFIG_python3-git is not set +# CONFIG_python3-git-dbg is not set +# CONFIG_python3-git-dev is not set + +# +# python3-gitdb +# +# CONFIG_python3-gitdb is not set +# CONFIG_python3-gitdb-dev is not set +# CONFIG_python3-gitdb-dbg is not set + +# +# python3-setuptools +# +# CONFIG_python3-setuptools is not set +# CONFIG_python3-setuptools-dev is not set +# CONFIG_python3-setuptools-dbg is not set + +# +# python3-smmap +# +# CONFIG_python3-smmap is not set +# CONFIG_python3-smmap-dbg is not set +# CONFIG_python3-smmap-dev is not set + +# +# qtbase +# +# CONFIG_qtbase is not set +# CONFIG_qtbase-tools is not set +# CONFIG_qtbase-plugins is not set +# CONFIG_qtbase-examples is not set +# CONFIG_qtbase-dbg is not set +# CONFIG_qtbase-dev is not set +# CONFIG_qtbase-mkspecs is not set + +# +# qtcharts +# +# CONFIG_qtcharts is not set +# CONFIG_qtcharts-mkspecs is not set +# CONFIG_qtcharts-dev is not set +# CONFIG_qtcharts-dbg is not set +# CONFIG_qtcharts-qmlplugins is not set +# CONFIG_qtcharts-qmldesigner is not set + +# +# qtconnectivity +# +# CONFIG_qtconnectivity is not set +# CONFIG_qtconnectivity-tools is not set +# CONFIG_qtconnectivity-mkspecs is not set +# CONFIG_qtconnectivity-dev is not set +# CONFIG_qtconnectivity-dbg is not set +# CONFIG_qtconnectivity-qmlplugins is not set + +# +# qtdeclarative +# +# CONFIG_qtdeclarative is not set +# CONFIG_qtdeclarative-dev is not set +# CONFIG_qtdeclarative-dbg is not set +# CONFIG_qtdeclarative-qmlplugins is not set +# CONFIG_qtdeclarative-tools is not set +# CONFIG_qtdeclarative-mkspecs is not set + +# +# qtimageformats +# +# CONFIG_qtimageformats is not set +# CONFIG_qtimageformats-plugins is not set +# CONFIG_qtimageformats-dbg is not set +# CONFIG_qtimageformats-dev is not set + +# +# qtlocation +# +# CONFIG_qtlocation is not set +# CONFIG_qtlocation-plugins is not set +# CONFIG_qtlocation-qmlplugins is not set +# CONFIG_qtlocation-dbg is not set +# CONFIG_qtlocation-dev is not set +# CONFIG_qtlocation-mkspecs is not set + +# +# qtmultimedia +# +# CONFIG_qtmultimedia is not set +# CONFIG_qtmultimedia-plugins is not set +# CONFIG_qtmultimedia-mkspecs is not set +# CONFIG_qtmultimedia-qmlplugins is not set +# CONFIG_qtmultimedia-dev is not set +# CONFIG_qtmultimedia-dbg is not set + +# +# qtquickcontrols +# +# CONFIG_qtquickcontrols is not set +# CONFIG_qtquickcontrols-dbg is not set +# CONFIG_qtquickcontrols-dev is not set +# CONFIG_qtquickcontrols-qmlplugins is not set +# CONFIG_qtquickcontrols-qmldesigner is not set + +# +# qtscript +# +# CONFIG_qtscript is not set +# CONFIG_qtscript-mkspecs is not set +# CONFIG_qtscript-dbg is not set +# CONFIG_qtscript-dev is not set + +# +# qtsensors +# +# CONFIG_qtsensors is not set +# CONFIG_qtsensors-dbg is not set +# CONFIG_qtsensors-mkspecs is not set +# CONFIG_qtsensors-dev is not set +# CONFIG_qtsensors-plugins is not set +# CONFIG_qtsensors-qmlplugins is not set + +# +# qtserialport +# +# CONFIG_qtserialport is not set +# CONFIG_qtserialport-mkspecs is not set +# CONFIG_qtserialport-dev is not set +# CONFIG_qtserialport-dbg is not set + +# +# qtsvg +# +# CONFIG_qtsvg is not set +# CONFIG_qtsvg-plugins is not set +# CONFIG_qtsvg-mkspecs is not set +# CONFIG_qtsvg-dbg is not set +# CONFIG_qtsvg-dev is not set + +# +# qtsystems +# +# CONFIG_qtsystems is not set +# CONFIG_qtsystems-dbg is not set +# CONFIG_qtsystems-tools is not set +# CONFIG_qtsystems-dev is not set +# CONFIG_qtsystems-qmlplugins is not set +# CONFIG_qtsystems-mkspecs is not set + +# +# qttools +# +# CONFIG_qttools is not set +# CONFIG_qttools-mkspecs is not set +# CONFIG_qttools-dbg is not set +# CONFIG_qttools-tools is not set +# CONFIG_qttools-plugins is not set +# CONFIG_qttools-dev is not set + +# +# qttranslations +# +# CONFIG_qttranslations is not set +# CONFIG_qttranslations-qthelp is not set +# CONFIG_qttranslations-assistant is not set +# CONFIG_qttranslations-qtwebsockets is not set +# CONFIG_qttranslations-qtquickcontrols2 is not set +# CONFIG_qttranslations-qtdeclarative is not set +# CONFIG_qttranslations-qtxmlpatterns is not set +# CONFIG_qttranslations-qtmultimedia is not set +# CONFIG_qttranslations-qtconnectivity is not set +# CONFIG_qttranslations-qtbase is not set +# CONFIG_qttranslations-qtserialport is not set +# CONFIG_qttranslations-linguist is not set +# CONFIG_qttranslations-dbg is not set +# CONFIG_qttranslations-qtlocation is not set +# CONFIG_qttranslations-qtscript is not set +# CONFIG_qttranslations-qtwebengine is not set +# CONFIG_qttranslations-designer is not set +# CONFIG_qttranslations-qtquickcontrols is not set +# CONFIG_qttranslations-dev is not set + +# +# qtwebchannel +# +# CONFIG_qtwebchannel is not set +# CONFIG_qtwebchannel-dev is not set +# CONFIG_qtwebchannel-dbg is not set +# CONFIG_qtwebchannel-qmlplugins is not set +# CONFIG_qtwebchannel-mkspecs is not set + +# +# qtwebkit +# +# CONFIG_qtwebkit is not set +# CONFIG_qtwebkit-qmlplugins is not set +# CONFIG_qtwebkit-dev is not set +# CONFIG_qtwebkit-dbg is not set +# CONFIG_qtwebkit-mkspecs is not set + +# +# qtwebsockets +# +# CONFIG_qtwebsockets is not set +# CONFIG_qtwebsockets-dev is not set +# CONFIG_qtwebsockets-qmlplugins is not set +# CONFIG_qtwebsockets-mkspecs is not set +# CONFIG_qtwebsockets-dbg is not set + +# +# qtxmlpatterns +# +# CONFIG_qtxmlpatterns is not set +# CONFIG_qtxmlpatterns-mkspecs is not set +# CONFIG_qtxmlpatterns-dbg is not set +# CONFIG_qtxmlpatterns-dev is not set +# CONFIG_qtxmlpatterns-tools is not set + +# +# rgb +# +# CONFIG_rgb is not set +# CONFIG_rgb-dbg is not set +# CONFIG_rgb-dev is not set + +# +# rpm +# +# CONFIG_rpm is not set +# CONFIG_rpm-build is not set +# CONFIG_rpm-dev is not set +# CONFIG_rpm-dbg is not set + +# +# rpmsg-echo-test +# +# CONFIG_rpmsg-echo-test is not set +# CONFIG_rpmsg-echo-test-dbg is not set +# CONFIG_rpmsg-echo-test-dev is not set + +# +# rpmsg-mat-mul +# +# CONFIG_rpmsg-mat-mul is not set +# CONFIG_rpmsg-mat-mul-dev is not set +# CONFIG_rpmsg-mat-mul-dbg is not set + +# +# rpmsg-proxy-app +# +# CONFIG_rpmsg-proxy-app is not set +# CONFIG_rpmsg-proxy-app-dev is not set +# CONFIG_rpmsg-proxy-app-dbg is not set + +# +# serf +# +# CONFIG_serf is not set +# CONFIG_serf-dev is not set +# CONFIG_serf-dbg is not set + +# +# sysfsutils +# +# CONFIG_sysfsutils is not set +# CONFIG_libsysfs is not set +# CONFIG_sysfsutils-dev is not set +# CONFIG_sysfsutils-dbg is not set + +# +# systemtap +# +# CONFIG_systemtap is not set +# CONFIG_systemtap-dev is not set +# CONFIG_systemtap-dbg is not set + +# +# sysvinit-inittab +# +# CONFIG_sysvinit-inittab is not set +# CONFIG_sysvinit-inittab-dbg is not set +# CONFIG_sysvinit-inittab-dev is not set + +# +# tbb +# +# CONFIG_tbb is not set +# CONFIG_tbb-dev is not set +# CONFIG_tbb-dbg is not set + +# +# tcf-agent +# +CONFIG_tcf-agent=y +# CONFIG_tcf-agent-dbg is not set +# CONFIG_tcf-agent-dev is not set + +# +# tiff +# +# CONFIG_tiff is not set +# CONFIG_tiff-dev is not set +# CONFIG_tiffxx is not set +# CONFIG_tiff-dbg is not set +# CONFIG_tiff-utils is not set + +# +# util-macros +# +# CONFIG_util-macros is not set +# CONFIG_util-macros-dbg is not set +# CONFIG_util-macros-dev is not set + +# +# v4l-utils +# +# CONFIG_v4l-utils is not set +# CONFIG_libv4l is not set +# CONFIG_ir-keytable is not set +# CONFIG_v4l-utils-dev is not set +# CONFIG_media-ctl is not set +# CONFIG_rc-keymaps is not set +# CONFIG_v4l-utils-dbg is not set +# CONFIG_libv4l-dev is not set + +# +# valgrind +# +# CONFIG_valgrind is not set +# CONFIG_valgrind-dbg is not set +# CONFIG_valgrind-dev is not set + +# +# vte +# +# CONFIG_vte is not set +# CONFIG_libvte is not set +# CONFIG_vte-dbg is not set +# CONFIG_vte-dev is not set + +# +# watchdog +# +# CONFIG_watchdog is not set +# CONFIG_watchdog-dbg is not set +# CONFIG_watchdog-keepalive is not set +# CONFIG_watchdog-dev is not set + +# +# watchdog-config +# +# CONFIG_watchdog-config is not set +# CONFIG_watchdog-config-dbg is not set +# CONFIG_watchdog-config-dev is not set + +# +# webkitgtk +# +# CONFIG_webkitgtk is not set +# CONFIG_webkitgtk-dbg is not set +# CONFIG_webkitgtk-dev is not set + +# +# x11perf +# +# CONFIG_x11perf is not set +# CONFIG_x11perf-dbg is not set +# CONFIG_x11perf-dev is not set + +# +# x264 +# +# CONFIG_x264 is not set +# CONFIG_x264-dbg is not set +# CONFIG_x264-dev is not set +# CONFIG_x264-bin is not set + +# +# xauth +# +# CONFIG_xauth is not set +# CONFIG_xauth-dbg is not set +# CONFIG_xauth-dev is not set + +# +# xcb-util-image +# +# CONFIG_xcb-util-image is not set +# CONFIG_xcb-util-image-dev is not set +# CONFIG_xcb-util-image-dbg is not set + +# +# xcb-util-keysyms +# +# CONFIG_xcb-util-keysyms is not set +# CONFIG_xcb-util-keysyms-dev is not set +# CONFIG_xcb-util-keysyms-dbg is not set + +# +# xcb-util-renderutil +# +# CONFIG_xcb-util-renderutil is not set +# CONFIG_xcb-util-renderutil-dbg is not set +# CONFIG_xcb-util-renderutil-dev is not set + +# +# xcb-util-wm +# +# CONFIG_xcb-util-wm is not set +# CONFIG_xcb-util-wm-dbg is not set +# CONFIG_xcb-util-wm-dev is not set + +# +# xdg-utils +# +# CONFIG_xdg-utils is not set +# CONFIG_xdg-utils-dbg is not set +# CONFIG_xdg-utils-dev is not set + +# +# xdpyinfo +# +# CONFIG_xdpyinfo is not set +# CONFIG_xdpyinfo-dev is not set +# CONFIG_xdpyinfo-dbg is not set + +# +# xf86-input-evdev +# +# CONFIG_xf86-input-evdev is not set +# CONFIG_xf86-input-evdev-dbg is not set +# CONFIG_xf86-input-evdev-dev is not set + +# +# xf86-input-keyboard +# +# CONFIG_xf86-input-keyboard is not set +# CONFIG_xf86-input-keyboard-dev is not set +# CONFIG_xf86-input-keyboard-dbg is not set + +# +# xf86-input-mouse +# +# CONFIG_xf86-input-mouse is not set +# CONFIG_xf86-input-mouse-dbg is not set +# CONFIG_xf86-input-mouse-dev is not set + +# +# xf86-video-fbdev +# +# CONFIG_xf86-video-fbdev is not set +# CONFIG_xf86-video-fbdev-dbg is not set +# CONFIG_xf86-video-fbdev-dev is not set + +# +# xhost +# +# CONFIG_xhost is not set +# CONFIG_xhost-dbg is not set +# CONFIG_xhost-dev is not set + +# +# xinetd +# +# CONFIG_xinetd is not set +# CONFIG_xinetd-dbg is not set +# CONFIG_xinetd-dev is not set + +# +# xinit +# +# CONFIG_xinit is not set +# CONFIG_xinit-dev is not set +# CONFIG_xinit-dbg is not set + +# +# xinput +# +# CONFIG_xinput is not set +# CONFIG_xinput-dev is not set +# CONFIG_xinput-dbg is not set + +# +# xinput-calibrator +# +# CONFIG_xinput-calibrator is not set +# CONFIG_xinput-calibrator-dbg is not set +# CONFIG_xinput-calibrator-dev is not set + +# +# xkbcomp +# +# CONFIG_xkbcomp is not set +# CONFIG_xkbcomp-dev is not set +# CONFIG_xkbcomp-dbg is not set + +# +# xmodmap +# +# CONFIG_xmodmap is not set +# CONFIG_xmodmap-dbg is not set +# CONFIG_xmodmap-dev is not set + +# +# xprop +# +# CONFIG_xprop is not set +# CONFIG_xprop-dbg is not set +# CONFIG_xprop-dev is not set + +# +# xrandr +# +# CONFIG_xrandr is not set +# CONFIG_xrandr-dbg is not set +# CONFIG_xrandr-dev is not set + +# +# xserver-common +# +# CONFIG_xserver-common is not set +# CONFIG_xserver-common-dev is not set +# CONFIG_xserver-common-dbg is not set + +# +# xset +# +# CONFIG_xset is not set +# CONFIG_xset-dbg is not set +# CONFIG_xset-dev is not set + +# +# xtrans +# +# CONFIG_xtrans-dev is not set +# CONFIG_xtrans-dbg is not set + +# +# xwininfo +# +# CONFIG_xwininfo is not set +# CONFIG_xwininfo-dev is not set +# CONFIG_xwininfo-dbg is not set + +# +# yavta +# +# CONFIG_yavta is not set +# CONFIG_yavta-dbg is not set +# CONFIG_yavta-dev is not set + +# +# multimedia +# + +# +# alsa-plugins +# +# CONFIG_alsa-plugins is not set +# CONFIG_alsa-plugins-dev is not set +# CONFIG_alsa-plugins-dbg is not set +# CONFIG_alsa-plugins-pulseaudio-conf is not set + +# +# gstreamer1.0 +# +# CONFIG_gstreamer1.0 is not set +# CONFIG_gstreamer1.0-dev is not set +# CONFIG_gstreamer1.0-dbg is not set + +# +# net +# + +# +# bridge-utils +# +CONFIG_bridge-utils=y +# CONFIG_bridge-utils-dbg is not set +# CONFIG_bridge-utils-dev is not set + +# +# net-snmp +# +# CONFIG_net-snmp is not set +# CONFIG_net-snmp-server-snmptrapd is not set +# CONFIG_net-snmp-libs is not set +# CONFIG_net-snmp-dev is not set +# CONFIG_net-snmp-client is not set +# CONFIG_net-snmp-mibs is not set +# CONFIG_net-snmp-dbg is not set +# CONFIG_net-snmp-server-snmpd is not set +# CONFIG_net-snmp-server is not set + +# +# netcat +# +# CONFIG_netcat is not set +# CONFIG_netcat-dbg is not set +# CONFIG_netcat-dev is not set + +# +# tcpdump +# +# CONFIG_tcpdump is not set +# CONFIG_tcpdump-dbg is not set +# CONFIG_tcpdump-dev is not set + +# +# network +# + +# +# avahi +# +# CONFIG_avahi-dbg is not set +# CONFIG_libavahi-glib is not set +# CONFIG_libavahi-client is not set +# CONFIG_libavahi-core is not set +# CONFIG_avahi-dev is not set +# CONFIG_avahi-dnsconfd is not set +# CONFIG_avahi-autoipd is not set +# CONFIG_avahi-utils is not set +# CONFIG_libavahi-common is not set +# CONFIG_avahi-daemon is not set +# CONFIG_libavahi-gobject is not set + +# +# mobile-broadband-provider-info +# +# CONFIG_mobile-broadband-provider-info is not set +# CONFIG_mobile-broadband-provider-info-dbg is not set +# CONFIG_mobile-broadband-provider-info-dev is not set + +# +# wpa-supplicant +# +# CONFIG_wpa-supplicant is not set +# CONFIG_wpa-supplicant-passphrase is not set +# CONFIG_wpa-supplicant-cli is not set +# CONFIG_wpa-supplicant-dev is not set +# CONFIG_wpa-supplicant-dbg is not set + +# +# ntp +# +# CONFIG_ntp is not set +# CONFIG_ntp-dev is not set +# CONFIG_ntp-dbg is not set + +# +# optional +# + +# +# libatomic-ops +# +# CONFIG_libatomic-ops is not set +# CONFIG_libatomic-ops-dbg is not set +# CONFIG_libatomic-ops-dev is not set + +# +# mtools +# +# CONFIG_mtools is not set +# CONFIG_mtools-dev is not set +# CONFIG_mtools-dbg is not set + +# +# utils +# + +# +# dosfstools +# +# CONFIG_dosfstools is not set +# CONFIG_dosfstools-dev is not set +# CONFIG_dosfstools-dbg is not set + +# +# patch +# +# CONFIG_patch is not set +# CONFIG_patch-dev is not set +# CONFIG_patch-dbg is not set + +# +# resize-part +# +# CONFIG_resize-part is not set +# CONFIG_resize-part-dbg is not set +# CONFIG_resize-part-dev is not set + +# +# u-boot-tools +# +CONFIG_u-boot-tools=y +# CONFIG_u-boot-tools-dbg is not set +# CONFIG_u-boot-tools-dev is not set + +# +# x11 +# + +# +# base +# + +# +# libdrm +# +# CONFIG_libdrm is not set +# CONFIG_libdrm-omap is not set +# CONFIG_libdrm-amdgpu is not set +# CONFIG_libdrm-dev is not set +# CONFIG_libdrm-dbg is not set +# CONFIG_libdrm-drivers is not set +# CONFIG_libdrm-nouveau is not set +# CONFIG_libdrm-tests is not set +# CONFIG_libdrm-freedreno is not set +# CONFIG_libdrm-radeon is not set +# CONFIG_libdrm-kms is not set + +# +# xcursor-transparent-theme +# +# CONFIG_xcursor-transparent-theme is not set +# CONFIG_xcursor-transparent-theme-dev is not set +# CONFIG_xcursor-transparent-theme-dbg is not set + +# +# xserver-xf86-config +# +# CONFIG_xserver-xf86-config is not set +# CONFIG_xserver-xf86-config-dbg is not set +# CONFIG_xserver-xf86-config-dev is not set + +# +# xserver-xorg +# +# CONFIG_xserver-xorg is not set +# CONFIG_xserver-xorg-extension-record is not set +# CONFIG_xserver-xorg-dev is not set +# CONFIG_xserver-xorg-extension-glx is not set +# CONFIG_xserver-xorg-extension-dbe is not set +# CONFIG_xserver-xorg-utils is not set +# CONFIG_xserver-xorg-module-libint10 is not set +# CONFIG_xserver-xorg-extension-dri2 is not set +# CONFIG_xserver-xorg-dbg is not set +# CONFIG_xf86-video-modesetting is not set +# CONFIG_xserver-xorg-module-exa is not set +# CONFIG_xserver-xorg-extension-extmod is not set +# CONFIG_xserver-xorg-extension-dri is not set +# CONFIG_xserver-xorg-xvfb is not set +# CONFIG_xserver-xorg-module-libwfb is not set + +# +# builder +# +# CONFIG_builder is not set +# CONFIG_builder-dev is not set +# CONFIG_builder-dbg is not set + +# +# fonts +# + +# +# liberation-fonts +# +# CONFIG_liberation-fonts is not set + +# +# glew +# +# CONFIG_glew is not set +# CONFIG_glew-dbg is not set +# CONFIG_glew-bin is not set +# CONFIG_glew-dev is not set + +# +# gnome +# + +# +# adwaita-icon-theme +# +# CONFIG_adwaita-icon-theme is not set +# CONFIG_adwaita-icon-theme-symbolic is not set +# CONFIG_adwaita-icon-theme-hires is not set +# CONFIG_adwaita-icon-theme-symbolic-hires is not set +# CONFIG_adwaita-icon-theme-cursors is not set + +# +# gconf +# +# CONFIG_gconf is not set +# CONFIG_gconf-dev is not set +# CONFIG_gconf-dbg is not set + +# +# gnome-common +# +# CONFIG_gnome-common is not set +# CONFIG_gnome-common-dbg is not set +# CONFIG_gnome-common-dev is not set + +# +# gnome-desktop3 +# +# CONFIG_gnome-desktop3 is not set +# CONFIG_gnome-desktop3-dbg is not set +# CONFIG_gnome-desktop3-dev is not set +# CONFIG_libgnome-desktop3 is not set + +# +# gnome-themes-standard +# +# CONFIG_gnome-theme-adwaita is not set + +# +# libsoup-2.4 +# +# CONFIG_libsoup-2.4 is not set +# CONFIG_libsoup-2.4-dev is not set +# CONFIG_libsoup-2.4-dbg is not set + +# +# libglu +# +# CONFIG_libglu is not set +# CONFIG_libglu-dbg is not set +# CONFIG_libglu-dev is not set + +# +# libs +# + +# +# atk +# +# CONFIG_atk is not set +# CONFIG_atk-dbg is not set +# CONFIG_atk-dev is not set + +# +# libfm +# +# CONFIG_libfm is not set +# CONFIG_libfm-mime is not set +# CONFIG_libfm-dev is not set +# CONFIG_libfm-dbg is not set + +# +# libfm-extra +# +# CONFIG_libfm-extra is not set +# CONFIG_libfm-extra-dev is not set +# CONFIG_libfm-extra-dbg is not set + +# +# libmatchbox +# +# CONFIG_libmatchbox is not set +# CONFIG_libmatchbox-dev is not set +# CONFIG_libmatchbox-dbg is not set + +# +# libpthread-stubs +# +# CONFIG_libpthread-stubs-dev is not set +# CONFIG_libpthread-stubs-dbg is not set + +# +# libwnck3 +# +# CONFIG_libwnck3 is not set +# CONFIG_libwnck3-dbg is not set +# CONFIG_libwnck3-dev is not set + +# +# libxcb +# +# CONFIG_libxcb is not set +# CONFIG_libxcb-dev is not set +# CONFIG_libxcb-dbg is not set + +# +# menu-cache +# +# CONFIG_menu-cache is not set +# CONFIG_menu-cache-dev is not set +# CONFIG_menu-cache-dbg is not set + +# +# xcb-proto +# +# CONFIG_xcb-proto-dev is not set +# CONFIG_python-xcbgen is not set +# CONFIG_xcb-proto-dbg is not set + +# +# xcb-util +# +# CONFIG_xcb-util is not set +# CONFIG_xcb-util-dev is not set +# CONFIG_xcb-util-dbg is not set + +# +# xkeyboard-config +# +# CONFIG_xkeyboard-config is not set +# CONFIG_xkeyboard-config-dbg is not set +# CONFIG_xkeyboard-config-dev is not set + +# +# matchbox-keyboard +# +# CONFIG_matchbox-keyboard is not set +# CONFIG_matchbox-keyboard-dbg is not set +# CONFIG_matchbox-keyboard-applet is not set +# CONFIG_matchbox-keyboard-dev is not set +# CONFIG_matchbox-keyboard-im is not set + +# +# matchbox-session +# +# CONFIG_matchbox-session is not set +# CONFIG_matchbox-session-dev is not set +# CONFIG_matchbox-session-dbg is not set + +# +# matchbox-session-sato +# +# CONFIG_matchbox-session-sato is not set +# CONFIG_matchbox-session-sato-dev is not set +# CONFIG_matchbox-session-sato-dbg is not set + +# +# mesa +# +# CONFIG_mesa is not set +# CONFIG_libgles2-mesa is not set +# CONFIG_libgbm is not set +# CONFIG_libegl-mesa is not set +# CONFIG_mesa-dbg is not set +# CONFIG_libgles1-mesa is not set +# CONFIG_libgl-mesa-dev is not set +# CONFIG_libegl-mesa-dev is not set +# CONFIG_mesa-megadriver is not set +# CONFIG_libgles2-mesa-dev is not set +# CONFIG_libgles1-mesa-dev is not set +# CONFIG_libglapi-dev is not set +# CONFIG_mesa-dev is not set +# CONFIG_libglapi is not set +# CONFIG_libgbm-dev is not set +# CONFIG_libgl-mesa is not set +# CONFIG_libgles3-mesa-dev is not set + +# +# mesa-demos +# +# CONFIG_mesa-demos is not set +# CONFIG_mesa-demos-dev is not set +# CONFIG_mesa-demos-dbg is not set + +# +# mini-x-session +# +# CONFIG_mini-x-session is not set +# CONFIG_mini-x-session-dbg is not set +# CONFIG_mini-x-session-dev is not set + +# +# pcmanfm +# +# CONFIG_pcmanfm is not set +# CONFIG_pcmanfm-dbg is not set +# CONFIG_pcmanfm-dev is not set + +# +# settings-daemon +# +# CONFIG_settings-daemon is not set +# CONFIG_settings-daemon-dev is not set +# CONFIG_settings-daemon-dbg is not set + +# +# utils +# + +# +# libcroco +# +# CONFIG_libcroco is not set +# CONFIG_libcroco-dbg is not set +# CONFIG_libcroco-dev is not set + +# +# librsvg +# +# CONFIG_librsvg is not set +# CONFIG_librsvg-gtk is not set +# CONFIG_librsvg-dbg is not set +# CONFIG_librsvg-dev is not set +# CONFIG_rsvg is not set + +# +# matchbox-terminal +# +# CONFIG_matchbox-terminal is not set +# CONFIG_matchbox-terminal-dbg is not set +# CONFIG_matchbox-terminal-dev is not set + +# +# xrestop +# +# CONFIG_xrestop is not set +# CONFIG_xrestop-dev is not set +# CONFIG_xrestop-dbg is not set + +# +# wm +# + +# +# libfakekey +# +# CONFIG_libfakekey is not set +# CONFIG_libfakekey-dev is not set +# CONFIG_libfakekey-dbg is not set + +# +# matchbox-desktop +# +# CONFIG_matchbox-desktop is not set +# CONFIG_matchbox-desktop-dev is not set +# CONFIG_matchbox-desktop-dbg is not set + +# +# matchbox-theme-sato +# +# CONFIG_matchbox-theme-sato is not set +# CONFIG_matchbox-theme-sato-dev is not set +# CONFIG_matchbox-theme-sato-dbg is not set + +# +# matchbox-wm +# +# CONFIG_matchbox-wm is not set +# CONFIG_matchbox-wm-dbg is not set +# CONFIG_matchbox-wm-dev is not set + +# +# xserver-nodm-init +# +# CONFIG_xserver-nodm-init is not set +# CONFIG_xserver-nodm-init-dbg is not set +# CONFIG_xserver-nodm-init-dev is not set + +# +# Petalinux Package Groups +# + +# +# packagegroup-petalinux +# +CONFIG_packagegroup-petalinux=y +# CONFIG_packagegroup-petalinux-dev is not set +# CONFIG_packagegroup-petalinux-dbg is not set + +# +# packagegroup-petalinux-audio +# +# CONFIG_packagegroup-petalinux-audio is not set +# CONFIG_packagegroup-petalinux-audio-dbg is not set +# CONFIG_packagegroup-petalinux-audio-dev is not set + +# +# packagegroup-petalinux-display-debug +# +# CONFIG_packagegroup-petalinux-display-debug is not set +# CONFIG_packagegroup-petalinux-display-debug-dbg is not set +# CONFIG_packagegroup-petalinux-display-debug-dev is not set + +# +# packagegroup-petalinux-lmsensors +# +# CONFIG_packagegroup-petalinux-lmsensors is not set +# CONFIG_packagegroup-petalinux-lmsensors-dbg is not set +# CONFIG_packagegroup-petalinux-lmsensors-dev is not set + +# +# packagegroup-petalinux-matchbox +# +# CONFIG_packagegroup-petalinux-matchbox is not set +# CONFIG_packagegroup-petalinux-matchbox-dbg is not set +# CONFIG_packagegroup-petalinux-matchbox-dev is not set + +# +# packagegroup-petalinux-networking-debug +# +# CONFIG_packagegroup-petalinux-networking-debug is not set +# CONFIG_packagegroup-petalinux-networking-debug-dbg is not set +# CONFIG_packagegroup-petalinux-networking-debug-dev is not set + +# +# packagegroup-petalinux-networking-stack +# +# CONFIG_packagegroup-petalinux-networking-stack is not set +# CONFIG_packagegroup-petalinux-networking-stack-dbg is not set +# CONFIG_packagegroup-petalinux-networking-stack-dev is not set + +# +# packagegroup-petalinux-openamp +# +# CONFIG_packagegroup-petalinux-openamp is not set +# CONFIG_packagegroup-petalinux-openamp-dbg is not set +# CONFIG_packagegroup-petalinux-openamp-dev is not set + +# +# packagegroup-petalinux-opencv +# +CONFIG_packagegroup-petalinux-opencv=y +# CONFIG_packagegroup-petalinux-opencv-dbg is not set +CONFIG_packagegroup-petalinux-opencv-dev=y + +# +# packagegroup-petalinux-python-modules +# +# CONFIG_packagegroup-petalinux-python-modules is not set +# CONFIG_packagegroup-petalinux-python-modules-dbg is not set +# CONFIG_packagegroup-petalinux-python-modules-dev is not set + +# +# packagegroup-petalinux-qt +# +# CONFIG_packagegroup-petalinux-qt is not set +# CONFIG_packagegroup-petalinux-qt-dbg is not set +# CONFIG_packagegroup-petalinux-qt-dev is not set +# CONFIG_imageclass-populate-sdk-qt5 is not set + +# +# packagegroup-petalinux-qt-extended +# +# CONFIG_packagegroup-petalinux-qt-extended is not set +# CONFIG_packagegroup-petalinux-qt-extended-dbg is not set +# CONFIG_packagegroup-petalinux-qt-extended-dev is not set + +# +# packagegroup-petalinux-self-hosted +# +# CONFIG_packagegroup-petalinux-self-hosted is not set +# CONFIG_packagegroup-petalinux-self-hosted-dbg is not set +# CONFIG_packagegroup-petalinux-self-hosted-dev is not set + +# +# packagegroup-petalinux-utils +# +# CONFIG_packagegroup-petalinux-utils is not set +# CONFIG_packagegroup-petalinux-utils-dbg is not set +# CONFIG_packagegroup-petalinux-utils-dev is not set + +# +# packagegroup-petalinux-v4lutils +# +# CONFIG_packagegroup-petalinux-v4lutils is not set +# CONFIG_packagegroup-petalinux-v4lutils-dbg is not set +# CONFIG_packagegroup-petalinux-v4lutils-dev is not set + +# +# packagegroup-petalinux-vitis-acceleration +# +# CONFIG_packagegroup-petalinux-vitis-acceleration is not set +# CONFIG_packagegroup-petalinux-vitis-acceleration-dbg is not set +# CONFIG_packagegroup-petalinux-vitis-acceleration-dev is not set + +# +# packagegroup-petalinux-x11 +# +# CONFIG_packagegroup-petalinux-x11 is not set +# CONFIG_packagegroup-petalinux-x11-dbg is not set +# CONFIG_packagegroup-petalinux-x11-dev is not set + +# +# Image Features +# +CONFIG_imagefeature-ssh-server-dropbear=y +# CONFIG_imagefeature-ssh-server-openssh is not set +CONFIG_imagefeature-hwcodecs=y +CONFIG_imagefeature-package-management=y +CONFIG_package-feed-uris="" +CONFIG_package-feed-archs="" +# CONFIG_imagefeature-debug-tweaks is not set +# CONFIG_auto-login is not set +CONFIG_Init-manager-systemd=y +# CONFIG_Init-manager-sysvinit is not set + +# +# apps +# +CONFIG_ros2-helloworld-cpp=y + +# +# user packages +# +# CONFIG_gpio-demo is not set +# CONFIG_peekpoke is not set + +# +# PetaLinux RootFS Settings +# +CONFIG_ADD_EXTRA_USERS="root:root;petalinux::passwd-expire;" +CONFIG_CREATE_NEW_GROUPS="aie;" +CONFIG_ADD_USERS_TO_GROUPS="petalinux:audio,video,aie;" +CONFIG_ADD_USERS_TO_SUDOERS="petalinux" diff --git a/project/petalinux/arty-z7-20/project-spec/configs/systemd-conf/wired.network b/project/petalinux/arty-z7-20/project-spec/configs/systemd-conf/wired.network new file mode 100644 index 0000000..7406287 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/configs/systemd-conf/wired.network @@ -0,0 +1,13 @@ +[Match] +Type=ether +Name=!veth* +KernelCommandLine=!nfsroot +KernelCommandLine=!ip + +[Network] +DHCP=yes + +[DHCP] +UseMTU=yes +RouteMetric=10 +ClientIdentifier=mac diff --git a/project/petalinux/arty-z7-20/project-spec/hw-description/metadata b/project/petalinux/arty-z7-20/project-spec/hw-description/metadata new file mode 100644 index 0000000..7d9fa0b --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/hw-description/metadata @@ -0,0 +1 @@ +HARDWARE_SOURCE= diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/COPYING.MIT b/project/petalinux/arty-z7-20/project-spec/meta-user/COPYING.MIT new file mode 100644 index 0000000..89de354 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/COPYING.MIT @@ -0,0 +1,17 @@ +Permission is hereby granted, free of charge, to any person obtaining a copy +of this software and associated documentation files (the "Software"), to deal +in the Software without restriction, including without limitation the rights +to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +copies of the Software, and to permit persons to whom the Software is +furnished to do so, subject to the following conditions: + +The above copyright notice and this permission notice shall be included in +all copies or substantial portions of the Software. + +THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +THE SOFTWARE. diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/README b/project/petalinux/arty-z7-20/project-spec/meta-user/README new file mode 100644 index 0000000..5a5b9b9 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/README @@ -0,0 +1,64 @@ +This README file contains information on the contents of the +meta-user layer. + +Please see the corresponding sections below for details. + + +Dependencies +============ + +This layer depends on: + + URI: git://git.openembedded.org/bitbake + branch: master + + URI: git://git.openembedded.org/openembedded-core + layers: meta + branch: master + + URI: git://git.yoctoproject.org/xxxx + layers: xxxx + branch: master + + +Patches +======= + +Please submit any patches against the meta-user layer to the +xxxx mailing list (xxxx@zzzz.org) and cc: the maintainer: + +Maintainer: XXX YYYYYY + + +Table of Contents +================= + + I. Adding the meta-user layer to your build + II. Misc + + +I. Adding the meta-user layer to your build +================================================= + +--- replace with specific instructions for the meta-user layer --- + +In order to use this layer, you need to make the build system aware of +it. + +Assuming the meta-user layer exists at the top-level of your +yocto build tree, you can add it to the build system by adding the +location of the meta-user layer to bblayers.conf, along with any +other layers needed. e.g.: + + BBLAYERS ?= " \ + /path/to/yocto/meta \ + /path/to/yocto/meta-poky \ + /path/to/yocto/meta-yocto-bsp \ + /path/to/yocto/meta-meta-user \ + " + + +II. Misc +======== + +--- replace with specific information about the meta-user layer --- diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/conf/layer.conf b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/layer.conf new file mode 100644 index 0000000..bb9aff6 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/layer.conf @@ -0,0 +1,16 @@ +# We have a conf and classes directory, add to BBPATH +BBPATH .= ":${LAYERDIR}" + +# We have recipes-* directories, add to BBFILES +BBFILES += "${LAYERDIR}/recipes-*/*/*.bb \ + ${LAYERDIR}/recipes-*/*/*.bbappend" + +# Define dynamic layers +BBFILES_DYNAMIC += " \ +xilinx-tools:${LAYERDIR}/meta-xilinx-tools/recipes-*/*/*.bbappend \ +" + +BBFILE_COLLECTIONS += "meta-user" +BBFILE_PATTERN_meta-user = "^${LAYERDIR}/" +BBFILE_PRIORITY_meta-user = "7" +LAYERSERIES_COMPAT_meta-user = "honister" diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/conf/petalinuxbsp.conf b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/petalinuxbsp.conf new file mode 100644 index 0000000..6b5083c --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/petalinuxbsp.conf @@ -0,0 +1,5 @@ +#User Configuration + +#OE_TERMINAL = "tmux" + +IMAGE_BOOT_FILES:zynq = "BOOT.BIN boot.scr uImage" diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/conf/user-rootfsconfig b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/user-rootfsconfig new file mode 100644 index 0000000..9e1ae67 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/conf/user-rootfsconfig @@ -0,0 +1,7 @@ +#Note: Mention Each package in individual line +#These packages will get added into rootfs menu entry + +CONFIG_gpio-demo +CONFIG_peekpoke +CONFIG_ros2-helloworld-cpp +CONFIG_ros2-helloworld-python diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/files/system-user.dtsi b/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/files/system-user.dtsi new file mode 100644 index 0000000..f3270db --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/files/system-user.dtsi @@ -0,0 +1,3 @@ +/include/ "system-conf.dtsi" +/ { +}; diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend b/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend new file mode 100644 index 0000000..d7d03f8 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/meta-xilinx-tools/recipes-bsp/uboot-device-tree/uboot-device-tree.bbappend @@ -0,0 +1,16 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" + +SRC_URI:append = " file://config file://system-user.dtsi" + +python () { + if d.getVar("CONFIG_DISABLE"): + d.setVarFlag("do_configure", "noexec", "1") +} +export PETALINUX +do_configure:append () { + script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" + data=${PETALINUX}/etc/hsm/data/ + eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ + -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ + -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" +} diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp new file mode 160000 index 0000000..35eb305 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp @@ -0,0 +1 @@ +Subproject commit 35eb3050cb1aa1948bb22bbb1a035833efab8d4c diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb new file mode 100644 index 0000000..695cb84 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb @@ -0,0 +1,62 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble C++ helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +SECTION = "PETALINUX/apps" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=14549528096b9b60194cbada83109dcd" +PV = "1.0.0" +PR = "r0" + +#ROS_CN = "myexamples" +#ROS_BPN = "ros2-helloworld-cpp" + +S = "${WORKDIR}/ros2-helloworld-cpp" + +SRC_URI = "\ + file://ros2-helloworld-cpp/LICENSE \ + file://ros2-helloworld-cpp/VERSION \ + file://ros2-helloworld-cpp/package.xml \ + file://ros2-helloworld-cpp/CMakeLists.txt \ + file://ros2-helloworld-cpp/src/main.cpp \ + file://ros2-helloworld-cpp/include/engine.hpp \ + file://ros2-helloworld-cpp/src/lib/engine.cpp \ +" + +ROS_BUILD_TYPE = "ament_cmake" + +ROS_BUILDTOOL_DEPENDS = " \ + ament-cmake-native \ +" + +# Package "python3-numpy-native" is required by ament. +# See https://github.com/Xilinx/meta-ros/blob/rel-v2022.2/meta-ros2-humble/recipes-bbappends/examples/examples-rclcpp-minimal-publisher_%25.bbappend +ROS_BUILD_DEPENDS = " \ + rclcpp \ + std-msgs \ + python3-numpy-native \ +" + +ROS_EXEC_DEPENDS = "\ + rclcpp \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-lint-auto \ + ament-lint-common \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python new file mode 160000 index 0000000..663d299 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python @@ -0,0 +1 @@ +Subproject commit 663d299804da724affc3f1036a7f528893816d97 diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb new file mode 100644 index 0000000..27518f5 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb @@ -0,0 +1,57 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble Python helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +SECTION = "PETALINUX/apps" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=1317490e6c0aa391d3d8096ce4479acd" +PV = "1.0.0" +PR = "r0" + +S = "${WORKDIR}/ros2_helloworld_python" + +SRC_URI = "\ + file://ros2_helloworld_python/LICENSE \ + file://ros2_helloworld_python/VERSION \ + file://ros2_helloworld_python/package.xml \ + file://ros2_helloworld_python/setup.py \ + file://ros2_helloworld_python/setup.cfg \ + file://ros2_helloworld_python/test/test_copyright.py \ + file://ros2_helloworld_python/test/test_flake8.py \ + file://ros2_helloworld_python/test/test_pep257.py \ + file://ros2_helloworld_python/resource/ros2_helloworld_python \ + file://ros2_helloworld_python/ros2_helloworld_python/__init__.py \ + file://ros2_helloworld_python/ros2_helloworld_python/helloworld.py \ +" + +ROS_BUILD_TYPE = "ament_python" + +ROS_BUILDTOOL_DEPENDS = "" + +ROS_BUILD_DEPENDS = "" + +ROS_EXEC_DEPENDS = "\ + rclpy \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-copyright \ + ament-flake8 \ + ament-pep257 \ + python3-pytest \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend new file mode 100644 index 0000000..b4a4917 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/device-tree.bbappend @@ -0,0 +1,28 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:${SYSCONFIG_PATH}:" + +SRC_URI:append = " file://config file://system-user.dtsi" +DEPENDS:append = "${@' lopper-native' if d.getVar('SYSTEM_DTFILE') != '' else ''}" + +# We need the deployed output +PROC_TUNE:versal = "${@'cortexa72' if d.getVar('SYSTEM_DTFILE') != '' else ''}" +PROC_TUNE:zynqmp = "${@'cortexa53' if d.getVar('SYSTEM_DTFILE') != '' else ''}" + +python () { + if d.getVar("CONFIG_DISABLE"): + d.setVarFlag("do_configure", "noexec", "1") +} + +export PETALINUX +do_configure:append () { + if [ ! -z "${SYSTEM_DTFILE}" ]; then + user_dtsi="${TOPDIR}/../project-spec/decoupling-dtsi/system-user.dtsi" + apu_dts="${TOPDIR}/../project-spec/decoupling-dtsi/${PROC_TUNE}-${SOC_FAMILY}-linux.dts" + lopper -f -v --enhanced --permissive -i ${user_dtsi} ${apu_dts} system-default.dtb + else + script="${PETALINUX}/etc/hsm/scripts/petalinux_hsm_bridge.tcl" + data=${PETALINUX}/etc/hsm/data/ + eval xsct -sdx -nodisp ${script} -c ${WORKDIR}/config \ + -hdf ${DT_FILES_PATH}/hardware_description.${HDF_EXT} -repo ${S} \ + -data ${data} -sw ${DT_FILES_PATH} -o ${DT_FILES_PATH} -a "soc_mapping" + fi +} diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi new file mode 100644 index 0000000..7e7cb18 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/pl-custom.dtsi @@ -0,0 +1,4 @@ +/*Add pl custom nodes for pl.dtsi which is generated from base xsa file. +Changes in this file reflects only when enabled the FPGA manager/Device tree overlay.*/ +/ { +}; diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi new file mode 100644 index 0000000..f3270db --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/device-tree/files/system-user.dtsi @@ -0,0 +1,3 @@ +/include/ "system-conf.dtsi" +/ { +}; diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg new file mode 100644 index 0000000..c2895e0 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/bsp.cfg @@ -0,0 +1,2 @@ +CONFIG_SYS_CONFIG_NAME="platform-top" +CONFIG_BOOT_SCRIPT_OFFSET=0x9C0000 diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h new file mode 100644 index 0000000..0aa486a --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/files/platform-top.h @@ -0,0 +1 @@ +#include diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend new file mode 100644 index 0000000..c23e081 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-bsp/u-boot/u-boot-xlnx_%.bbappend @@ -0,0 +1,15 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/files:" + +SRC_URI:append = " file://platform-top.h file://bsp.cfg" + +do_configure:append () { + install ${WORKDIR}/platform-top.h ${S}/include/configs/ +} + +do_configure:append:microblaze () { + if [ "${U_BOOT_AUTO_CONFIG}" = "1" ]; then + install ${WORKDIR}/platform-auto.h ${S}/include/configs/ + install -d ${B}/source/board/xilinx/microblaze-generic/ + install ${WORKDIR}/config.mk ${B}/source/board/xilinx/microblaze-generic/ + fi +} diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bb b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bb new file mode 100644 index 0000000..06e4bba --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-images/images/petalinux-image-minimal.bb @@ -0,0 +1,99 @@ +SUMMARY = "Minimal ROS2 Humble installation including Fast DDS and Cyclone DDS" +DESCRIPTION = "${SUMMARY}" + +require ${COREBASE}/../meta-petalinux/recipes-core/images/petalinux-image-minimal.bb + +ROS_SYSROOT_BUILD_DEPENDENCIES = " \ + ament-lint-auto \ + ament-cmake-auto \ + ament-cmake-core \ + ament-cmake-cppcheck \ + ament-cmake-cpplint \ + ament-cmake-export-definitions \ + ament-cmake-export-dependencies \ + ament-cmake-export-include-directories \ + ament-cmake-export-interfaces \ + ament-cmake-export-libraries \ + ament-cmake-export-link-flags \ + ament-cmake-export-targets \ + ament-cmake-gmock \ + ament-cmake-gtest \ + ament-cmake-include-directories \ + ament-cmake-libraries \ + ament-cmake \ + ament-cmake-pytest \ + ament-cmake-python \ + ament-cmake-ros \ + ament-cmake-target-dependencies \ + ament-cmake-test \ + ament-cmake-version \ + ament-cmake-uncrustify \ + ament-cmake-flake8 \ + ament-cmake-pep257 \ + ament-copyright \ + ament-cpplint \ + ament-flake8 \ + ament-index-python \ + ament-lint-cmake \ + ament-mypy \ + ament-package \ + ament-pclint \ + ament-pep257 \ + ament-pycodestyle \ + ament-pyflakes \ + ament-uncrustify \ + ament-xmllint \ + cmake \ + eigen3-cmake-module \ + fastcdr \ + fastrtps-cmake-module \ + fastrtps \ + git \ + gmock-vendor \ + gtest-vendor \ + pkgconfig \ + python-cmake-module \ + python3-catkin-pkg \ + python3-empy \ + python3 \ + python3-nose \ + python3-pytest \ + rcutils \ + rmw-implementation-cmake \ + rosidl-cmake \ + rosidl-default-generators \ + rosidl-generator-c \ + rosidl-generator-cpp \ + rosidl-generator-dds-idl \ + rosidl-generator-py \ + rosidl-parser \ + rosidl-runtime-c \ + rosidl-runtime-cpp \ + rosidl-typesupport-c \ + rosidl-typesupport-cpp \ + rosidl-typesupport-fastrtps-cpp \ + rosidl-typesupport-interface \ + rosidl-typesupport-introspection-c \ + rosidl-typesupport-introspection-cpp \ + foonathan-memory-vendor \ + libyaml-vendor \ +" + +IMAGE_INSTALL:append = " \ + ${ROS_SYSROOT_BUILD_DEPENDENCIES} \ + opencl-headers-dev \ + opencl-clhpp-dev \ + xrt-dev \ + xrt \ + zocl \ + python3-argcomplete \ + glibc-utils \ + localedef \ + rt-tests \ + cyclonedds \ + rmw-cyclonedds-cpp \ + ros-base \ +" + +IMAGE_LINGUAS = "en-us" +GLIBC_GENERATE_LOCALES = "en_US.UTF-8" diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/bsp.cfg new file mode 100644 index 0000000..e69de29 diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-04-11-33-00.cfg b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-04-11-33-00.cfg new file mode 100644 index 0000000..30fa696 --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx/user_2023-05-04-11-33-00.cfg @@ -0,0 +1 @@ +CONFIG_SPI_SPIDEV=y diff --git a/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend new file mode 100644 index 0000000..7a73f9e --- /dev/null +++ b/project/petalinux/arty-z7-20/project-spec/meta-user/recipes-kernel/linux/linux-xlnx_%.bbappend @@ -0,0 +1,6 @@ +FILESEXTRAPATHS:prepend := "${THISDIR}/${PN}:" + +SRC_URI:append = " file://bsp.cfg" +KERNEL_FEATURES:append = " bsp.cfg" +SRC_URI += "file://user_2023-05-04-11-33-00.cfg" + diff --git a/project/third-party/digilent-vivado-library b/project/third-party/digilent-vivado-library new file mode 160000 index 0000000..69fc8c5 --- /dev/null +++ b/project/third-party/digilent-vivado-library @@ -0,0 +1 @@ +Subproject commit 69fc8c599227d29b6be94f7f66646879a810f5a9 diff --git a/project/vivado/run/.gitkeep b/project/vivado/run/.gitkeep new file mode 100644 index 0000000..e69de29 diff --git a/project/vivado/script/arty-z7-20.tcl b/project/vivado/script/arty-z7-20.tcl new file mode 100644 index 0000000..fa206b1 --- /dev/null +++ b/project/vivado/script/arty-z7-20.tcl @@ -0,0 +1,1291 @@ +#***************************************************************************************** +# Vivado (TM) v2022.2 (64-bit) +# +# arty-z7-20.tcl: Tcl script for re-creating project 'ros2-minimal' +# +# Generated by Vivado on Mon Dec 26 01:20:39 +08 2022 +# IP Build 3669848 on Fri Oct 14 08:30:02 MDT 2022 +# +# This file contains the Vivado Tcl commands for re-creating the project to the state* +# when this script was generated. In order to re-create the project, please source this +# file in the Vivado Tcl Shell. +# +# * Note that the runs in the created project will be configured the same way as the +# original project, however they will not be launched automatically. To regenerate the +# run results please launch the synthesis/implementation runs as needed. +# +#***************************************************************************************** +# NOTE: In order to use this script for source control purposes, please make sure that the +# following files are added to the source control system:- +# +# 1. This project restoration tcl script (arty-z7-20.tcl) that was generated. +# +# 2. The following source(s) files that were local or imported into the original project. +# (Please see the '$orig_proj_dir' and '$origin_dir' variable setting below at the start of the script) +# +# +# +# 3. The following remote source files that were added to the original project:- +# +# "vivado/src/constrs/arty-z7-20.xdc" +# "third-party/digilent-vivado-library" +# +#***************************************************************************************** + +# Check file required for this script exists +proc checkRequiredFiles { origin_dir} { + set status true + set files [list \ + "[file normalize "$origin_dir/../../src/constrs/arty-z7-20.xdc"]"\ + ] + foreach ifile $files { + if { ![file isfile $ifile] } { + puts " Could not find remote file $ifile " + set status false + } + } + + set paths [list \ + "[file normalize "$origin_dir/[file normalize "$origin_dir/../../../third-party/digilent-vivado-library"]"]"\ + ] + foreach ipath $paths { + if { ![file isdirectory $ipath] } { + puts " Could not access $ipath " + set status false + } + } + + return $status +} +# Set the reference directory for source file relative paths (by default the value is script directory path) +set origin_dir "." + +# Use origin directory path location variable, if specified in the tcl shell +if { [info exists ::origin_dir_loc] } { + set origin_dir $::origin_dir_loc +} + +# Set the project name +set _xil_proj_name_ "ros2-minimal" + +# Use project name variable, if specified in the tcl shell +if { [info exists ::user_project_name] } { + set _xil_proj_name_ $::user_project_name +} + +variable script_file +set script_file "arty-z7-20.tcl" + +# Help information for this script +proc print_help {} { + variable script_file + puts "\nDescription:" + puts "Recreate a Vivado project from this script. The created project will be" + puts "functionally equivalent to the original project for which this script was" + puts "generated. The script contains commands for creating a project, filesets," + puts "runs, adding/importing sources and setting properties on various objects.\n" + puts "Syntax:" + puts "$script_file" + puts "$script_file -tclargs \[--origin_dir \]" + puts "$script_file -tclargs \[--project_name \]" + puts "$script_file -tclargs \[--help\]\n" + puts "Usage:" + puts "Name Description" + puts "-------------------------------------------------------------------------" + puts "\[--origin_dir \] Determine source file paths wrt this path. Default" + puts " origin_dir path value is \".\", otherwise, the value" + puts " that was set with the \"-paths_relative_to\" switch" + puts " when this script was generated.\n" + puts "\[--project_name \] Create project with the specified name. Default" + puts " name is the name of the project from where this" + puts " script was generated.\n" + puts "\[--help\] Print help information for this script" + puts "-------------------------------------------------------------------------\n" + exit 0 +} + +if { $::argc > 0 } { + for {set i 0} {$i < $::argc} {incr i} { + set option [string trim [lindex $::argv $i]] + switch -regexp -- $option { + "--origin_dir" { incr i; set origin_dir [lindex $::argv $i] } + "--project_name" { incr i; set _xil_proj_name_ [lindex $::argv $i] } + "--help" { print_help } + default { + if { [regexp {^-} $option] } { + puts "ERROR: Unknown option '$option' specified, please type '$script_file -tclargs --help' for usage info.\n" + return 1 + } + } + } + } +} + +# Set the directory path for the original project from where this script was exported +set orig_proj_dir "[file normalize "$origin_dir/../../run/arty-z7-20/ros2-minimal"]" + +# Check for paths and files needed for project creation +set validate_required 0 +if { $validate_required } { + if { [checkRequiredFiles $origin_dir] } { + puts "Tcl file $script_file is valid. All files required for project creation is accesable. " + } else { + puts "Tcl file $script_file is not valid. Not all files required for project creation is accesable. " + return + } +} + +# Create project +create_project ${_xil_proj_name_} ./${_xil_proj_name_} -part xc7z020clg400-1 + +# Set the directory path for the new project +set proj_dir [get_property directory [current_project]] + +# Set project properties +set obj [current_project] +set_property -name "board_part" -value "digilentinc.com:arty-z7-20:part0:1.1" -objects $obj +set_property -name "default_lib" -value "xil_defaultlib" -objects $obj +set_property -name "enable_resource_estimation" -value "0" -objects $obj +set_property -name "enable_vhdl_2008" -value "1" -objects $obj +set_property -name "ip_cache_permissions" -value "read write" -objects $obj +set_property -name "ip_output_repo" -value "$proj_dir/${_xil_proj_name_}.cache/ip" -objects $obj +set_property -name "mem.enable_memory_map_generation" -value "1" -objects $obj +set_property -name "platform.board_id" -value "arty-z7-20" -objects $obj +set_property -name "platform.default_output_type" -value "sd_card" -objects $obj +set_property -name "platform.design_intent.datacenter" -value "false" -objects $obj +set_property -name "platform.design_intent.embedded" -value "true" -objects $obj +set_property -name "platform.design_intent.external_host" -value "false" -objects $obj +set_property -name "platform.design_intent.server_managed" -value "false" -objects $obj +set_property -name "platform.extensible" -value "1" -objects $obj +set_property -name "platform.name" -value "ros2-minimal" -objects $obj +set_property -name "platform.version" -value "1.0" -objects $obj +set_property -name "revised_directory_structure" -value "1" -objects $obj +set_property -name "sim.central_dir" -value "$proj_dir/${_xil_proj_name_}.ip_user_files" -objects $obj +set_property -name "sim.ip.auto_export_scripts" -value "1" -objects $obj +set_property -name "simulator_language" -value "Mixed" -objects $obj +set_property -name "sim_compile_state" -value "1" -objects $obj +set_property -name "webtalk.activehdl_export_sim" -value "5" -objects $obj +set_property -name "webtalk.modelsim_export_sim" -value "5" -objects $obj +set_property -name "webtalk.questa_export_sim" -value "5" -objects $obj +set_property -name "webtalk.riviera_export_sim" -value "5" -objects $obj +set_property -name "webtalk.vcs_export_sim" -value "5" -objects $obj +set_property -name "webtalk.xsim_export_sim" -value "5" -objects $obj +set_property -name "xpm_libraries" -value "XPM_CDC XPM_FIFO XPM_MEMORY" -objects $obj + +# Create 'sources_1' fileset (if not found) +if {[string equal [get_filesets -quiet sources_1] ""]} { + create_fileset -srcset sources_1 +} + +# Set IP repository paths +set obj [get_filesets sources_1] +if { $obj != {} } { + set_property "ip_repo_paths" "[file normalize "$origin_dir/../../../third-party/digilent-vivado-library"]" $obj + + # Rebuild user ip_repo's index before adding any source files + update_ip_catalog -rebuild +} + +# Set 'sources_1' fileset object +set obj [get_filesets sources_1] +# Set 'sources_1' fileset file properties for remote files +# None + +# Set 'sources_1' fileset file properties for local files +# None + +# Set 'sources_1' fileset properties +set obj [get_filesets sources_1] +set_property -name "dataflow_viewer_settings" -value "min_width=16" -objects $obj +set_property -name "top" -value "arty_z7_20_wrapper" -objects $obj + +# Create 'constrs_1' fileset (if not found) +if {[string equal [get_filesets -quiet constrs_1] ""]} { + create_fileset -constrset constrs_1 +} + +# Set 'constrs_1' fileset object +set obj [get_filesets constrs_1] + +# Add/Import constrs file and set constrs file properties +set file "[file normalize "$origin_dir/../../src/constrs/arty-z7-20.xdc"]" +set file_added [add_files -norecurse -fileset $obj [list $file]] +set file "$origin_dir/../../src/constrs/arty-z7-20.xdc" +set file [file normalize $file] +set file_obj [get_files -of_objects [get_filesets constrs_1] [list "*$file"]] +set_property -name "file_type" -value "XDC" -objects $file_obj + +# Set 'constrs_1' fileset properties +set obj [get_filesets constrs_1] +set_property -name "target_constrs_file" -value "[file normalize "$origin_dir/../../src/constrs/arty-z7-20.xdc"]" -objects $obj +set_property -name "target_ucf" -value "[file normalize "$origin_dir/../../src/constrs/arty-z7-20.xdc"]" -objects $obj + +# Create 'sim_1' fileset (if not found) +if {[string equal [get_filesets -quiet sim_1] ""]} { + create_fileset -simset sim_1 +} + +# Set 'sim_1' fileset object +set obj [get_filesets sim_1] +# Empty (no sources present) + +# Set 'sim_1' fileset properties +set obj [get_filesets sim_1] +set_property -name "top" -value "arty_z7_20_wrapper" -objects $obj +set_property -name "top_lib" -value "xil_defaultlib" -objects $obj + +# Set 'utils_1' fileset object +set obj [get_filesets utils_1] +# Empty (no sources present) + +# Set 'utils_1' fileset properties +set obj [get_filesets utils_1] + + +# Adding sources referenced in BDs, if not already added + + +# Proc to create BD arty_z7_20 +proc cr_bd_arty_z7_20 { parentCell } { + + # CHANGE DESIGN NAME HERE + set design_name arty_z7_20 + + common::send_gid_msg -ssname BD::TCL -id 2010 -severity "INFO" "Currently there is no design <$design_name> in project, so creating one..." + + create_bd_design $design_name + + set bCheckIPsPassed 1 + ################################################################## + # CHECK IPs + ################################################################## + set bCheckIPs 1 + if { $bCheckIPs == 1 } { + set list_check_ips "\ + digilentinc.com:IP:PmodGPIO:1.0\ + xilinx.com:ip:axi_gpio:2.0\ + xilinx.com:ip:axi_perf_mon:5.0\ + xilinx.com:ip:axi_timer:2.0\ + xilinx.com:ip:proc_sys_reset:5.0\ + xilinx.com:ip:processing_system7:5.5\ + " + + set list_ips_missing "" + common::send_gid_msg -ssname BD::TCL -id 2011 -severity "INFO" "Checking if the following IPs exist in the project's IP catalog: $list_check_ips ." + + foreach ip_vlnv $list_check_ips { + set ip_obj [get_ipdefs -all $ip_vlnv] + if { $ip_obj eq "" } { + lappend list_ips_missing $ip_vlnv + } + } + + if { $list_ips_missing ne "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2012 -severity "ERROR" "The following IPs are not found in the IP Catalog:\n $list_ips_missing\n\nResolution: Please add the repository containing the IP(s) to the project." } + set bCheckIPsPassed 0 + } + + } + + if { $bCheckIPsPassed != 1 } { + common::send_gid_msg -ssname BD::TCL -id 2023 -severity "WARNING" "Will not continue with creation of design due to the error(s) above." + return 3 + } + + variable script_folder + + if { $parentCell eq "" } { + set parentCell [get_bd_cells /] + } + + # Get object for parentCell + set parentObj [get_bd_cells $parentCell] + if { $parentObj == "" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2090 -severity "ERROR" "Unable to find parent cell <$parentCell>!"} + return + } + + # Make sure parentObj is hier blk + set parentType [get_property TYPE $parentObj] + if { $parentType ne "hier" } { + catch {common::send_gid_msg -ssname BD::TCL -id 2091 -severity "ERROR" "Parent <$parentObj> has TYPE = <$parentType>. Expected to be ."} + return + } + + # Save current instance; Restore later + set oldCurInst [current_bd_instance .] + + # Set parent object as current + current_bd_instance $parentObj + + + # Create interface ports + set DDR [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:ddrx_rtl:1.0 DDR ] + + set FIXED_IO [ create_bd_intf_port -mode Master -vlnv xilinx.com:display_processing_system7:fixedio_rtl:1.0 FIXED_IO ] + + set GPIO_0_0 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 GPIO_0_0 ] + + set Pmod_out_0 [ create_bd_intf_port -mode Master -vlnv digilentinc.com:interface:pmod_rtl:1.0 Pmod_out_0 ] + + set Pmod_out_1 [ create_bd_intf_port -mode Master -vlnv digilentinc.com:interface:pmod_rtl:1.0 Pmod_out_1 ] + + set shield_dp0_dp13 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 shield_dp0_dp13 ] + + set shield_dp26_dp41 [ create_bd_intf_port -mode Master -vlnv xilinx.com:interface:gpio_rtl:1.0 shield_dp26_dp41 ] + + + # Create ports + + # Create instance: PmodGPIO_0, and set properties + set PmodGPIO_0 [ create_bd_cell -type ip -vlnv digilentinc.com:IP:PmodGPIO:1.0 PmodGPIO_0 ] + set_property CONFIG.PMOD {ja} $PmodGPIO_0 + + + # Create instance: PmodGPIO_1, and set properties + set PmodGPIO_1 [ create_bd_cell -type ip -vlnv digilentinc.com:IP:PmodGPIO:1.0 PmodGPIO_1 ] + set_property CONFIG.PMOD {jb} $PmodGPIO_1 + + + # Create instance: axi_gpio_0, and set properties + set axi_gpio_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_gpio:2.0 axi_gpio_0 ] + set_property -dict [list \ + CONFIG.C_INTERRUPT_PRESENT {1} \ + CONFIG.GPIO2_BOARD_INTERFACE {shield_dp26_dp41} \ + CONFIG.GPIO_BOARD_INTERFACE {shield_dp0_dp13} \ + CONFIG.USE_BOARD_FLOW {true} \ + ] $axi_gpio_0 + + + # Create instance: axi_interconnect_0, and set properties + set axi_interconnect_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_interconnect:2.1 axi_interconnect_0 ] + set_property CONFIG.NUM_MI {5} $axi_interconnect_0 + + + # Create instance: axi_perf_mon_0, and set properties + set axi_perf_mon_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_perf_mon:5.0 axi_perf_mon_0 ] + + # Create instance: axi_timer_0, and set properties + set axi_timer_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_timer:2.0 axi_timer_0 ] + + # Create instance: proc_sys_reset_0, and set properties + set proc_sys_reset_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:proc_sys_reset:5.0 proc_sys_reset_0 ] + + # Create instance: processing_system7_0, and set properties + set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [list \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {650.000000} \ + CONFIG.PCW_ACT_CAN0_PERIPHERAL_FREQMHZ {23.8095} \ + CONFIG.PCW_ACT_CAN1_PERIPHERAL_FREQMHZ {23.8095} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.096154} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {125.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_I2C_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {50.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_ACT_TTC_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {100.000000} \ + CONFIG.PCW_ACT_USB0_PERIPHERAL_FREQMHZ {60} \ + CONFIG.PCW_ACT_USB1_PERIPHERAL_FREQMHZ {60} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {108.333336} \ + CONFIG.PCW_APU_CLK_RATIO_ENABLE {6:2:1} \ + CONFIG.PCW_APU_PERIPHERAL_FREQMHZ {650} \ + CONFIG.PCW_CAN0_PERIPHERAL_CLKSRC {External} \ + CONFIG.PCW_CAN1_PERIPHERAL_CLKSRC {External} \ + CONFIG.PCW_CAN_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_CAN_PERIPHERAL_VALID {0} \ + CONFIG.PCW_CLK0_FREQ {100000000} \ + CONFIG.PCW_CLK1_FREQ {10000000} \ + CONFIG.PCW_CLK2_FREQ {10000000} \ + CONFIG.PCW_CLK3_FREQ {10000000} \ + CONFIG.PCW_CORE0_FIQ_INTR {0} \ + CONFIG.PCW_CORE0_IRQ_INTR {0} \ + CONFIG.PCW_CORE1_FIQ_INTR {0} \ + CONFIG.PCW_CORE1_IRQ_INTR {0} \ + CONFIG.PCW_CPU_CPU_6X4X_MAX_RANGE {667} \ + CONFIG.PCW_CPU_PERIPHERAL_CLKSRC {ARM PLL} \ + CONFIG.PCW_CRYSTAL_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_DCI_PERIPHERAL_CLKSRC {DDR PLL} \ + CONFIG.PCW_DCI_PERIPHERAL_FREQMHZ {10.159} \ + CONFIG.PCW_DDR_PERIPHERAL_CLKSRC {DDR PLL} \ + CONFIG.PCW_DDR_RAM_BASEADDR {0x00100000} \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x1FFFFFFF} \ + CONFIG.PCW_DM_WIDTH {4} \ + CONFIG.PCW_DQS_WIDTH {4} \ + CONFIG.PCW_DQ_WIDTH {32} \ + CONFIG.PCW_ENET0_BASEADDR {0xE000B000} \ + CONFIG.PCW_ENET0_ENET0_IO {MIO 16 .. 27} \ + CONFIG.PCW_ENET0_GRP_MDIO_ENABLE {1} \ + CONFIG.PCW_ENET0_GRP_MDIO_IO {MIO 52 .. 53} \ + CONFIG.PCW_ENET0_HIGHADDR {0xE000BFFF} \ + CONFIG.PCW_ENET0_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_ENET0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_FREQMHZ {1000 Mbps} \ + CONFIG.PCW_ENET0_RESET_ENABLE {1} \ + CONFIG.PCW_ENET0_RESET_IO {MIO 9} \ + CONFIG.PCW_ENET1_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_ENET1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_ENET_RESET_ENABLE {1} \ + CONFIG.PCW_ENET_RESET_POLARITY {Active Low} \ + CONFIG.PCW_ENET_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_EN_4K_TIMER {0} \ + CONFIG.PCW_EN_CAN0 {0} \ + CONFIG.PCW_EN_CAN1 {0} \ + CONFIG.PCW_EN_CLK0_PORT {1} \ + CONFIG.PCW_EN_CLK1_PORT {0} \ + CONFIG.PCW_EN_CLK2_PORT {0} \ + CONFIG.PCW_EN_CLK3_PORT {0} \ + CONFIG.PCW_EN_CLKTRIG0_PORT {0} \ + CONFIG.PCW_EN_CLKTRIG1_PORT {0} \ + CONFIG.PCW_EN_CLKTRIG2_PORT {0} \ + CONFIG.PCW_EN_CLKTRIG3_PORT {0} \ + CONFIG.PCW_EN_DDR {1} \ + CONFIG.PCW_EN_EMIO_CAN0 {0} \ + CONFIG.PCW_EN_EMIO_CAN1 {0} \ + CONFIG.PCW_EN_EMIO_CD_SDIO0 {0} \ + CONFIG.PCW_EN_EMIO_CD_SDIO1 {0} \ + CONFIG.PCW_EN_EMIO_ENET0 {0} \ + CONFIG.PCW_EN_EMIO_ENET1 {0} \ + CONFIG.PCW_EN_EMIO_GPIO {1} \ + CONFIG.PCW_EN_EMIO_I2C0 {0} \ + CONFIG.PCW_EN_EMIO_I2C1 {0} \ + CONFIG.PCW_EN_EMIO_MODEM_UART0 {0} \ + CONFIG.PCW_EN_EMIO_MODEM_UART1 {0} \ + CONFIG.PCW_EN_EMIO_PJTAG {0} \ + CONFIG.PCW_EN_EMIO_SDIO0 {0} \ + CONFIG.PCW_EN_EMIO_SDIO1 {0} \ + CONFIG.PCW_EN_EMIO_SPI0 {0} \ + CONFIG.PCW_EN_EMIO_SPI1 {0} \ + CONFIG.PCW_EN_EMIO_SRAM_INT {0} \ + CONFIG.PCW_EN_EMIO_TRACE {0} \ + CONFIG.PCW_EN_EMIO_TTC0 {0} \ + CONFIG.PCW_EN_EMIO_TTC1 {0} \ + CONFIG.PCW_EN_EMIO_UART0 {0} \ + CONFIG.PCW_EN_EMIO_UART1 {0} \ + CONFIG.PCW_EN_EMIO_WDT {0} \ + CONFIG.PCW_EN_EMIO_WP_SDIO0 {0} \ + CONFIG.PCW_EN_EMIO_WP_SDIO1 {0} \ + CONFIG.PCW_EN_ENET0 {1} \ + CONFIG.PCW_EN_ENET1 {0} \ + CONFIG.PCW_EN_GPIO {1} \ + CONFIG.PCW_EN_I2C0 {0} \ + CONFIG.PCW_EN_I2C1 {0} \ + CONFIG.PCW_EN_MODEM_UART0 {0} \ + CONFIG.PCW_EN_MODEM_UART1 {0} \ + CONFIG.PCW_EN_PJTAG {0} \ + CONFIG.PCW_EN_PTP_ENET0 {0} \ + CONFIG.PCW_EN_PTP_ENET1 {0} \ + CONFIG.PCW_EN_QSPI {1} \ + CONFIG.PCW_EN_RST0_PORT {1} \ + CONFIG.PCW_EN_RST1_PORT {0} \ + CONFIG.PCW_EN_RST2_PORT {0} \ + CONFIG.PCW_EN_RST3_PORT {0} \ + CONFIG.PCW_EN_SDIO0 {1} \ + CONFIG.PCW_EN_SDIO1 {0} \ + CONFIG.PCW_EN_SMC {0} \ + CONFIG.PCW_EN_SPI0 {0} \ + CONFIG.PCW_EN_SPI1 {0} \ + CONFIG.PCW_EN_TRACE {0} \ + CONFIG.PCW_EN_TTC0 {0} \ + CONFIG.PCW_EN_TTC1 {0} \ + CONFIG.PCW_EN_UART0 {1} \ + CONFIG.PCW_EN_UART1 {0} \ + CONFIG.PCW_EN_USB0 {1} \ + CONFIG.PCW_EN_USB1 {0} \ + CONFIG.PCW_EN_WDT {0} \ + CONFIG.PCW_FCLK0_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_FCLK1_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_FCLK2_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_FCLK3_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_FCLK_CLK0_BUF {TRUE} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_FPGA1_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_FPGA2_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_FPGA3_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_GPIO_BASEADDR {0xE000A000} \ + CONFIG.PCW_GPIO_EMIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_EMIO_GPIO_IO {16} \ + CONFIG.PCW_GPIO_EMIO_GPIO_WIDTH {16} \ + CONFIG.PCW_GPIO_HIGHADDR {0xE000AFFF} \ + CONFIG.PCW_GPIO_MIO_GPIO_ENABLE {1} \ + CONFIG.PCW_GPIO_MIO_GPIO_IO {MIO} \ + CONFIG.PCW_GPIO_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_I2C_RESET_ENABLE {1} \ + CONFIG.PCW_I2C_RESET_POLARITY {Active Low} \ + CONFIG.PCW_IMPORT_BOARD_PRESET {None} \ + CONFIG.PCW_INCLUDE_ACP_TRANS_CHECK {0} \ + CONFIG.PCW_IRQ_F2P_INTR {1} \ + CONFIG.PCW_IRQ_F2P_MODE {DIRECT} \ + CONFIG.PCW_MIO_0_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_0_PULLUP {enabled} \ + CONFIG.PCW_MIO_0_SLEW {slow} \ + CONFIG.PCW_MIO_10_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_10_PULLUP {enabled} \ + CONFIG.PCW_MIO_10_SLEW {slow} \ + CONFIG.PCW_MIO_11_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_11_PULLUP {enabled} \ + CONFIG.PCW_MIO_11_SLEW {slow} \ + CONFIG.PCW_MIO_12_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_12_PULLUP {enabled} \ + CONFIG.PCW_MIO_12_SLEW {slow} \ + CONFIG.PCW_MIO_13_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_13_PULLUP {enabled} \ + CONFIG.PCW_MIO_13_SLEW {slow} \ + CONFIG.PCW_MIO_14_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_14_PULLUP {enabled} \ + CONFIG.PCW_MIO_14_SLEW {slow} \ + CONFIG.PCW_MIO_15_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_15_PULLUP {enabled} \ + CONFIG.PCW_MIO_15_SLEW {slow} \ + CONFIG.PCW_MIO_16_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_16_PULLUP {enabled} \ + CONFIG.PCW_MIO_16_SLEW {slow} \ + CONFIG.PCW_MIO_17_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_17_PULLUP {enabled} \ + CONFIG.PCW_MIO_17_SLEW {slow} \ + CONFIG.PCW_MIO_18_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_18_PULLUP {enabled} \ + CONFIG.PCW_MIO_18_SLEW {slow} \ + CONFIG.PCW_MIO_19_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_19_PULLUP {enabled} \ + CONFIG.PCW_MIO_19_SLEW {slow} \ + CONFIG.PCW_MIO_1_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_1_PULLUP {enabled} \ + CONFIG.PCW_MIO_1_SLEW {slow} \ + CONFIG.PCW_MIO_20_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_20_PULLUP {enabled} \ + CONFIG.PCW_MIO_20_SLEW {slow} \ + CONFIG.PCW_MIO_21_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_21_PULLUP {enabled} \ + CONFIG.PCW_MIO_21_SLEW {slow} \ + CONFIG.PCW_MIO_22_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_22_PULLUP {enabled} \ + CONFIG.PCW_MIO_22_SLEW {slow} \ + CONFIG.PCW_MIO_23_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_23_PULLUP {enabled} \ + CONFIG.PCW_MIO_23_SLEW {slow} \ + CONFIG.PCW_MIO_24_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_24_PULLUP {enabled} \ + CONFIG.PCW_MIO_24_SLEW {slow} \ + CONFIG.PCW_MIO_25_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_25_PULLUP {enabled} \ + CONFIG.PCW_MIO_25_SLEW {slow} \ + CONFIG.PCW_MIO_26_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_26_PULLUP {enabled} \ + CONFIG.PCW_MIO_26_SLEW {slow} \ + CONFIG.PCW_MIO_27_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_27_PULLUP {enabled} \ + CONFIG.PCW_MIO_27_SLEW {slow} \ + CONFIG.PCW_MIO_28_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_28_PULLUP {enabled} \ + CONFIG.PCW_MIO_28_SLEW {slow} \ + CONFIG.PCW_MIO_29_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_29_PULLUP {enabled} \ + CONFIG.PCW_MIO_29_SLEW {slow} \ + CONFIG.PCW_MIO_2_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_2_SLEW {slow} \ + CONFIG.PCW_MIO_30_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_30_PULLUP {enabled} \ + CONFIG.PCW_MIO_30_SLEW {slow} \ + CONFIG.PCW_MIO_31_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_31_PULLUP {enabled} \ + CONFIG.PCW_MIO_31_SLEW {slow} \ + CONFIG.PCW_MIO_32_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_32_PULLUP {enabled} \ + CONFIG.PCW_MIO_32_SLEW {slow} \ + CONFIG.PCW_MIO_33_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_33_PULLUP {enabled} \ + CONFIG.PCW_MIO_33_SLEW {slow} \ + CONFIG.PCW_MIO_34_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_34_PULLUP {enabled} \ + CONFIG.PCW_MIO_34_SLEW {slow} \ + CONFIG.PCW_MIO_35_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_35_PULLUP {enabled} \ + CONFIG.PCW_MIO_35_SLEW {slow} \ + CONFIG.PCW_MIO_36_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_36_PULLUP {enabled} \ + CONFIG.PCW_MIO_36_SLEW {slow} \ + CONFIG.PCW_MIO_37_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_37_PULLUP {enabled} \ + CONFIG.PCW_MIO_37_SLEW {slow} \ + CONFIG.PCW_MIO_38_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_38_PULLUP {enabled} \ + CONFIG.PCW_MIO_38_SLEW {slow} \ + CONFIG.PCW_MIO_39_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_39_PULLUP {enabled} \ + CONFIG.PCW_MIO_39_SLEW {slow} \ + CONFIG.PCW_MIO_3_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_3_SLEW {slow} \ + CONFIG.PCW_MIO_40_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_40_PULLUP {enabled} \ + CONFIG.PCW_MIO_40_SLEW {slow} \ + CONFIG.PCW_MIO_41_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_41_PULLUP {enabled} \ + CONFIG.PCW_MIO_41_SLEW {slow} \ + CONFIG.PCW_MIO_42_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_42_PULLUP {enabled} \ + CONFIG.PCW_MIO_42_SLEW {slow} \ + CONFIG.PCW_MIO_43_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_43_PULLUP {enabled} \ + CONFIG.PCW_MIO_43_SLEW {slow} \ + CONFIG.PCW_MIO_44_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_44_PULLUP {enabled} \ + CONFIG.PCW_MIO_44_SLEW {slow} \ + CONFIG.PCW_MIO_45_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_45_PULLUP {enabled} \ + CONFIG.PCW_MIO_45_SLEW {slow} \ + CONFIG.PCW_MIO_46_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_46_PULLUP {enabled} \ + CONFIG.PCW_MIO_46_SLEW {slow} \ + CONFIG.PCW_MIO_47_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_47_PULLUP {enabled} \ + CONFIG.PCW_MIO_47_SLEW {slow} \ + CONFIG.PCW_MIO_48_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_48_PULLUP {enabled} \ + CONFIG.PCW_MIO_48_SLEW {slow} \ + CONFIG.PCW_MIO_49_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_49_PULLUP {enabled} \ + CONFIG.PCW_MIO_49_SLEW {slow} \ + CONFIG.PCW_MIO_4_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_4_SLEW {slow} \ + CONFIG.PCW_MIO_50_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_50_PULLUP {enabled} \ + CONFIG.PCW_MIO_50_SLEW {slow} \ + CONFIG.PCW_MIO_51_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_51_PULLUP {enabled} \ + CONFIG.PCW_MIO_51_SLEW {slow} \ + CONFIG.PCW_MIO_52_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_52_PULLUP {enabled} \ + CONFIG.PCW_MIO_52_SLEW {slow} \ + CONFIG.PCW_MIO_53_IOTYPE {LVCMOS 1.8V} \ + CONFIG.PCW_MIO_53_PULLUP {enabled} \ + CONFIG.PCW_MIO_53_SLEW {slow} \ + CONFIG.PCW_MIO_5_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_5_SLEW {slow} \ + CONFIG.PCW_MIO_6_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_6_SLEW {slow} \ + CONFIG.PCW_MIO_7_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_7_SLEW {slow} \ + CONFIG.PCW_MIO_8_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_8_SLEW {slow} \ + CONFIG.PCW_MIO_9_IOTYPE {LVCMOS 3.3V} \ + CONFIG.PCW_MIO_9_PULLUP {enabled} \ + CONFIG.PCW_MIO_9_SLEW {slow} \ + CONFIG.PCW_MIO_PRIMITIVE {54} \ + CONFIG.PCW_MIO_TREE_PERIPHERALS {GPIO#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#Quad SPI Flash#GPIO#Quad SPI Flash#ENET Reset#GPIO#GPIO#GPIO#GPIO#UART 0#UART 0#Enet\ +0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#Enet 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#USB 0#SD 0#SD 0#SD 0#SD 0#SD 0#SD 0#USB Reset#SD 0#GPIO#GPIO#GPIO#GPIO#Enet\ +0#Enet 0} \ + CONFIG.PCW_MIO_TREE_SIGNALS {gpio[0]#qspi0_ss_b#qspi0_io[0]#qspi0_io[1]#qspi0_io[2]#qspi0_io[3]/HOLD_B#qspi0_sclk#gpio[7]#qspi_fbclk#reset#gpio[10]#gpio[11]#gpio[12]#gpio[13]#rx#tx#tx_clk#txd[0]#txd[1]#txd[2]#txd[3]#tx_ctl#rx_clk#rxd[0]#rxd[1]#rxd[2]#rxd[3]#rx_ctl#data[4]#dir#stp#nxt#data[0]#data[1]#data[2]#data[3]#clk#data[5]#data[6]#data[7]#clk#cmd#data[0]#data[1]#data[2]#data[3]#reset#cd#gpio[48]#gpio[49]#gpio[50]#gpio[51]#mdc#mdio}\ +\ + CONFIG.PCW_M_AXI_GP0_ENABLE_STATIC_REMAP {0} \ + CONFIG.PCW_M_AXI_GP0_ID_WIDTH {12} \ + CONFIG.PCW_M_AXI_GP0_SUPPORT_NARROW_BURST {0} \ + CONFIG.PCW_M_AXI_GP0_THREAD_ID_WIDTH {12} \ + CONFIG.PCW_NAND_CYCLES_T_AR {1} \ + CONFIG.PCW_NAND_CYCLES_T_CLR {1} \ + CONFIG.PCW_NAND_CYCLES_T_RC {11} \ + CONFIG.PCW_NAND_CYCLES_T_REA {1} \ + CONFIG.PCW_NAND_CYCLES_T_RR {1} \ + CONFIG.PCW_NAND_CYCLES_T_WC {11} \ + CONFIG.PCW_NAND_CYCLES_T_WP {1} \ + CONFIG.PCW_NOR_CS0_T_CEOE {1} \ + CONFIG.PCW_NOR_CS0_T_PC {1} \ + CONFIG.PCW_NOR_CS0_T_RC {11} \ + CONFIG.PCW_NOR_CS0_T_TR {1} \ + CONFIG.PCW_NOR_CS0_T_WC {11} \ + CONFIG.PCW_NOR_CS0_T_WP {1} \ + CONFIG.PCW_NOR_CS0_WE_TIME {0} \ + CONFIG.PCW_NOR_CS1_T_CEOE {1} \ + CONFIG.PCW_NOR_CS1_T_PC {1} \ + CONFIG.PCW_NOR_CS1_T_RC {11} \ + CONFIG.PCW_NOR_CS1_T_TR {1} \ + CONFIG.PCW_NOR_CS1_T_WC {11} \ + CONFIG.PCW_NOR_CS1_T_WP {1} \ + CONFIG.PCW_NOR_CS1_WE_TIME {0} \ + CONFIG.PCW_NOR_SRAM_CS0_T_CEOE {1} \ + CONFIG.PCW_NOR_SRAM_CS0_T_PC {1} \ + CONFIG.PCW_NOR_SRAM_CS0_T_RC {11} \ + CONFIG.PCW_NOR_SRAM_CS0_T_TR {1} \ + CONFIG.PCW_NOR_SRAM_CS0_T_WC {11} \ + CONFIG.PCW_NOR_SRAM_CS0_T_WP {1} \ + CONFIG.PCW_NOR_SRAM_CS0_WE_TIME {0} \ + CONFIG.PCW_NOR_SRAM_CS1_T_CEOE {1} \ + CONFIG.PCW_NOR_SRAM_CS1_T_PC {1} \ + CONFIG.PCW_NOR_SRAM_CS1_T_RC {11} \ + CONFIG.PCW_NOR_SRAM_CS1_T_TR {1} \ + CONFIG.PCW_NOR_SRAM_CS1_T_WC {11} \ + CONFIG.PCW_NOR_SRAM_CS1_T_WP {1} \ + CONFIG.PCW_NOR_SRAM_CS1_WE_TIME {0} \ + CONFIG.PCW_OVERRIDE_BASIC_CLOCK {0} \ + CONFIG.PCW_P2F_ENET0_INTR {0} \ + CONFIG.PCW_P2F_GPIO_INTR {0} \ + CONFIG.PCW_P2F_QSPI_INTR {0} \ + CONFIG.PCW_P2F_SDIO0_INTR {0} \ + CONFIG.PCW_P2F_UART0_INTR {0} \ + CONFIG.PCW_P2F_USB0_INTR {0} \ + CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY0 {0.223} \ + CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY1 {0.212} \ + CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY2 {0.085} \ + CONFIG.PCW_PACKAGE_DDR_BOARD_DELAY3 {0.092} \ + CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_0 {0.040} \ + CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_1 {0.058} \ + CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_2 {-0.009} \ + CONFIG.PCW_PACKAGE_DDR_DQS_TO_CLK_DELAY_3 {-0.033} \ + CONFIG.PCW_PACKAGE_NAME {clg400} \ + CONFIG.PCW_PCAP_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_PCAP_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_PERIPHERAL_BOARD_PRESET {None} \ + CONFIG.PCW_PLL_BYPASSMODE_ENABLE {0} \ + CONFIG.PCW_PRESET_BANK0_VOLTAGE {LVCMOS 3.3V} \ + CONFIG.PCW_PRESET_BANK1_VOLTAGE {LVCMOS 1.8V} \ + CONFIG.PCW_PS7_SI_REV {PRODUCTION} \ + CONFIG.PCW_QSPI_GRP_FBCLK_ENABLE {1} \ + CONFIG.PCW_QSPI_GRP_FBCLK_IO {MIO 8} \ + CONFIG.PCW_QSPI_GRP_IO1_ENABLE {0} \ + CONFIG.PCW_QSPI_GRP_SINGLE_SS_ENABLE {1} \ + CONFIG.PCW_QSPI_GRP_SINGLE_SS_IO {MIO 1 .. 6} \ + CONFIG.PCW_QSPI_GRP_SS1_ENABLE {0} \ + CONFIG.PCW_QSPI_INTERNAL_HIGHADDRESS {0xFCFFFFFF} \ + CONFIG.PCW_QSPI_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_QSPI_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_QSPI_PERIPHERAL_FREQMHZ {200} \ + CONFIG.PCW_QSPI_QSPI_IO {MIO 1 .. 6} \ + CONFIG.PCW_SD0_GRP_CD_ENABLE {1} \ + CONFIG.PCW_SD0_GRP_CD_IO {MIO 47} \ + CONFIG.PCW_SD0_GRP_POW_ENABLE {0} \ + CONFIG.PCW_SD0_GRP_WP_ENABLE {0} \ + CONFIG.PCW_SD0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_SD0_SD0_IO {MIO 40 .. 45} \ + CONFIG.PCW_SD1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_SDIO0_BASEADDR {0xE0100000} \ + CONFIG.PCW_SDIO0_HIGHADDR {0xE0100FFF} \ + CONFIG.PCW_SDIO_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_SDIO_PERIPHERAL_FREQMHZ {50} \ + CONFIG.PCW_SDIO_PERIPHERAL_VALID {1} \ + CONFIG.PCW_SINGLE_QSPI_DATA_MODE {x4} \ + CONFIG.PCW_SMC_CYCLE_T0 {NA} \ + CONFIG.PCW_SMC_CYCLE_T1 {NA} \ + CONFIG.PCW_SMC_CYCLE_T2 {NA} \ + CONFIG.PCW_SMC_CYCLE_T3 {NA} \ + CONFIG.PCW_SMC_CYCLE_T4 {NA} \ + CONFIG.PCW_SMC_CYCLE_T5 {NA} \ + CONFIG.PCW_SMC_CYCLE_T6 {NA} \ + CONFIG.PCW_SMC_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_SMC_PERIPHERAL_VALID {0} \ + CONFIG.PCW_SPI0_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_SPI1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_SPI_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_SPI_PERIPHERAL_VALID {0} \ + CONFIG.PCW_TPIU_PERIPHERAL_CLKSRC {External} \ + CONFIG.PCW_TTC0_CLK0_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC0_CLK0_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TTC0_CLK1_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC0_CLK1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TTC0_CLK2_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC0_CLK2_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TTC1_CLK0_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC1_CLK0_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TTC1_CLK1_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC1_CLK1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TTC1_CLK2_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_TTC1_CLK2_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_UART0_BASEADDR {0xE0000000} \ + CONFIG.PCW_UART0_BAUD_RATE {115200} \ + CONFIG.PCW_UART0_GRP_FULL_ENABLE {0} \ + CONFIG.PCW_UART0_HIGHADDR {0xE0000FFF} \ + CONFIG.PCW_UART0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_UART0_UART0_IO {MIO 14 .. 15} \ + CONFIG.PCW_UART1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_UART_PERIPHERAL_CLKSRC {IO PLL} \ + CONFIG.PCW_UART_PERIPHERAL_FREQMHZ {100} \ + CONFIG.PCW_UART_PERIPHERAL_VALID {1} \ + CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {525.000000} \ + CONFIG.PCW_UIPARAM_DDR_ADV_ENABLE {0} \ + CONFIG.PCW_UIPARAM_DDR_AL {0} \ + CONFIG.PCW_UIPARAM_DDR_BL {8} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY0 {0.223} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY1 {0.212} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY2 {0.085} \ + CONFIG.PCW_UIPARAM_DDR_BOARD_DELAY3 {0.092} \ + CONFIG.PCW_UIPARAM_DDR_BUS_WIDTH {16 Bit} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_0_LENGTH_MM {25.8} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PACKAGE_LENGTH {80.4535} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_0_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_1_LENGTH_MM {25.8} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PACKAGE_LENGTH {80.4535} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_1_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_2_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PACKAGE_LENGTH {80.4535} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_2_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_3_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PACKAGE_LENGTH {80.4535} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_3_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_CLOCK_STOP_EN {0} \ + CONFIG.PCW_UIPARAM_DDR_DQS_0_LENGTH_MM {15.6} \ + CONFIG.PCW_UIPARAM_DDR_DQS_0_PACKAGE_LENGTH {105.056} \ + CONFIG.PCW_UIPARAM_DDR_DQS_0_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQS_1_LENGTH_MM {18.8} \ + CONFIG.PCW_UIPARAM_DDR_DQS_1_PACKAGE_LENGTH {66.904} \ + CONFIG.PCW_UIPARAM_DDR_DQS_1_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQS_2_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_DQS_2_PACKAGE_LENGTH {89.1715} \ + CONFIG.PCW_UIPARAM_DDR_DQS_2_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQS_3_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_DQS_3_PACKAGE_LENGTH {113.63} \ + CONFIG.PCW_UIPARAM_DDR_DQS_3_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_0 {0.040} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_1 {0.058} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_2 {-0.009} \ + CONFIG.PCW_UIPARAM_DDR_DQS_TO_CLK_DELAY_3 {-0.033} \ + CONFIG.PCW_UIPARAM_DDR_DQ_0_LENGTH_MM {16.5} \ + CONFIG.PCW_UIPARAM_DDR_DQ_0_PACKAGE_LENGTH {98.503} \ + CONFIG.PCW_UIPARAM_DDR_DQ_0_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQ_1_LENGTH_MM {18} \ + CONFIG.PCW_UIPARAM_DDR_DQ_1_PACKAGE_LENGTH {68.5855} \ + CONFIG.PCW_UIPARAM_DDR_DQ_1_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQ_2_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_DQ_2_PACKAGE_LENGTH {90.295} \ + CONFIG.PCW_UIPARAM_DDR_DQ_2_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_DQ_3_LENGTH_MM {0} \ + CONFIG.PCW_UIPARAM_DDR_DQ_3_PACKAGE_LENGTH {103.977} \ + CONFIG.PCW_UIPARAM_DDR_DQ_3_PROPOGATION_DELAY {160} \ + CONFIG.PCW_UIPARAM_DDR_ECC {Disabled} \ + CONFIG.PCW_UIPARAM_DDR_ENABLE {1} \ + CONFIG.PCW_UIPARAM_DDR_FREQ_MHZ {525} \ + CONFIG.PCW_UIPARAM_DDR_HIGH_TEMP {Normal (0-85)} \ + CONFIG.PCW_UIPARAM_DDR_MEMORY_TYPE {DDR 3} \ + CONFIG.PCW_UIPARAM_DDR_PARTNO {MT41J256M16 RE-125} \ + CONFIG.PCW_UIPARAM_DDR_TRAIN_DATA_EYE {1} \ + CONFIG.PCW_UIPARAM_DDR_TRAIN_READ_GATE {1} \ + CONFIG.PCW_UIPARAM_DDR_TRAIN_WRITE_LEVEL {1} \ + CONFIG.PCW_UIPARAM_DDR_USE_INTERNAL_VREF {0} \ + CONFIG.PCW_UIPARAM_GENERATE_SUMMARY {NA} \ + CONFIG.PCW_USB0_BASEADDR {0xE0102000} \ + CONFIG.PCW_USB0_HIGHADDR {0xE0102fff} \ + CONFIG.PCW_USB0_PERIPHERAL_ENABLE {1} \ + CONFIG.PCW_USB0_RESET_ENABLE {1} \ + CONFIG.PCW_USB0_RESET_IO {MIO 46} \ + CONFIG.PCW_USB0_USB0_IO {MIO 28 .. 39} \ + CONFIG.PCW_USB1_PERIPHERAL_ENABLE {0} \ + CONFIG.PCW_USB_RESET_ENABLE {1} \ + CONFIG.PCW_USB_RESET_POLARITY {Active Low} \ + CONFIG.PCW_USB_RESET_SELECT {Share reset pin} \ + CONFIG.PCW_USE_AXI_FABRIC_IDLE {0} \ + CONFIG.PCW_USE_AXI_NONSECURE {0} \ + CONFIG.PCW_USE_CORESIGHT {0} \ + CONFIG.PCW_USE_CROSS_TRIGGER {0} \ + CONFIG.PCW_USE_CR_FABRIC {1} \ + CONFIG.PCW_USE_DDR_BYPASS {0} \ + CONFIG.PCW_USE_DEBUG {0} \ + CONFIG.PCW_USE_DMA0 {0} \ + CONFIG.PCW_USE_DMA1 {0} \ + CONFIG.PCW_USE_DMA2 {0} \ + CONFIG.PCW_USE_DMA3 {0} \ + CONFIG.PCW_USE_EXPANDED_IOP {0} \ + CONFIG.PCW_USE_FABRIC_INTERRUPT {1} \ + CONFIG.PCW_USE_HIGH_OCM {0} \ + CONFIG.PCW_USE_M_AXI_GP0 {1} \ + CONFIG.PCW_USE_M_AXI_GP1 {0} \ + CONFIG.PCW_USE_PROC_EVENT_BUS {0} \ + CONFIG.PCW_USE_PS_SLCR_REGISTERS {0} \ + CONFIG.PCW_USE_S_AXI_ACP {0} \ + CONFIG.PCW_USE_S_AXI_GP0 {0} \ + CONFIG.PCW_USE_S_AXI_GP1 {0} \ + CONFIG.PCW_USE_S_AXI_HP0 {0} \ + CONFIG.PCW_USE_S_AXI_HP1 {0} \ + CONFIG.PCW_USE_S_AXI_HP2 {0} \ + CONFIG.PCW_USE_S_AXI_HP3 {0} \ + CONFIG.PCW_USE_TRACE {0} \ + CONFIG.PCW_VALUE_SILVERSION {3} \ + CONFIG.PCW_WDT_PERIPHERAL_CLKSRC {CPU_1X} \ + CONFIG.PCW_WDT_PERIPHERAL_DIVISOR0 {1} \ + ] $processing_system7_0 + + + # Create interface connections + connect_bd_intf_net -intf_net PmodGPIO_0_Pmod_out [get_bd_intf_ports Pmod_out_0] [get_bd_intf_pins PmodGPIO_0/Pmod_out] + connect_bd_intf_net -intf_net PmodGPIO_1_Pmod_out [get_bd_intf_ports Pmod_out_1] [get_bd_intf_pins PmodGPIO_1/Pmod_out] + connect_bd_intf_net -intf_net axi_gpio_0_GPIO [get_bd_intf_ports shield_dp0_dp13] [get_bd_intf_pins axi_gpio_0/GPIO] + connect_bd_intf_net -intf_net axi_gpio_0_GPIO2 [get_bd_intf_ports shield_dp26_dp41] [get_bd_intf_pins axi_gpio_0/GPIO2] + connect_bd_intf_net -intf_net axi_interconnect_0_M00_AXI [get_bd_intf_pins axi_gpio_0/S_AXI] [get_bd_intf_pins axi_interconnect_0/M00_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M01_AXI [get_bd_intf_pins axi_interconnect_0/M01_AXI] [get_bd_intf_pins axi_timer_0/S_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M02_AXI [get_bd_intf_pins PmodGPIO_0/AXI_LITE_GPIO] [get_bd_intf_pins axi_interconnect_0/M02_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M03_AXI [get_bd_intf_pins PmodGPIO_1/AXI_LITE_GPIO] [get_bd_intf_pins axi_interconnect_0/M03_AXI] + connect_bd_intf_net -intf_net axi_interconnect_0_M04_AXI [get_bd_intf_pins axi_interconnect_0/M04_AXI] [get_bd_intf_pins axi_perf_mon_0/S_AXI] + connect_bd_intf_net -intf_net processing_system7_0_DDR [get_bd_intf_ports DDR] [get_bd_intf_pins processing_system7_0/DDR] + connect_bd_intf_net -intf_net processing_system7_0_FIXED_IO [get_bd_intf_ports FIXED_IO] [get_bd_intf_pins processing_system7_0/FIXED_IO] + connect_bd_intf_net -intf_net processing_system7_0_GPIO_0 [get_bd_intf_ports GPIO_0_0] [get_bd_intf_pins processing_system7_0/GPIO_0] + connect_bd_intf_net -intf_net processing_system7_0_M_AXI_GP0 [get_bd_intf_pins axi_interconnect_0/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] + + # Create port connections + connect_bd_net -net ACLK_1 [get_bd_pins PmodGPIO_0/s_axi_aclk] [get_bd_pins PmodGPIO_1/s_axi_aclk] [get_bd_pins axi_gpio_0/s_axi_aclk] [get_bd_pins axi_interconnect_0/ACLK] [get_bd_pins axi_interconnect_0/M00_ACLK] [get_bd_pins axi_interconnect_0/M01_ACLK] [get_bd_pins axi_interconnect_0/M02_ACLK] [get_bd_pins axi_interconnect_0/M03_ACLK] [get_bd_pins axi_interconnect_0/M04_ACLK] [get_bd_pins axi_interconnect_0/S00_ACLK] [get_bd_pins axi_perf_mon_0/core_aclk] [get_bd_pins axi_perf_mon_0/s_axi_aclk] [get_bd_pins axi_perf_mon_0/slot_0_axi_aclk] [get_bd_pins axi_timer_0/s_axi_aclk] [get_bd_pins proc_sys_reset_0/slowest_sync_clk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] + connect_bd_net -net axi_timer_0_interrupt [get_bd_pins axi_timer_0/interrupt] [get_bd_pins processing_system7_0/IRQ_F2P] + connect_bd_net -net proc_sys_reset_0_interconnect_aresetn [get_bd_pins axi_interconnect_0/ARESETN] [get_bd_pins proc_sys_reset_0/interconnect_aresetn] + connect_bd_net -net proc_sys_reset_0_peripheral_aresetn [get_bd_pins PmodGPIO_0/s_axi_aresetn] [get_bd_pins PmodGPIO_1/s_axi_aresetn] [get_bd_pins axi_gpio_0/s_axi_aresetn] [get_bd_pins axi_interconnect_0/M00_ARESETN] [get_bd_pins axi_interconnect_0/M01_ARESETN] [get_bd_pins axi_interconnect_0/M02_ARESETN] [get_bd_pins axi_interconnect_0/M03_ARESETN] [get_bd_pins axi_interconnect_0/M04_ARESETN] [get_bd_pins axi_interconnect_0/S00_ARESETN] [get_bd_pins axi_perf_mon_0/core_aresetn] [get_bd_pins axi_perf_mon_0/s_axi_aresetn] [get_bd_pins axi_perf_mon_0/slot_0_axi_aresetn] [get_bd_pins axi_timer_0/s_axi_aresetn] [get_bd_pins proc_sys_reset_0/peripheral_aresetn] + connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins proc_sys_reset_0/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + + # Create address segments + assign_bd_address -offset 0x40000000 -range 0x00001000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs PmodGPIO_0/AXI_LITE_GPIO/Reg0] -force + assign_bd_address -offset 0x40001000 -range 0x00001000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs PmodGPIO_1/AXI_LITE_GPIO/Reg0] -force + assign_bd_address -offset 0x41200000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_gpio_0/S_AXI/Reg] -force + assign_bd_address -offset 0x43C00000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_perf_mon_0/S_AXI/Reg] -force + assign_bd_address -offset 0x42800000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs axi_timer_0/S_AXI/Reg] -force + + + # Restore current instance + current_bd_instance $oldCurInst + + # Create PFM attributes + set_property PFM_NAME {xilinx:arty-z7-20:ros2-minimal:1.0} [get_files [current_bd_design].bd] + set_property PFM.AXI_PORT {M_AXI_GP1 {memport "M_AXI_GP" sptag "" memory "" is_range "false"} S_AXI_ACP {memport "S_AXI_ACP" sptag "" memory "" is_range "false"} S_AXI_HP0 {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP1 {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP2 {memport "S_AXI_HP" sptag "" memory "" is_range "false"} S_AXI_HP3 {memport "S_AXI_HP" sptag "" memory "" is_range "false"}} [get_bd_cells /processing_system7_0] + set_property PFM.CLOCK {FCLK_CLK0 {id "0" is_default "true" proc_sys_reset "/proc_sys_reset_0" status "fixed" freq_hz "100000000"}} [get_bd_cells /processing_system7_0] + + + validate_bd_design + save_bd_design + close_bd_design $design_name +} +# End of cr_bd_arty_z7_20() +cr_bd_arty_z7_20 "" +set_property GENERATE_SYNTH_CHECKPOINT "0" [get_files arty_z7_20.bd ] +set_property PFM_NAME "xilinx:arty-z7-20:ros2-minimal:1.0" [get_files arty_z7_20.bd ] +set_property REGISTERED_WITH_MANAGER "1" [get_files arty_z7_20.bd ] + +#call make_wrapper to create wrapper files +if { [get_property IS_LOCKED [ get_files -norecurse arty_z7_20.bd] ] == 1 } { + import_files -fileset sources_1 [file normalize "${origin_dir}/../run/ros2-minimal/ros2-minimal.gen/sources_1/bd/arty_z7_20/hdl/arty_z7_20_wrapper.v" ] +} else { + set wrapper_path [make_wrapper -fileset sources_1 -files [ get_files -norecurse arty_z7_20.bd] -top] + add_files -norecurse -fileset sources_1 $wrapper_path +} + + +set idrFlowPropertiesConstraints "" +catch { + set idrFlowPropertiesConstraints [get_param runs.disableIDRFlowPropertyConstraints] + set_param runs.disableIDRFlowPropertyConstraints 1 +} + +# Create 'synth_1' run (if not found) +if {[string equal [get_runs -quiet synth_1] ""]} { + create_run -name synth_1 -part xc7z020clg400-1 -flow {Vivado Synthesis 2022} -strategy "Vivado Synthesis Defaults" -report_strategy {No Reports} -constrset constrs_1 +} else { + set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] + set_property flow "Vivado Synthesis 2022" [get_runs synth_1] +} +set obj [get_runs synth_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Synthesis Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'synth_1_synth_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] "" ] } { + create_report_config -report_name synth_1_synth_report_utilization_0 -report_type report_utilization:1.0 -steps synth_design -runs synth_1 +} +set obj [get_report_configs -of_objects [get_runs synth_1] synth_1_synth_report_utilization_0] +if { $obj != "" } { + +} +set obj [get_runs synth_1] +set_property -name "needs_refresh" -value "1" -objects $obj +set_property -name "auto_incremental_checkpoint" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Synthesis Defaults" -objects $obj + +# set the current synth run +current_run -synthesis [get_runs synth_1] + +# Create 'impl_1' run (if not found) +if {[string equal [get_runs -quiet impl_1] ""]} { + create_run -name impl_1 -part xc7z020clg400-1 -flow {Vivado Implementation 2022} -strategy "Vivado Implementation Defaults" -report_strategy {No Reports} -constrset constrs_1 -parent_run synth_1 +} else { + set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] + set_property flow "Vivado Implementation 2022" [get_runs impl_1] +} +set obj [get_runs impl_1] +set_property set_report_strategy_name 1 $obj +set_property report_strategy {Vivado Implementation Default Reports} $obj +set_property set_report_strategy_name 0 $obj +# Create 'impl_1_init_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_init_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps init_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_init_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_opt_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] "" ] } { + create_report_config -report_name impl_1_opt_report_drc_0 -report_type report_drc:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_place_report_io_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] "" ] } { + create_report_config -report_name impl_1_place_report_io_0 -report_type report_io:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_io_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] "" ] } { + create_report_config -report_name impl_1_place_report_utilization_0 -report_type report_utilization:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_place_report_control_sets_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] "" ] } { + create_report_config -report_name impl_1_place_report_control_sets_0 -report_type report_control_sets:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_control_sets_0] +if { $obj != "" } { +set_property -name "options.verbose" -value "1" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_incremental_reuse_1' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] "" ] } { + create_report_config -report_name impl_1_place_report_incremental_reuse_1 -report_type report_incremental_reuse:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_incremental_reuse_1] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj + +} +# Create 'impl_1_place_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_place_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps place_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_place_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_post_place_power_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_place_power_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_place_power_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_place_power_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "is_enabled" -value "0" -objects $obj +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_route_report_drc_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] "" ] } { + create_report_config -report_name impl_1_route_report_drc_0 -report_type report_drc:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_drc_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_methodology_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] "" ] } { + create_report_config -report_name impl_1_route_report_methodology_0 -report_type report_methodology:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_methodology_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_power_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] "" ] } { + create_report_config -report_name impl_1_route_report_power_0 -report_type report_power:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_power_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_route_status_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] "" ] } { + create_report_config -report_name impl_1_route_report_route_status_0 -report_type report_route_status:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_route_status_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_route_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj + +} +# Create 'impl_1_route_report_incremental_reuse_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] "" ] } { + create_report_config -report_name impl_1_route_report_incremental_reuse_0 -report_type report_incremental_reuse:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_incremental_reuse_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_clock_utilization_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] "" ] } { + create_report_config -report_name impl_1_route_report_clock_utilization_0 -report_type report_clock_utilization:1.0 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_clock_utilization_0] +if { $obj != "" } { + +} +# Create 'impl_1_route_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_route_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps route_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_route_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_timing_summary_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_timing_summary_0 -report_type report_timing_summary:1.0 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_timing_summary_0] +if { $obj != "" } { +set_property -name "options.max_paths" -value "10" -objects $obj +set_property -name "options.report_unconstrained" -value "1" -objects $obj +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +# Create 'impl_1_post_route_phys_opt_report_bus_skew_0' report (if not found) +if { [ string equal [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] "" ] } { + create_report_config -report_name impl_1_post_route_phys_opt_report_bus_skew_0 -report_type report_bus_skew:1.1 -steps post_route_phys_opt_design -runs impl_1 +} +set obj [get_report_configs -of_objects [get_runs impl_1] impl_1_post_route_phys_opt_report_bus_skew_0] +if { $obj != "" } { +set_property -name "options.warn_on_violation" -value "1" -objects $obj + +} +set obj [get_runs impl_1] +set_property -name "needs_refresh" -value "1" -objects $obj +set_property -name "strategy" -value "Vivado Implementation Defaults" -objects $obj +set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj +set_property -name "steps.write_bitstream.args.verbose" -value "0" -objects $obj + +# set the current impl run +current_run -implementation [get_runs impl_1] +catch { + if { $idrFlowPropertiesConstraints != {} } { + set_param runs.disableIDRFlowPropertyConstraints $idrFlowPropertiesConstraints + } +} + +puts "INFO: Project created:${_xil_proj_name_}" +# Create 'drc_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "drc_1" ] ] ""]} { +create_dashboard_gadget -name {drc_1} -type drc +} +set obj [get_dashboard_gadgets [ list "drc_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_drc_0" -objects $obj + +# Create 'methodology_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "methodology_1" ] ] ""]} { +create_dashboard_gadget -name {methodology_1} -type methodology +} +set obj [get_dashboard_gadgets [ list "methodology_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_methodology_0" -objects $obj + +# Create 'power_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "power_1" ] ] ""]} { +create_dashboard_gadget -name {power_1} -type power +} +set obj [get_dashboard_gadgets [ list "power_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_power_0" -objects $obj + +# Create 'timing_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "timing_1" ] ] ""]} { +create_dashboard_gadget -name {timing_1} -type timing +} +set obj [get_dashboard_gadgets [ list "timing_1" ] ] +set_property -name "reports" -value "impl_1#impl_1_route_report_timing_summary_0" -objects $obj + +# Create 'utilization_1' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_1" ] ] ""]} { +create_dashboard_gadget -name {utilization_1} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_1" ] ] +set_property -name "reports" -value "synth_1#synth_1_synth_report_utilization_0" -objects $obj +set_property -name "run.step" -value "synth_design" -objects $obj +set_property -name "run.type" -value "synthesis" -objects $obj + +# Create 'utilization_2' gadget (if not found) +if {[string equal [get_dashboard_gadgets [ list "utilization_2" ] ] ""]} { +create_dashboard_gadget -name {utilization_2} -type utilization +} +set obj [get_dashboard_gadgets [ list "utilization_2" ] ] +set_property -name "reports" -value "impl_1#impl_1_place_report_utilization_0" -objects $obj + +move_dashboard_gadget -name {utilization_1} -row 0 -col 0 +move_dashboard_gadget -name {power_1} -row 1 -col 0 +move_dashboard_gadget -name {drc_1} -row 2 -col 0 +move_dashboard_gadget -name {timing_1} -row 0 -col 1 +move_dashboard_gadget -name {utilization_2} -row 1 -col 1 +move_dashboard_gadget -name {methodology_1} -row 2 -col 1 diff --git a/project/vivado/src/constrs/arty-z7-20.xdc b/project/vivado/src/constrs/arty-z7-20.xdc new file mode 100644 index 0000000..f8c40d1 --- /dev/null +++ b/project/vivado/src/constrs/arty-z7-20.xdc @@ -0,0 +1,52 @@ +# GPIO number, board parts and their pin information +# -------------------------------------------------- +# GPIO 54: BTN0 = D19 +# GPIO 55: BTN1 = D20 +# GPIO 56: BTN2 = L20 +# GPIO 57: BTN3 = L19 +# GPIO 58: SW0 = M20 +# GPIO 59: SW1 = M19 +# GPIO 60: LED0 = R14 +# GPIO 61: LED1 = P14 +# GPIO 62: LED2 = N16 +# GPIO 63: LED3 = M14 +# GPIO 64: LED4_R = N15 +# GPIO 65: LED4_G = G17 +# GPIO 66: LED4_B = L15 +# GPIO 67: LED5_R = M15 +# GPIO 68: LED5_G = L14 +# GPIO 69: LED5_B = G14 + +set_property PACKAGE_PIN D19 [get_ports {GPIO_0_0_tri_io[0]}] +set_property PACKAGE_PIN D20 [get_ports {GPIO_0_0_tri_io[1]}] +set_property PACKAGE_PIN L20 [get_ports {GPIO_0_0_tri_io[2]}] +set_property PACKAGE_PIN L19 [get_ports {GPIO_0_0_tri_io[3]}] +set_property PACKAGE_PIN M20 [get_ports {GPIO_0_0_tri_io[4]}] +set_property PACKAGE_PIN M19 [get_ports {GPIO_0_0_tri_io[5]}] +set_property PACKAGE_PIN R14 [get_ports {GPIO_0_0_tri_io[6]}] +set_property PACKAGE_PIN P14 [get_ports {GPIO_0_0_tri_io[7]}] +set_property PACKAGE_PIN N16 [get_ports {GPIO_0_0_tri_io[8]}] +set_property PACKAGE_PIN M14 [get_ports {GPIO_0_0_tri_io[9]}] +set_property PACKAGE_PIN N15 [get_ports {GPIO_0_0_tri_io[10]}] +set_property PACKAGE_PIN G17 [get_ports {GPIO_0_0_tri_io[11]}] +set_property PACKAGE_PIN L15 [get_ports {GPIO_0_0_tri_io[12]}] +set_property PACKAGE_PIN M15 [get_ports {GPIO_0_0_tri_io[13]}] +set_property PACKAGE_PIN L14 [get_ports {GPIO_0_0_tri_io[14]}] +set_property PACKAGE_PIN G14 [get_ports {GPIO_0_0_tri_io[15]}] + +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[0]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[1]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[2]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[3]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[4]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[5]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[6]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[7]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[8]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[9]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[10]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[11]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[12]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[13]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[14]}] +set_property IOSTANDARD LVCMOS33 [get_ports {GPIO_0_0_tri_io[15]}] diff --git a/project/yocto/rpizero-w/build/conf/bblayers.conf b/project/yocto/rpizero-w/build/conf/bblayers.conf new file mode 100644 index 0000000..c3f3cd8 --- /dev/null +++ b/project/yocto/rpizero-w/build/conf/bblayers.conf @@ -0,0 +1,26 @@ +# POKY_BBLAYERS_CONF_VERSION is increased each time build/conf/bblayers.conf +# changes incompatibly +POKY_BBLAYERS_CONF_VERSION = "2" + +ROS_OE_RELEASE_SERIES = "honister" +ROS_DISTRO = "humble" + +YOCTOROOT = "${@os.path.abspath(os.path.join("${TOPDIR}", os.pardir))}" + +BBPATH = "${TOPDIR}" +BBFILES ?= "" + +BBLAYERS ?= " \ + ${YOCTOROOT}/layers/poky/meta \ + ${YOCTOROOT}/layers/poky/meta-poky \ + ${YOCTOROOT}/layers/poky/meta-yocto-bsp \ + ${YOCTOROOT}/layers/meta-openembedded/meta-oe \ + ${YOCTOROOT}/layers/meta-openembedded/meta-multimedia \ + ${YOCTOROOT}/layers/meta-openembedded/meta-networking \ + ${YOCTOROOT}/layers/meta-openembedded/meta-python \ + ${YOCTOROOT}/layers/meta-raspberrypi \ + ${YOCTOROOT}/layers/meta-ros/meta-ros-common \ + ${YOCTOROOT}/layers/meta-ros/meta-ros2 \ + ${YOCTOROOT}/layers/meta-ros/meta-ros2-humble \ + ${YOCTOROOT}/layers/meta-user \ + " diff --git a/project/yocto/rpizero-w/build/conf/local.conf b/project/yocto/rpizero-w/build/conf/local.conf new file mode 100644 index 0000000..02e8382 --- /dev/null +++ b/project/yocto/rpizero-w/build/conf/local.conf @@ -0,0 +1,287 @@ +# +# This file is your local configuration file and is where all local user settings +# are placed. The comments in this file give some guide to the options a new user +# to the system might want to change but pretty much any configuration option can +# be set in this file. More adventurous users can look at local.conf.extended +# which contains other examples of configuration which can be placed in this file +# but new users likely won't need any of them initially. +# +# Lines starting with the '#' character are commented out and in some cases the +# default values are provided as comments to show people example syntax. Enabling +# the option is a question of removing the # character and making any change to the +# variable as required. + +# +# Machine Selection +# +# You need to select a specific machine to target the build with. There are a selection +# of emulated machines available which can boot and run in the QEMU emulator: +# +#MACHINE ?= "qemuarm" +#MACHINE ?= "qemuarm64" +#MACHINE ?= "qemumips" +#MACHINE ?= "qemumips64" +#MACHINE ?= "qemuppc" +#MACHINE ?= "qemux86" +#MACHINE ?= "qemux86-64" +# +# There are also the following hardware board target machines included for +# demonstration purposes: +# +#MACHINE ?= "beaglebone-yocto" +#MACHINE ?= "genericx86" +#MACHINE ?= "genericx86-64" +#MACHINE ?= "edgerouter" +# +# This sets the default machine to be qemux86-64 if no other machine is selected: +MACHINE ??= "raspberrypi0-wifi" + +# +# Where to place downloads +# +# During a first build the system will download many different source code tarballs +# from various upstream projects. This can take a while, particularly if your network +# connection is slow. These are all stored in DL_DIR. When wiping and rebuilding you +# can preserve this directory to speed up this part of subsequent builds. This directory +# is safe to share between multiple builds on the same machine too. +# +# The default is a downloads directory under TOPDIR which is the build directory. +# +#DL_DIR ?= "${TOPDIR}/downloads" + +# +# Where to place shared-state files +# +# BitBake has the capability to accelerate builds based on previously built output. +# This is done using "shared state" files which can be thought of as cache objects +# and this option determines where those files are placed. +# +# You can wipe out TMPDIR leaving this directory intact and the build would regenerate +# from these files if no changes were made to the configuration. If changes were made +# to the configuration, only shared state files where the state was still valid would +# be used (done using checksums). +# +# The default is a sstate-cache directory under TOPDIR. +# +#SSTATE_DIR ?= "${TOPDIR}/sstate-cache" + +# +# Where to place the build output +# +# This option specifies where the bulk of the building work should be done and +# where BitBake should place its temporary files and output. Keep in mind that +# this includes the extraction and compilation of many applications and the toolchain +# which can use Gigabytes of hard disk space. +# +# The default is a tmp directory under TOPDIR. +# +#TMPDIR = "${TOPDIR}/tmp" + +# +# Default policy config +# +# The distribution setting controls which policy settings are used as defaults. +# The default value is fine for general Yocto project use, at least initially. +# Ultimately when creating custom policy, people will likely end up subclassing +# these defaults. +# +DISTRO ?= "poky" +# As an example of a subclass there is a "bleeding" edge policy configuration +# where many versions are set to the absolute latest code from the upstream +# source control systems. This is just mentioned here as an example, its not +# useful to most new users. +# DISTRO ?= "poky-bleeding" + +# +# Package Management configuration +# +# This variable lists which packaging formats to enable. Multiple package backends +# can be enabled at once and the first item listed in the variable will be used +# to generate the root filesystems. +# Options are: +# - 'package_deb' for debian style deb files +# - 'package_ipk' for ipk files are used by opkg (a debian style embedded package manager) +# - 'package_rpm' for rpm style packages +# E.g.: PACKAGE_CLASSES ?= "package_rpm package_deb package_ipk" +# We default to rpm: +PACKAGE_CLASSES ?= "package_rpm" + +# +# SDK target architecture +# +# This variable specifies the architecture to build SDK items for and means +# you can build the SDK packages for architectures other than the machine you are +# running the build on (i.e. building i686 packages on an x86_64 host). +# Supported values are i686, x86_64, aarch64 +#SDKMACHINE ?= "i686" + +# +# Extra image configuration defaults +# +# The EXTRA_IMAGE_FEATURES variable allows extra packages to be added to the generated +# images. Some of these options are added to certain image types automatically. The +# variable can contain the following options: +# "dbg-pkgs" - add -dbg packages for all installed packages +# (adds symbol information for debugging/profiling) +# "src-pkgs" - add -src packages for all installed packages +# (adds source code for debugging) +# "dev-pkgs" - add -dev packages for all installed packages +# (useful if you want to develop against libs in the image) +# "ptest-pkgs" - add -ptest packages for all ptest-enabled packages +# (useful if you want to run the package test suites) +# "tools-sdk" - add development tools (gcc, make, pkgconfig etc.) +# "tools-debug" - add debugging tools (gdb, strace) +# "eclipse-debug" - add Eclipse remote debugging support +# "tools-profile" - add profiling tools (oprofile, lttng, valgrind) +# "tools-testapps" - add useful testing tools (ts_print, aplay, arecord etc.) +# "debug-tweaks" - make an image suitable for development +# e.g. ssh root access has a blank password +# There are other application targets that can be used here too, see +# meta/classes/image.bbclass and meta/classes/core-image.bbclass for more details. +# We default to enabling the debugging tweaks. +EXTRA_IMAGE_FEATURES ?= "debug-tweaks" + +# +# Additional image features +# +# The following is a list of additional classes to use when building images which +# enable extra features. Some available options which can be included in this variable +# are: +# - 'buildstats' collect build statistics +USER_CLASSES ?= "buildstats" + +# +# Runtime testing of images +# +# The build system can test booting virtual machine images under qemu (an emulator) +# after any root filesystems are created and run tests against those images. It can also +# run tests against any SDK that are built. To enable this uncomment these lines. +# See classes/test{image,sdk}.bbclass for further details. +#IMAGE_CLASSES += "testimage testsdk" +#TESTIMAGE_AUTO:qemuall = "1" + +# +# Interactive shell configuration +# +# Under certain circumstances the system may need input from you and to do this it +# can launch an interactive shell. It needs to do this since the build is +# multithreaded and needs to be able to handle the case where more than one parallel +# process may require the user's attention. The default is iterate over the available +# terminal types to find one that works. +# +# Examples of the occasions this may happen are when resolving patches which cannot +# be applied, to use the devshell or the kernel menuconfig +# +# Supported values are auto, gnome, xfce, rxvt, screen, konsole (KDE 3.x only), none +# Note: currently, Konsole support only works for KDE 3.x due to the way +# newer Konsole versions behave +#OE_TERMINAL = "auto" +# By default disable interactive patch resolution (tasks will just fail instead): +PATCHRESOLVE = "noop" + +# +# Disk Space Monitoring during the build +# +# Monitor the disk space during the build. If there is less that 1GB of space or less +# than 100K inodes in any key build location (TMPDIR, DL_DIR, SSTATE_DIR), gracefully +# shutdown the build. If there is less than 100MB or 1K inodes, perform a hard abort +# of the build. The reason for this is that running completely out of space can corrupt +# files and damages the build in ways which may not be easily recoverable. +# It's necessary to monitor /tmp, if there is no space left the build will fail +# with very exotic errors. +BB_DISKMON_DIRS ??= "\ + STOPTASKS,${TMPDIR},1G,100K \ + STOPTASKS,${DL_DIR},1G,100K \ + STOPTASKS,${SSTATE_DIR},1G,100K \ + STOPTASKS,/tmp,100M,100K \ + ABORT,${TMPDIR},100M,1K \ + ABORT,${DL_DIR},100M,1K \ + ABORT,${SSTATE_DIR},100M,1K \ + ABORT,/tmp,10M,1K" + +# +# Shared-state files from other locations +# +# As mentioned above, shared state files are prebuilt cache data objects which can be +# used to accelerate build time. This variable can be used to configure the system +# to search other mirror locations for these objects before it builds the data itself. +# +# This can be a filesystem directory, or a remote url such as http or ftp. These +# would contain the sstate-cache results from previous builds (possibly from other +# machines). This variable works like fetcher MIRRORS/PREMIRRORS and points to the +# cache locations to check for the shared objects. +# NOTE: if the mirror uses the same structure as SSTATE_DIR, you need to add PATH +# at the end as shown in the examples below. This will be substituted with the +# correct path within the directory structure. +#SSTATE_MIRRORS ?= "\ +#file://.* http://someserver.tld/share/sstate/PATH;downloadfilename=PATH \n \ +#file://.* file:///some/local/dir/sstate/PATH" + +# +# Yocto Project SState Mirror +# +# The Yocto Project has prebuilt artefacts available for its releases, you can enable +# use of these by uncommenting the following lines. This will mean the build uses +# the network to check for artefacts at the start of builds, which does slow it down +# equally, it will also speed up the builds by not having to build things if they are +# present in the cache. It assumes you can download something faster than you can build it +# which will depend on your network. +# Note: For this to work you also need hash-equivalence passthrough to the matching server +# +#BB_HASHSERVE_UPSTREAM = "typhoon.yocto.io:8687" +#SSTATE_MIRRORS ?= "file://.* http://sstate.yoctoproject.org/3.4/PATH;downloadfilename=PATH" + +# +# Qemu configuration +# +# By default native qemu will build with a builtin VNC server where graphical output can be +# seen. The line below enables the SDL UI frontend too. +PACKAGECONFIG:append:pn-qemu-system-native = " sdl" +# By default libsdl2-native will be built, if you want to use your host's libSDL instead of +# the minimal libsdl built by libsdl2-native then uncomment the ASSUME_PROVIDED line below. +#ASSUME_PROVIDED += "libsdl2-native" + +# You can also enable the Gtk UI frontend, which takes somewhat longer to build, but adds +# a handy set of menus for controlling the emulator. +#PACKAGECONFIG:append:pn-qemu-system-native = " gtk+" + +# +# Hash Equivalence +# +# Enable support for automatically running a local hash equivalence server and +# instruct bitbake to use a hash equivalence aware signature generator. Hash +# equivalence improves reuse of sstate by detecting when a given sstate +# artifact can be reused as equivalent, even if the current task hash doesn't +# match the one that generated the artifact. +# +# A shared hash equivalent server can be set with ":" format +# +#BB_HASHSERVE = "auto" +#BB_SIGNATURE_HANDLER = "OEEquivHash" + +# +# Memory Resident Bitbake +# +# Bitbake's server component can stay in memory after the UI for the current command +# has completed. This means subsequent commands can run faster since there is no need +# for bitbake to reload cache files and so on. Number is in seconds, after which the +# server will shut down. +# +#BB_SERVER_TIMEOUT = "60" + +# +# System initialization +# +INIT_MANAGER = "systemd" + +# +# UART +# Enabling UART on Raspberry Pi Zero W and Raspberry Pi 3 will affect performance. +# See https://git.yoctoproject.org/meta-raspberrypi/tree/docs/extra-build-config.md?h=honister#n247 +# +ENABLE_UART = "1" + +# CONF_VERSION is increased each time build/conf/ changes incompatibly and is used to +# track the version of this file when it was generated. This can safely be ignored if +# this doesn't mean anything to you. +CONF_VERSION = "2" diff --git a/project/yocto/rpizero-w/build/conf/templateconf.cfg b/project/yocto/rpizero-w/build/conf/templateconf.cfg new file mode 100644 index 0000000..7480a55 --- /dev/null +++ b/project/yocto/rpizero-w/build/conf/templateconf.cfg @@ -0,0 +1 @@ +meta-poky/conf diff --git a/project/yocto/rpizero-w/layers/meta-openembedded b/project/yocto/rpizero-w/layers/meta-openembedded new file mode 160000 index 0000000..0e6c34f --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-openembedded @@ -0,0 +1 @@ +Subproject commit 0e6c34f82ca4d43cbca3754c5fe37c5b3bdd0f37 diff --git a/project/yocto/rpizero-w/layers/meta-raspberrypi b/project/yocto/rpizero-w/layers/meta-raspberrypi new file mode 160000 index 0000000..378d4b6 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-raspberrypi @@ -0,0 +1 @@ +Subproject commit 378d4b6e7ba64b6a9a701457cc3780fa896ba5dc diff --git a/project/yocto/rpizero-w/layers/meta-ros b/project/yocto/rpizero-w/layers/meta-ros new file mode 160000 index 0000000..6e55955 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-ros @@ -0,0 +1 @@ +Subproject commit 6e559558c8c7ddf1b828a5f82d33eb0274bc2fc3 diff --git a/project/yocto/rpizero-w/layers/meta-user/conf/layer.conf b/project/yocto/rpizero-w/layers/meta-user/conf/layer.conf new file mode 100644 index 0000000..241dc5e --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/conf/layer.conf @@ -0,0 +1,16 @@ +# We have a conf and classes directory, add to BBPATH +BBPATH .= ":${LAYERDIR}" + +# We have recipes-* directories, add to BBFILES +BBFILES += "\ + ${LAYERDIR}/recipes-*/*/*.bb \ + ${LAYERDIR}/recipes-*/*/*.bbappend \ +" + +# Define dynamic layers +BBFILES_DYNAMIC += "" + +BBFILE_COLLECTIONS += "meta-user" +BBFILE_PATTERN_meta-user = "^${LAYERDIR}/" +BBFILE_PRIORITY_meta-user = "7" +LAYERSERIES_COMPAT_meta-user = "honister" diff --git a/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp new file mode 160000 index 0000000..35eb305 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/files/ros2-helloworld-cpp @@ -0,0 +1 @@ +Subproject commit 35eb3050cb1aa1948bb22bbb1a035833efab8d4c diff --git a/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb new file mode 100644 index 0000000..08d83f3 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-cpp/ros2-helloworld-cpp.bb @@ -0,0 +1,58 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble C++ helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=14549528096b9b60194cbada83109dcd" +PV = "1.0.0" +PR = "r0" + +S = "${WORKDIR}/ros2-helloworld-cpp" + +SRC_URI = "\ + file://ros2-helloworld-cpp/LICENSE \ + file://ros2-helloworld-cpp/VERSION \ + file://ros2-helloworld-cpp/package.xml \ + file://ros2-helloworld-cpp/CMakeLists.txt \ + file://ros2-helloworld-cpp/src/main.cpp \ + file://ros2-helloworld-cpp/include/engine.hpp \ + file://ros2-helloworld-cpp/src/lib/engine.cpp \ +" + +ROS_BUILD_TYPE = "ament_cmake" + +ROS_BUILDTOOL_DEPENDS = " \ + ament-cmake-native \ +" + +# Package "python3-numpy-native" is required by ament. +# See https://github.com/Xilinx/meta-ros/blob/rel-v2022.2/meta-ros2-humble/recipes-bbappends/examples/examples-rclcpp-minimal-publisher_%25.bbappend +ROS_BUILD_DEPENDS = " \ + rclcpp \ + std-msgs \ + python3-numpy-native \ +" + +ROS_EXEC_DEPENDS = "\ + rclcpp \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-lint-auto \ + ament-lint-common \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python new file mode 160000 index 0000000..663d299 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/files/ros2_helloworld_python @@ -0,0 +1 @@ +Subproject commit 663d299804da724affc3f1036a7f528893816d97 diff --git a/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb new file mode 100644 index 0000000..32dcf56 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/recipes-apps/ros2-helloworld-python/ros2-helloworld-python.bb @@ -0,0 +1,56 @@ +inherit ros_distro_humble +inherit ros_superflore_generated + +SUMMARY = "ROS2 Humble Python helloworld" +DESCRIPTION = "${SUMMARY}" +AUTHOR = "Nick Aizuddin " +ROS_AUTHOR = "Nick Aizuddin" +LICENSE = "MIT" +LIC_FILES_CHKSUM = "file://LICENSE;md5=1317490e6c0aa391d3d8096ce4479acd" +PV = "1.0.0" +PR = "r0" + +S = "${WORKDIR}/ros2_helloworld_python" + +SRC_URI = "\ + file://ros2_helloworld_python/LICENSE \ + file://ros2_helloworld_python/VERSION \ + file://ros2_helloworld_python/package.xml \ + file://ros2_helloworld_python/setup.py \ + file://ros2_helloworld_python/setup.cfg \ + file://ros2_helloworld_python/test/test_copyright.py \ + file://ros2_helloworld_python/test/test_flake8.py \ + file://ros2_helloworld_python/test/test_pep257.py \ + file://ros2_helloworld_python/resource/ros2_helloworld_python \ + file://ros2_helloworld_python/ros2_helloworld_python/__init__.py \ + file://ros2_helloworld_python/ros2_helloworld_python/helloworld.py \ +" + +ROS_BUILD_TYPE = "ament_python" + +ROS_BUILDTOOL_DEPENDS = "" + +ROS_BUILD_DEPENDS = "" + +ROS_EXEC_DEPENDS = "\ + rclpy \ + std-msgs \ +" + +ROS_EXPORT_DEPENDS = "" + +# Currently informational only -- see http://www.ros.org/reps/rep-0149.html#dependency-tags. +ROS_TEST_DEPENDS = " \ + ament-copyright \ + ament-flake8 \ + ament-pep257 \ + python3-pytest \ +" + +ROS_BUILDTOOL_EXPORT_DEPENDS = "" + +DEPENDS = "${ROS_BUILD_DEPENDS} ${ROS_BUILDTOOL_DEPENDS}" +DEPENDS += "${ROS_EXPORT_DEPENDS} ${ROS_BUILDTOOL_EXPORT_DEPENDS}" +RDEPENDS:${PN} += "${ROS_EXEC_DEPENDS}" + +inherit ros_${ROS_BUILD_TYPE} diff --git a/project/yocto/rpizero-w/layers/meta-user/recipes-images/images/ros-image-core.bbappend b/project/yocto/rpizero-w/layers/meta-user/recipes-images/images/ros-image-core.bbappend new file mode 100644 index 0000000..3ddc728 --- /dev/null +++ b/project/yocto/rpizero-w/layers/meta-user/recipes-images/images/ros-image-core.bbappend @@ -0,0 +1,95 @@ +ROS_SYSROOT_BUILD_DEPENDENCIES = " \ + ament-lint-auto \ + ament-cmake-auto \ + ament-cmake-core \ + ament-cmake-cppcheck \ + ament-cmake-cpplint \ + ament-cmake-export-definitions \ + ament-cmake-export-dependencies \ + ament-cmake-export-include-directories \ + ament-cmake-export-interfaces \ + ament-cmake-export-libraries \ + ament-cmake-export-link-flags \ + ament-cmake-export-targets \ + ament-cmake-gmock \ + ament-cmake-gtest \ + ament-cmake-include-directories \ + ament-cmake-libraries \ + ament-cmake \ + ament-cmake-pytest \ + ament-cmake-python \ + ament-cmake-ros \ + ament-cmake-target-dependencies \ + ament-cmake-test \ + ament-cmake-version \ + ament-cmake-uncrustify \ + ament-cmake-flake8 \ + ament-cmake-pep257 \ + ament-copyright \ + ament-cpplint \ + ament-flake8 \ + ament-index-python \ + ament-lint-cmake \ + ament-mypy \ + ament-package \ + ament-pclint \ + ament-pep257 \ + ament-pycodestyle \ + ament-pyflakes \ + ament-uncrustify \ + ament-xmllint \ + cmake \ + eigen3-cmake-module \ + fastcdr \ + fastrtps-cmake-module \ + fastrtps \ + git \ + gmock-vendor \ + gtest-vendor \ + pkgconfig \ + python-cmake-module \ + python3-catkin-pkg \ + python3-empy \ + python3 \ + python3-nose \ + python3-pytest \ + rcutils \ + rmw-implementation-cmake \ + rosidl-cmake \ + rosidl-default-generators \ + rosidl-generator-c \ + rosidl-generator-cpp \ + rosidl-generator-dds-idl \ + rosidl-generator-py \ + rosidl-parser \ + rosidl-runtime-c \ + rosidl-runtime-cpp \ + rosidl-typesupport-c \ + rosidl-typesupport-cpp \ + rosidl-typesupport-fastrtps-cpp \ + rosidl-typesupport-interface \ + rosidl-typesupport-introspection-c \ + rosidl-typesupport-introspection-cpp \ + foonathan-memory-vendor \ + libyaml-vendor \ +" + +USER_APPS = " \ + ros2-helloworld-cpp \ + ros2-helloworld-python \ +" + +IMAGE_INSTALL:append = " \ + ${ROS_SYSROOT_BUILD_DEPENDENCIES} \ + python3-argcomplete \ + glibc-utils \ + localedef \ + rt-tests \ + cyclonedds \ + rmw-cyclonedds-cpp \ + bash \ + ${USER_APPS} \ +" + +IMAGE_LINGUAS = "en-us" +GLIBC_GENERATE_LOCALES = "en_US.UTF-8" diff --git a/project/yocto/rpizero-w/layers/poky b/project/yocto/rpizero-w/layers/poky new file mode 160000 index 0000000..fd00d74 --- /dev/null +++ b/project/yocto/rpizero-w/layers/poky @@ -0,0 +1 @@ +Subproject commit fd00d74f47ceb57a619c4d0a0553ff0a30bbb7a4