Skip to content

Commit

Permalink
+
Browse files Browse the repository at this point in the history
  • Loading branch information
Florian Hanke committed Jan 28, 2009
1 parent 44de69b commit e62902e
Show file tree
Hide file tree
Showing 9 changed files with 15,672 additions and 15,509 deletions.
Binary file modified code/Main/FW.SFE
Binary file not shown.
14 changes: 13 additions & 1 deletion code/Main/main.c
Expand Up @@ -589,7 +589,7 @@ void handleMiddleButton(void){
}
LCDPrintString(current_display->list[current_display->current_index].file_name,0,current_display->text_color,1,0,current_display->orientation);
VICIntEnable|=0x10;
if(current_display->current_row==VOLUMEMENU){
if (current_display->current_row == VOLUMEMENU) {
VICIntEnable |= 0x10;
LCDSetRowColor(2, 0, current_display->back_color, current_display->orientation);
LCDPrintString("%d", volume_setting, white, 2, 0, current_display->orientation);
Expand Down Expand Up @@ -691,6 +691,10 @@ void reset(void) {

// Flöre refactors here!

//
// RADIO
//

// initializes the FM transmitter to a given frequency.
// Frequency is given in tenths of a MHz. So 973 means 97.3 MHz.
//
Expand Down Expand Up @@ -740,6 +744,10 @@ void disableRadio(void) {
IOSET1 |= FM_CS; //Unselect the FM transmitter
}

//
// MP3
//

// Start playing.
//
void startMP3Player(void) {
Expand Down Expand Up @@ -781,6 +789,10 @@ void stopMP3Player(void) {
VICIntEnable = 0x10;
}

//
// VOLUME
//

// Raises the Volume by 1.
//
void raiseVolume(void) {
Expand Down
Binary file modified code/Main/main.elf
Binary file not shown.
4,931 changes: 2,469 additions & 2,462 deletions code/Main/main.hex

Large diffs are not rendered by default.

18,577 changes: 9,313 additions & 9,264 deletions code/Main/main.lss

Large diffs are not rendered by default.

5,951 changes: 3,020 additions & 2,931 deletions code/Main/main.lst

Large diffs are not rendered by default.

1,282 changes: 643 additions & 639 deletions code/Main/main.map

Large diffs are not rendered by default.

Binary file modified code/Main/main.o
Binary file not shown.

0 comments on commit e62902e

Please sign in to comment.