{"payload":{"header_redesign_enabled":false,"results":[{"id":"248560900","archived":false,"color":"#adb2cb","followers":1,"has_funding_file":false,"hl_name":"fm4dd/pmod-16led","hl_trunc_description":"A quad-row PMOD module that provides a set of sixteen LED outputs.","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":248560900,"name":"pmod-16led","owner_id":1367011,"owner_login":"fm4dd","updated_at":"2020-12-02T14:29:45.369Z","has_issues":true}},"sponsorable":false,"topics":["fpga","pcb","verilog","kicad","pmod"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":55,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Afm4dd%252Fpmod-16led%2B%2Blanguage%253AVHDL","metadata":null,"csrf_tokens":{"/fm4dd/pmod-16led/star":{"post":"bsRRxN47M8mv-NOf7LS7_NjoJ0abvGjDEC-NYeGlxl-LT8inJZNF6JWzHIl_uQUoM6yORCbejE9QL-n6hLJP0Q"},"/fm4dd/pmod-16led/unstar":{"post":"E40xvpkKQ8Z0EZr5ZlmxhtdG35ka62V5TKZI0msexHK9qHXDy2WwCO__MiPogXjOm6QdBnPyr9wq3h7HDoTg0g"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"0-dGpbcJn1Z52L_f1XqiWYh7IzySrXj5gyv3EtyNGh7_I868GfcPPaU8fq9eSZcZc6_6JBuKOVx8RBRYsOZjuw"}}},"title":"Repository search results"}