diff --git a/README.md b/README.md index 919e0df..02f14db 100644 --- a/README.md +++ b/README.md @@ -5,11 +5,11 @@ Demonstration project for the AXI DMA Engine on the ZedBoard ## Requirements -This project is designed for Vivado 2017.3. If you are using an older version of Vivado, then you *MUST* use an older version +This project is designed for Vivado 2018.2. If you are using an older version of Vivado, then you *MUST* use an older version of this repository. Refer to the [list of commits](https://github.com/fpgadeveloper/zedboard-axi-dma/commits/master "list of commits") to find links to the older versions of this repository. -* Vivado 2017.3 +* Vivado 2018.2 * [ZedBoard](http://zedboard.org "ZedBoard") ## Description diff --git a/SDK/build-sdk.bat b/SDK/build-sdk.bat index 0ae82a0..800599e 100644 --- a/SDK/build-sdk.bat +++ b/SDK/build-sdk.bat @@ -1,6 +1,6 @@ @ECHO OFF setlocal ENABLEDELAYEDEXPANSION -SET sdk=C:\Xilinx\SDK\2017.3\bin\xsdk.bat +SET sdk=C:\Xilinx\SDK\2018.2\bin\xsdk.bat cmd /c "%sdk% -batch -source build-sdk.tcl" pause diff --git a/Vivado/build.bat b/Vivado/build.bat index 2ee5cc8..39fade1 100644 --- a/Vivado/build.bat +++ b/Vivado/build.bat @@ -1,4 +1,4 @@ -SET vivado=C:\Xilinx\Vivado\2017.3\bin\vivado.bat +SET vivado=C:\Xilinx\Vivado\2018.2\bin\vivado.bat @ECHO OFF if exist %vivado% ( %vivado% -mode batch -source build.tcl diff --git a/Vivado/build.tcl b/Vivado/build.tcl index 5c5e05a..59fa866 100644 --- a/Vivado/build.tcl +++ b/Vivado/build.tcl @@ -4,7 +4,7 @@ #***************************************************************************************** # Check the version of Vivado used -set version_required "2017.3" +set version_required "2018.2" set ver [lindex [split $::env(XILINX_VIVADO) /] 3] if {![string equal $ver $version_required]} { puts "###############################" @@ -78,10 +78,10 @@ set_property "top" "${design_name}_wrapper" $obj # Create 'synth_1' run (if not found) if {[string equal [get_runs -quiet synth_1] ""]} { - create_run -name synth_1 -part xc7z020clg484-1 -flow {Vivado Synthesis 2017} -strategy "Vivado Synthesis Defaults" -constrset constrs_1 + create_run -name synth_1 -part xc7z020clg484-1 -flow {Vivado Synthesis 2018} -strategy "Vivado Synthesis Defaults" -constrset constrs_1 } else { set_property strategy "Vivado Synthesis Defaults" [get_runs synth_1] - set_property flow "Vivado Synthesis 2017" [get_runs synth_1] + set_property flow "Vivado Synthesis 2018" [get_runs synth_1] } set obj [get_runs synth_1] @@ -90,10 +90,10 @@ current_run -synthesis [get_runs synth_1] # Create 'impl_1' run (if not found) if {[string equal [get_runs -quiet impl_1] ""]} { - create_run -name impl_1 -part xc7z020clg484-1 -flow {Vivado Implementation 2017} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 + create_run -name impl_1 -part xc7z020clg484-1 -flow {Vivado Implementation 2018} -strategy "Vivado Implementation Defaults" -constrset constrs_1 -parent_run synth_1 } else { set_property strategy "Vivado Implementation Defaults" [get_runs impl_1] - set_property flow "Vivado Implementation 2017" [get_runs impl_1] + set_property flow "Vivado Implementation 2018" [get_runs impl_1] } set obj [get_runs impl_1] set_property -name "steps.write_bitstream.args.readback_file" -value "0" -objects $obj