{"payload":{"header_redesign_enabled":false,"results":[{"id":"338496877","archived":false,"color":"#adb2cb","followers":0,"has_funding_file":false,"hl_name":"iammituraj/tweak_circuits","hl_trunc_description":"Tweak circuits designed in VHDL/Verilog like CDC synchronizers: Pulse synchronizer, Reset synchronizer, Two-flop synchronizer, Edge detec…","language":"VHDL","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":338496877,"name":"tweak_circuits","owner_id":51367682,"owner_login":"iammituraj","updated_at":"2022-09-06T19:21:50.444Z","has_issues":true}},"sponsorable":false,"topics":["asic","fpga","vhdl","verilog","synchronizer","cdc","rtldesign"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":81,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Aiammituraj%252Ftweak_circuits%2B%2Blanguage%253AVHDL","metadata":null,"csrf_tokens":{"/iammituraj/tweak_circuits/star":{"post":"nSOP-ycomEOapdRk0C-Jynit_nBnnfT2aK6jXNcgJkZ5eJtzC8SXCV4_0zI43OdoIAt8IMhQgwT9X5uDC_RwTQ"},"/iammituraj/tweak_circuits/unstar":{"post":"zqa5fpz6fQns4VGixvTq_RizosuIH-Dneh0hSACqxWIEtC-60xve7mq1G6T2aJlMUwNd_3TpAgC62752oRp0aQ"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"aKxENv6aspErDsFSU1xJTL8SGpuhnHJL_rgZUDa9LSVDRx1tKh5zr1ZKZTbzciUA24E7Jt5vrCIYDqDtdrCuvQ"}}},"title":"Repository search results"}