diff --git a/framework/include/outputs/OutputWarehouse.h b/framework/include/outputs/OutputWarehouse.h index c572f55a828e..eb42ab2a62b5 100644 --- a/framework/include/outputs/OutputWarehouse.h +++ b/framework/include/outputs/OutputWarehouse.h @@ -133,9 +133,9 @@ class OutputWarehouse /** * Get a reference to the common output parameters - * @return Reference to the common InputParameters object + * @return Pointer to the common InputParameters object */ - InputParameters & getCommonParameters(); + InputParameters * getCommonParameters(); /** * Return the sync times for all objects diff --git a/framework/src/actions/AddOutputAction.C b/framework/src/actions/AddOutputAction.C index 4a06e7c0a661..24a7d5a5c8a9 100644 --- a/framework/src/actions/AddOutputAction.C +++ b/framework/src/actions/AddOutputAction.C @@ -42,6 +42,10 @@ AddOutputAction::AddOutputAction(const std::string & name, InputParameters param void AddOutputAction::act() { + // Do nothing if FEProblem is NULL, this should only be the case for CoupledProblem + if (_problem == NULL) + return; + // Get a reference to the OutputWarehouse OutputWarehouse & output_warehouse = _app.getOutputWarehouse(); @@ -61,7 +65,9 @@ AddOutputAction::act() _moose_object_pars.addPrivateParam("_fe_problem", _problem); // Apply the common parameters - _moose_object_pars.applyParameters(output_warehouse.getCommonParameters()); + InputParameters * common = output_warehouse.getCommonParameters(); + if (common != NULL) + _moose_object_pars.applyParameters(*common); // Set the correct value for the binary flag for XDA/XDR output if (_type.compare("XDR") == 0) diff --git a/framework/src/base/Moose.C b/framework/src/base/Moose.C index 832a35c19ed4..f01eaca12c63 100644 --- a/framework/src/base/Moose.C +++ b/framework/src/base/Moose.C @@ -706,7 +706,9 @@ addActionTypes(Syntax & syntax) registerMooseObjectTask("add_multi_app", MultiApp, false); registerMooseObjectTask("add_transfer", Transfer, false); - registerMooseObjectTask("add_output", Output, false); + registerMooseObjectTask("add_output", Output, false); + + registerTask("common_output", true); registerTask("add_feproblem", false); registerTask("add_bounds_vectors", false); @@ -767,6 +769,7 @@ addActionTypes(Syntax & syntax) */ syntax.addDependencySets( "(meta_action)" +"(common_output)" "(set_global_params)" "(recover_base)" "(check_copy_nodal_vars)" @@ -864,7 +867,7 @@ registerActions(Syntax & syntax, ActionFactory & action_factory) registerAction(DetermineSystemType, "determine_system_type"); registerAction(CreateProblemAction, "create_problem"); registerAction(AddOutputAction, "add_output"); - registerAction(CommonOutputAction, "meta_action"); + registerAction(CommonOutputAction, "common_output"); registerAction(GlobalParamsAction, "set_global_params"); registerAction(SetupPredictorAction, "setup_predictor"); registerAction(MaterialOutputAction, "setup_material_output"); diff --git a/framework/src/outputs/OutputWarehouse.C b/framework/src/outputs/OutputWarehouse.C index 6504f04c5757..6ea4089749a4 100644 --- a/framework/src/outputs/OutputWarehouse.C +++ b/framework/src/outputs/OutputWarehouse.C @@ -223,13 +223,10 @@ OutputWarehouse::setCommonParameters(InputParameters * params_ptr) _common_params_ptr = params_ptr; } -InputParameters & +InputParameters * OutputWarehouse::getCommonParameters() { - if (_common_params_ptr == NULL) - mooseError("No common input parameters are stored"); - - return *_common_params_ptr; + return _common_params_ptr; } std::set & diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat01.i b/modules/tensor_mechanics/tests/jacobian/cosserat01.i index 983d0861b855..5b8c48040d32 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat01.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat01.i @@ -112,9 +112,4 @@ [Executioner] type = Transient solve_type = Newton -[] - -[Outputs] - console = true -[] - +[] \ No newline at end of file diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat02.i b/modules/tensor_mechanics/tests/jacobian/cosserat02.i index 69806471a932..56cb3ae98734 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat02.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat02.i @@ -113,9 +113,4 @@ [Executioner] type = Transient solve_type = Newton -[] - -[Outputs] - console = true -[] - +[] \ No newline at end of file diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat03.i b/modules/tensor_mechanics/tests/jacobian/cosserat03.i index 6358a45cf517..e18940326765 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat03.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat03.i @@ -114,8 +114,3 @@ type = Transient solve_type = Newton [] - -[Outputs] - console = true -[] - diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat04.i b/modules/tensor_mechanics/tests/jacobian/cosserat04.i index 42962228d3fb..9c829d6d86d6 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat04.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat04.i @@ -114,8 +114,3 @@ type = Transient solve_type = Newton [] - -[Outputs] - console = true -[] - diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat05.i b/modules/tensor_mechanics/tests/jacobian/cosserat05.i index 3497ddb0664b..193637390a4e 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat05.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat05.i @@ -114,8 +114,3 @@ type = Transient solve_type = Newton [] - -[Outputs] - console = true -[] - diff --git a/modules/tensor_mechanics/tests/jacobian/cosserat06.i b/modules/tensor_mechanics/tests/jacobian/cosserat06.i index b55a1b9cee9d..ba8f5bd28d4e 100644 --- a/modules/tensor_mechanics/tests/jacobian/cosserat06.i +++ b/modules/tensor_mechanics/tests/jacobian/cosserat06.i @@ -114,8 +114,3 @@ type = Transient solve_type = Newton [] - -[Outputs] - console = true -[] - diff --git a/modules/tensor_mechanics/tests/static_deformations/cosserat_tension.i b/modules/tensor_mechanics/tests/static_deformations/cosserat_tension.i index 296d7c3d769e..c0e2f7249710 100644 --- a/modules/tensor_mechanics/tests/static_deformations/cosserat_tension.i +++ b/modules/tensor_mechanics/tests/static_deformations/cosserat_tension.i @@ -212,6 +212,4 @@ [Outputs] exodus = true - console = true [] - diff --git a/test/tests/actions/aux_scalar_variable/aux_scalar_variable.i b/test/tests/actions/aux_scalar_variable/aux_scalar_variable.i index ae89b43bd06c..b478941e8f85 100644 --- a/test/tests/actions/aux_scalar_variable/aux_scalar_variable.i +++ b/test/tests/actions/aux_scalar_variable/aux_scalar_variable.i @@ -47,10 +47,6 @@ petsc_options_value = 'hypre boomeramg' [] -[Outputs] - console = true -[] - [Debug] show_var_residual_norms = true -[] +[] \ No newline at end of file diff --git a/test/tests/auxkernels/element_aux_var/elemental_sort_test.i b/test/tests/auxkernels/element_aux_var/elemental_sort_test.i index 6ff226dc32ab..a9707484328e 100644 --- a/test/tests/auxkernels/element_aux_var/elemental_sort_test.i +++ b/test/tests/auxkernels/element_aux_var/elemental_sort_test.i @@ -80,7 +80,6 @@ [] [Outputs] - console = true [./out] type = Exodus elemental_as_nodal = true diff --git a/test/tests/auxkernels/nodal_aux_var/nodal_sort_test.i b/test/tests/auxkernels/nodal_aux_var/nodal_sort_test.i index 6d10f56fa447..97a8929b149f 100644 --- a/test/tests/auxkernels/nodal_aux_var/nodal_sort_test.i +++ b/test/tests/auxkernels/nodal_aux_var/nodal_sort_test.i @@ -81,7 +81,6 @@ [] [Outputs] - console = true [./out] type = Exodus elemental_as_nodal = true diff --git a/test/tests/auxkernels/normalization_aux/normalization_aux.i b/test/tests/auxkernels/normalization_aux/normalization_aux.i index 18e7f4851cd5..d39f464ddfaa 100644 --- a/test/tests/auxkernels/normalization_aux/normalization_aux.i +++ b/test/tests/auxkernels/normalization_aux/normalization_aux.i @@ -76,6 +76,5 @@ [Outputs] exodus = true - console = true output_initial = true [] diff --git a/test/tests/auxkernels/quotient_aux/quotient_aux.i b/test/tests/auxkernels/quotient_aux/quotient_aux.i index d44e914891b5..0592432a20d4 100644 --- a/test/tests/auxkernels/quotient_aux/quotient_aux.i +++ b/test/tests/auxkernels/quotient_aux/quotient_aux.i @@ -81,6 +81,5 @@ [Outputs] exodus = true - console = true output_initial = true [] diff --git a/test/tests/auxkernels/time_derivative/time_derivative.i b/test/tests/auxkernels/time_derivative/time_derivative.i index dbb4a80ffb59..2668631b9d72 100644 --- a/test/tests/auxkernels/time_derivative/time_derivative.i +++ b/test/tests/auxkernels/time_derivative/time_derivative.i @@ -80,6 +80,5 @@ [] [Outputs] - console = true exodus = true [] diff --git a/test/tests/materials/boundary_material/bnd_coupling_vol.i b/test/tests/materials/boundary_material/bnd_coupling_vol.i index c2908b9be1a5..5e36d36a780f 100644 --- a/test/tests/materials/boundary_material/bnd_coupling_vol.i +++ b/test/tests/materials/boundary_material/bnd_coupling_vol.i @@ -136,6 +136,5 @@ [] [Outputs] - console = true exodus = true [] \ No newline at end of file diff --git a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box.i b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box.i index 84732f53b4a2..0b74cdb7ddba 100644 --- a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box.i +++ b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box.i @@ -44,5 +44,4 @@ [Outputs] output_initial = true exodus = true - console = true [] diff --git a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_inside.i b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_inside.i index eaac82fdee29..e87498ba614c 100644 --- a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_inside.i +++ b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_inside.i @@ -61,6 +61,4 @@ [Outputs] output_initial = true exodus = true - console = true [] - diff --git a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_outside.i b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_outside.i index 479a85ce742d..acacf6cdeb51 100644 --- a/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_outside.i +++ b/test/tests/mesh_modifiers/subdomain_bounding_box/oriented_subdomain_bounding_box_outside.i @@ -61,5 +61,4 @@ [Outputs] output_initial = true exodus = true - console = true [] diff --git a/test/tests/outputs/checkpoint/checkpoint_interval.i b/test/tests/outputs/checkpoint/checkpoint_interval.i index dfb278e2157b..3a9527398e36 100644 --- a/test/tests/outputs/checkpoint/checkpoint_interval.i +++ b/test/tests/outputs/checkpoint/checkpoint_interval.i @@ -50,7 +50,6 @@ [Outputs] exodus = true - console = true [./out] type = Checkpoint interval = 3 diff --git a/test/tests/outputs/console/console_no_outputs_block.i b/test/tests/outputs/console/console_no_outputs_block.i new file mode 100644 index 000000000000..d66ee946206a --- /dev/null +++ b/test/tests/outputs/console/console_no_outputs_block.i @@ -0,0 +1,40 @@ +[Mesh] + type = GeneratedMesh + dim = 2 + nx = 10 + ny = 10 +[] + +[Variables] + [./u] + [../] +[] + +[Kernels] + [./diff] + type = Diffusion + variable = u + [../] +[] + +[BCs] + [./left] + type = DirichletBC + variable = u + boundary = left + value = 0 + [../] + [./right] + type = DirichletBC + variable = u + boundary = right + value = 1 + [../] +[] + +[Executioner] + type = Steady + solve_type = 'PJFNK' + petsc_options_iname = '-pc_type -pc_hypre_type' + petsc_options_value = 'hypre boomeramg' +[] \ No newline at end of file diff --git a/test/tests/outputs/console/tests b/test/tests/outputs/console/tests index c58a0f71c2e2..baad309f1b39 100644 --- a/test/tests/outputs/console/tests +++ b/test/tests/outputs/console/tests @@ -1,4 +1,10 @@ [Tests] + [./no_outputs_block] + # Test the a file w/o output outputs + type = RunApp + input = console_no_outputs_block.i + expect_out = 'Framework Information' + [../] [./postprocessors] # Tests if the header line for the postprocessor values table is correct type = RunApp @@ -79,7 +85,7 @@ expect_out = 'Time Step 4, time = -0.600000' [../] [./_console_output] - # Test the used of MooseObject::mooseConsole method + # Test the used of MooseObject::_console method type = RunApp input = 'moose_console.i' expect_out = 'ConsoleMessageKernel::timestepSetup - time = 0.4; t_step = 4' diff --git a/test/tests/outputs/intervals/intervals.i b/test/tests/outputs/intervals/intervals.i index 149a8d8ba765..00dfe0ff10d6 100644 --- a/test/tests/outputs/intervals/intervals.i +++ b/test/tests/outputs/intervals/intervals.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./out] type = Exodus output_initial = false diff --git a/test/tests/outputs/intervals/multiple_sync_times.i b/test/tests/outputs/intervals/multiple_sync_times.i index a5a6e8f2ac43..ad847e660823 100644 --- a/test/tests/outputs/intervals/multiple_sync_times.i +++ b/test/tests/outputs/intervals/multiple_sync_times.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./exodus_3] type = Exodus interval = 3 diff --git a/test/tests/outputs/intervals/no_final_repeat.i b/test/tests/outputs/intervals/no_final_repeat.i index ced383447676..30003e26f737 100644 --- a/test/tests/outputs/intervals/no_final_repeat.i +++ b/test/tests/outputs/intervals/no_final_repeat.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./out] type = Exodus output_final = true diff --git a/test/tests/outputs/intervals/no_intermediate.i b/test/tests/outputs/intervals/no_intermediate.i index feedc398a698..d108f24fc536 100644 --- a/test/tests/outputs/intervals/no_intermediate.i +++ b/test/tests/outputs/intervals/no_intermediate.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./out] type = Exodus output_initial = true diff --git a/test/tests/outputs/intervals/no_output.i b/test/tests/outputs/intervals/no_output.i index 64934559cd91..283366d6ea41 100644 --- a/test/tests/outputs/intervals/no_output.i +++ b/test/tests/outputs/intervals/no_output.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./exodus] type = Exodus output_initial = false diff --git a/test/tests/outputs/intervals/output_final.i b/test/tests/outputs/intervals/output_final.i index 106a2457a9d8..7e6721c07201 100644 --- a/test/tests/outputs/intervals/output_final.i +++ b/test/tests/outputs/intervals/output_final.i @@ -48,7 +48,6 @@ [] [Outputs] - console = true [./out] type = Exodus output_final = true diff --git a/test/tests/outputs/intervals/sync_times.i b/test/tests/outputs/intervals/sync_times.i index c6f3ede59b13..8a7bcb56ea36 100644 --- a/test/tests/outputs/intervals/sync_times.i +++ b/test/tests/outputs/intervals/sync_times.i @@ -49,7 +49,6 @@ [] [Outputs] - console = true [./out] type = Exodus sync_times = '0.15 0.375 0.892' diff --git a/test/tests/outputs/oversample/adapt.i b/test/tests/outputs/oversample/adapt.i index 769f2717dfee..3b2df3e6dd8c 100644 --- a/test/tests/outputs/oversample/adapt.i +++ b/test/tests/outputs/oversample/adapt.i @@ -73,7 +73,6 @@ [] [Outputs] - console = true exodus = true [./oversample] type = Exodus diff --git a/test/tests/outputs/oversample/oversample.i b/test/tests/outputs/oversample/oversample.i index 7a5ab8d3813e..3b70318c0499 100644 --- a/test/tests/outputs/oversample/oversample.i +++ b/test/tests/outputs/oversample/oversample.i @@ -40,7 +40,6 @@ [] [Outputs] - console = true [./out] type = Exodus output_initial = true diff --git a/test/tests/outputs/oversample/oversample_file.i b/test/tests/outputs/oversample/oversample_file.i index 5418a90737f3..09f3a9c5f27b 100644 --- a/test/tests/outputs/oversample/oversample_file.i +++ b/test/tests/outputs/oversample/oversample_file.i @@ -40,7 +40,6 @@ [] [Outputs] - console = true [./exodus] type = Exodus output_initial = false diff --git a/test/tests/problems/coupled_problem/steady.i b/test/tests/problems/coupled_problem/steady.i index 0a2f3d328493..1f1f2ac804a2 100644 --- a/test/tests/problems/coupled_problem/steady.i +++ b/test/tests/problems/coupled_problem/steady.i @@ -59,7 +59,7 @@ [] [Outputs] + console = false output_initial = false exodus = true - console = true [] diff --git a/test/tests/problems/coupled_problem/ther-mech-ref.i b/test/tests/problems/coupled_problem/ther-mech-ref.i index a6a28bdabd19..0c0685501915 100644 --- a/test/tests/problems/coupled_problem/ther-mech-ref.i +++ b/test/tests/problems/coupled_problem/ther-mech-ref.i @@ -140,5 +140,4 @@ [Outputs] exodus = true output_initial = true - console = true [] diff --git a/test/tests/problems/coupled_problem/transient.i b/test/tests/problems/coupled_problem/transient.i index c55115561bee..59a87d3911f9 100644 --- a/test/tests/problems/coupled_problem/transient.i +++ b/test/tests/problems/coupled_problem/transient.i @@ -67,5 +67,5 @@ [Outputs] output_initial = true exodus = true - console = true + console = false [] diff --git a/test/tests/time_integrators/implicit-euler/ie_adapt.i b/test/tests/time_integrators/implicit-euler/ie_adapt.i index 6470b1fcdc35..68dfcd359300 100644 --- a/test/tests/time_integrators/implicit-euler/ie_adapt.i +++ b/test/tests/time_integrators/implicit-euler/ie_adapt.i @@ -111,5 +111,4 @@ [Outputs] output_initial = false exodus = true - console = true [] diff --git a/test/tests/time_integrators/rk-2/1d-linear.i b/test/tests/time_integrators/rk-2/1d-linear.i index 93a0e22a18d3..50637686dd05 100644 --- a/test/tests/time_integrators/rk-2/1d-linear.i +++ b/test/tests/time_integrators/rk-2/1d-linear.i @@ -91,6 +91,5 @@ [Outputs] output_initial = true - console = true exodus = true [] diff --git a/test/tests/time_integrators/rk-2/2d-quadratic.i b/test/tests/time_integrators/rk-2/2d-quadratic.i index 448319e4c0b6..0fcaddcdac10 100644 --- a/test/tests/time_integrators/rk-2/2d-quadratic.i +++ b/test/tests/time_integrators/rk-2/2d-quadratic.i @@ -92,6 +92,5 @@ [Outputs] output_initial = true - console = true exodus = true [] diff --git a/test/tests/time_steppers/function_dt_min/function_dt_min.i b/test/tests/time_steppers/function_dt_min/function_dt_min.i index 05f51196b2eb..a552a0eb3d1d 100644 --- a/test/tests/time_steppers/function_dt_min/function_dt_min.i +++ b/test/tests/time_steppers/function_dt_min/function_dt_min.i @@ -79,6 +79,5 @@ [Outputs] exodus = true - console = true output_initial = true [] diff --git a/test/tests/time_steppers/postprocessor_dt/postprocessor_dt.i b/test/tests/time_steppers/postprocessor_dt/postprocessor_dt.i index 6d9e3c1a9586..902928f7f70f 100644 --- a/test/tests/time_steppers/postprocessor_dt/postprocessor_dt.i +++ b/test/tests/time_steppers/postprocessor_dt/postprocessor_dt.i @@ -93,6 +93,5 @@ [Outputs] exodus = true - console = true output_initial = true [] diff --git a/test/tests/transfers/multiapp_postprocessor_interpolation_transfer/master.i b/test/tests/transfers/multiapp_postprocessor_interpolation_transfer/master.i index caa3be9d5176..f77784b987bd 100644 --- a/test/tests/transfers/multiapp_postprocessor_interpolation_transfer/master.i +++ b/test/tests/transfers/multiapp_postprocessor_interpolation_transfer/master.i @@ -52,7 +52,6 @@ [Outputs] output_initial = true exodus = true - console = true [] [MultiApps] diff --git a/test/tests/userobjects/layered_integral/layered_integral_test.i b/test/tests/userobjects/layered_integral/layered_integral_test.i index 93b8c91d98b3..d8511b57ecb3 100644 --- a/test/tests/userobjects/layered_integral/layered_integral_test.i +++ b/test/tests/userobjects/layered_integral/layered_integral_test.i @@ -74,5 +74,4 @@ file_base = out output_initial = true exodus = true - console = true [] diff --git a/test/tests/userobjects/layered_side_integral/layered_side_average.i b/test/tests/userobjects/layered_side_integral/layered_side_average.i index 09a7134c9aa7..b985fde72d0d 100644 --- a/test/tests/userobjects/layered_side_integral/layered_side_average.i +++ b/test/tests/userobjects/layered_side_integral/layered_side_average.i @@ -74,5 +74,4 @@ [Outputs] output_initial = true exodus = true - console = true [] diff --git a/test/tests/userobjects/layered_side_integral/layered_side_flux_average.i b/test/tests/userobjects/layered_side_integral/layered_side_flux_average.i index 60ff598ab775..9872cb34567b 100644 --- a/test/tests/userobjects/layered_side_integral/layered_side_flux_average.i +++ b/test/tests/userobjects/layered_side_integral/layered_side_flux_average.i @@ -84,7 +84,6 @@ [Outputs] output_initial = true exodus = true - console = true [] [Debug] diff --git a/test/tests/userobjects/layered_side_integral/layered_side_integral_test.i b/test/tests/userobjects/layered_side_integral/layered_side_integral_test.i index 88ca1c376667..96bdf934d45c 100644 --- a/test/tests/userobjects/layered_side_integral/layered_side_integral_test.i +++ b/test/tests/userobjects/layered_side_integral/layered_side_integral_test.i @@ -75,5 +75,4 @@ file_base = out output_initial = true exodus = true - console = true [] diff --git a/test/tests/userobjects/side_user_object.i b/test/tests/userobjects/side_user_object.i index eb0c93a1a7dd..a96bab8aa419 100644 --- a/test/tests/userobjects/side_user_object.i +++ b/test/tests/userobjects/side_user_object.i @@ -74,5 +74,4 @@ [Outputs] output_initial = false exodus = true - console = true [] diff --git a/test/tests/userobjects/side_user_object_boundary_id/side_user_object.i b/test/tests/userobjects/side_user_object_boundary_id/side_user_object.i index 8aa11fe7ab21..7ba25a8cda00 100644 --- a/test/tests/userobjects/side_user_object_boundary_id/side_user_object.i +++ b/test/tests/userobjects/side_user_object_boundary_id/side_user_object.i @@ -74,5 +74,4 @@ [Outputs] output_initial = true exodus = true - console = true [] diff --git a/test/tests/userobjects/user_object/uo_restart_part1.i b/test/tests/userobjects/user_object/uo_restart_part1.i index a040a5cdffc7..f8c1f8286d8a 100644 --- a/test/tests/userobjects/user_object/uo_restart_part1.i +++ b/test/tests/userobjects/user_object/uo_restart_part1.i @@ -72,7 +72,6 @@ [Outputs] exodus = true output_initial = true - console = true [./checkpoint] type = Checkpoint num_files = 1 diff --git a/test/tests/userobjects/user_object/uo_restart_part2.i b/test/tests/userobjects/user_object/uo_restart_part2.i index 6eabc7128465..d178f902eeed 100644 --- a/test/tests/userobjects/user_object/uo_restart_part2.i +++ b/test/tests/userobjects/user_object/uo_restart_part2.i @@ -79,5 +79,4 @@ [Outputs] output_initial = true exodus = true - console = true [] diff --git a/test/tests/userobjects/user_object/user_object_test.i b/test/tests/userobjects/user_object/user_object_test.i index 4a5fad84e30e..f036c595e10e 100644 --- a/test/tests/userobjects/user_object/user_object_test.i +++ b/test/tests/userobjects/user_object/user_object_test.i @@ -72,5 +72,4 @@ [Outputs] file_base = out exodus = true - console = true []