diff --git a/_ngo/netlist.lst b/_ngo/netlist.lst index 87abfb9..994d25d 100644 --- a/_ngo/netlist.lst +++ b/_ngo/netlist.lst @@ -1,3 +1,3 @@ -/home/jenn/git/quad_fpga/toplevel.ngc 1352305910 -ipcore_dir/fifo.ngc 1351892702 +/home/jenn/quad/quad_fpga/toplevel.ngc 1352314133 +ipcore_dir/fifo.ngc 1351709930 OK diff --git a/_xmsgs/bitgen.xmsgs b/_xmsgs/bitgen.xmsgs index 31d87f3..0753c46 100644 --- a/_xmsgs/bitgen.xmsgs +++ b/_xmsgs/bitgen.xmsgs @@ -5,13 +5,13 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, requires a special bit stream format. For more information, please reference Xilinx Answer Record 39999. +This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, requires a special bit stream format. For more information, please reference Xilinx Answer Record 39999. -To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_100mhz_i/dcm_sp_inst, consult the device Data Sheet. +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_100mhz_i/dcm_sp_inst, consult the device Data Sheet. -This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999. +This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999. diff --git a/_xmsgs/map.xmsgs b/_xmsgs/map.xmsgs index 35c721e..778f490 100644 --- a/_xmsgs/map.xmsgs +++ b/_xmsgs/map.xmsgs @@ -28,13 +28,13 @@ To see the details of these info messages, please The Interim Design Summary has been generated in the MAP Report (.mrp). -Map created a placed design. +Map created a placed design. -To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_100mhz_i/dcm_sp_inst, consult the device Data Sheet. +To achieve optimal frequency synthesis performance with the CLKFX and CLKFX180 outputs of the DCM comp clk_100mhz_i/dcm_sp_inst, consult the device Data Sheet. -This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999. +This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization data, both user defined and default, may be incorrect and should not be used. For more information, please reference Xilinx Answer Record 39999. diff --git a/_xmsgs/trce.xmsgs b/_xmsgs/trce.xmsgs index 4f20fa8..a11447b 100644 --- a/_xmsgs/trce.xmsgs +++ b/_xmsgs/trce.xmsgs @@ -5,9 +5,9 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. +To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report. -The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. +The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet. diff --git a/fuse.log b/fuse.log index 37f2e1e..79a800c 100644 --- a/fuse.log +++ b/fuse.log @@ -1,39 +1,57 @@ -Running: /opt/Xilinx/13.2/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/jenn/git/quad_fpga/spi_test_isim_beh.exe -prj /home/jenn/git/quad_fpga/spi_test_beh.prj work.spi_test +Running: /opt/Xilinx/13.2/ISE_DS/ISE/bin/lin64/unwrapped/fuse -intstyle ise -incremental -lib secureip -o /home/jenn/quad/quad_fpga/testbench_isim_beh.exe -prj /home/jenn/quad/quad_fpga/testbench_beh.prj work.testbench ISim O.61xd (signature 0xb4d1ced7) Number of CPUs detected in this system: 4 Turning on mult-threading, number of parallel sub-compilation jobs: 8 Determining compilation order of HDL files -Parsing VHDL file "/home/jenn/git/quad_fpga/ipcore_dir/fifo.vhd" into library work -Parsing VHDL file "/home/jenn/git/quad_fpga/spi_slave.vhd" into library work -WARNING:HDLCompiler:957 - "/home/jenn/git/quad_fpga/spi_slave.vhd" Line 361: Case choice must be a locally static expression -WARNING:HDLCompiler:957 - "/home/jenn/git/quad_fpga/spi_slave.vhd" Line 369: Case choice must be a locally static expression -WARNING:HDLCompiler:957 - "/home/jenn/git/quad_fpga/spi_slave.vhd" Line 378: Case choice must be a locally static expression -Parsing VHDL file "/home/jenn/git/quad_fpga/reg_file.vhd" into library work -Parsing VHDL file "/home/jenn/git/quad_fpga/spi_test_module.vhd" into library work -WARNING:HDLCompiler:946 - "/home/jenn/git/quad_fpga/spi_test_module.vhd" Line 111: Actual for formal port rd_addr is neither a static name nor a globally static expression -WARNING:HDLCompiler:946 - "/home/jenn/git/quad_fpga/spi_test_module.vhd" Line 124: Actual for formal port din is neither a static name nor a globally static expression -Parsing VHDL file "/home/jenn/git/quad_fpga/spi_test.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/fifo.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/spi_slave.vhd" into library work +WARNING:HDLCompiler:957 - "/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 361: Case choice must be a locally static expression +WARNING:HDLCompiler:957 - "/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 369: Case choice must be a locally static expression +WARNING:HDLCompiler:957 - "/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 378: Case choice must be a locally static expression +Parsing VHDL file "/home/jenn/quad/quad_fpga/reg_file.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/pcm_gen.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/mem_spi.vhd" into library work +WARNING:HDLCompiler:946 - "/home/jenn/quad/quad_fpga/mem_spi.vhd" Line 152: Actual for formal port din is neither a static name nor a globally static expression +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/toplevel.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/toplevel_test.vhd" into library work Starting static elaboration Completed static elaboration -Fuse Memory Usage: 78940 KB -Fuse CPU Usage: 210 ms +Fuse Memory Usage: 96912 KB +Fuse CPU Usage: 270 ms Compiling package standard Compiling package std_logic_1164 Compiling package numeric_std Compiling package std_logic_arith Compiling package std_logic_unsigned +Compiling package vcomponents +Compiling package textio +Compiling package vital_timing +Compiling package vital_primitives +Compiling package vpkg +Compiling architecture ibufg_v of entity IBUFG [\IBUFG("DONT_CARE","0",true,"DEF...] +Compiling architecture dcm_sp_clock_divide_by_2_v of entity dcm_sp_clock_divide_by_2 [dcm_sp_clock_divide_by_2_default] +Compiling architecture dcm_sp_maximum_period_check_v of entity dcm_sp_maximum_period_check [\dcm_sp_maximum_period_check("*"...] +Compiling architecture dcm_sp_maximum_period_check_v of entity dcm_sp_maximum_period_check [\dcm_sp_maximum_period_check("*"...] +Compiling architecture dcm_sp_clock_lost_v of entity dcm_sp_clock_lost [dcm_sp_clock_lost_default] +Compiling architecture dcm_sp_v of entity DCM_SP [\DCM_SP(true,"*",true,false,2.0,...] +Compiling architecture bufg_v of entity BUFG [bufg_default] +Compiling architecture xilinx of entity clk_100mhz [clk_100mhz_default] Compiling architecture rtl of entity spi_slave [\spi_slave(8,'1','1',1)\] -Compiling architecture behavioral of entity reg_file [\reg_file(8,8)\] +Compiling architecture behavioral of entity reg_file [\reg_file(7,8)\] Compiling architecture behavioral of entity fifo_generator_v8_2_bhv_ss [\fifo_generator_v8_2_bhv_ss(4,16...] Compiling architecture behavioral of entity fifo_generator_v8_2_conv [\fifo_generator_v8_2_conv(1,0,4,...] Compiling architecture behavioral of entity fifo_generator_v8_2 [\fifo_generator_v8_2(1,0,4,"Blan...] Compiling architecture fifo_a of entity fifo [fifo_default] -Compiling architecture behavioral of entity spi_test_module [spi_test_module_default] -Compiling architecture behavior of entity spi_test +Compiling architecture behavioral of entity mem_spi [mem_spi_default] +Compiling architecture behavioral of entity pcm_gen [pcm_gen_default] +Compiling architecture behavioral of entity toplevel [toplevel_default] +Compiling architecture behavior of entity testbench Time Resolution for simulation is 1ps. -Waiting for 1 sub-compilation(s) to finish... -Compiled 20 VHDL Units -Built simulation executable /home/jenn/git/quad_fpga/spi_test_isim_beh.exe -Fuse Memory Usage: 654724 KB -Fuse CPU Usage: 500 ms -GCC CPU Usage: 6490 ms +Waiting for 6 sub-compilation(s) to finish... +Compiled 45 VHDL Units +Built simulation executable /home/jenn/quad/quad_fpga/testbench_isim_beh.exe +Fuse Memory Usage: 687104 KB +Fuse CPU Usage: 710 ms +GCC CPU Usage: 2340 ms diff --git a/fuse.xmsgs b/fuse.xmsgs index 36eb6e2..c7a3991 100644 --- a/fuse.xmsgs +++ b/fuse.xmsgs @@ -5,19 +5,16 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"/home/jenn/git/quad_fpga/spi_slave.vhd" Line 361: Case choice must be a locally static expression +"/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 361: Case choice must be a locally static expression -"/home/jenn/git/quad_fpga/spi_slave.vhd" Line 369: Case choice must be a locally static expression +"/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 369: Case choice must be a locally static expression -"/home/jenn/git/quad_fpga/spi_slave.vhd" Line 378: Case choice must be a locally static expression +"/home/jenn/quad/quad_fpga/spi_slave.vhd" Line 378: Case choice must be a locally static expression -"/home/jenn/git/quad_fpga/spi_test_module.vhd" Line 111: Actual for formal port rd_addr is neither a static name nor a globally static expression - - -"/home/jenn/git/quad_fpga/spi_test_module.vhd" Line 124: Actual for formal port din is neither a static name nor a globally static expression +"/home/jenn/quad/quad_fpga/mem_spi.vhd" Line 152: Actual for formal port din is neither a static name nor a globally static expression diff --git a/fuseRelaunch.cmd b/fuseRelaunch.cmd index f2cbfe1..87f9e7b 100644 --- a/fuseRelaunch.cmd +++ b/fuseRelaunch.cmd @@ -1 +1 @@ --intstyle "ise" -incremental -lib "secureip" -o "/home/jenn/git/quad_fpga/spi_test_isim_beh.exe" -prj "/home/jenn/git/quad_fpga/spi_test_beh.prj" "work.spi_test" +-intstyle "ise" -incremental -lib "secureip" -o "/home/jenn/quad/quad_fpga/testbench_isim_beh.exe" -prj "/home/jenn/quad/quad_fpga/testbench_beh.prj" "work.testbench" diff --git a/ipcore_dir/_xmsgs/pn_parser.xmsgs b/ipcore_dir/_xmsgs/pn_parser.xmsgs index 9712234..7c93812 100644 --- a/ipcore_dir/_xmsgs/pn_parser.xmsgs +++ b/ipcore_dir/_xmsgs/pn_parser.xmsgs @@ -8,10 +8,7 @@ -Parsing VHDL file "/home/jenn/git/quad_fpga/ipcore_dir/clk_100mhz.vhd" into library work - - -Parsing VHDL file "/home/jenn/git/quad_fpga/ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd" into library work +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/fifo.vhd" into library work diff --git a/iseconfig/quad_fpga.projectmgr b/iseconfig/quad_fpga.projectmgr index 151e2cb..5aeecb5 100644 --- a/iseconfig/quad_fpga.projectmgr +++ b/iseconfig/quad_fpga.projectmgr @@ -10,13 +10,13 @@ /toplevel - Behavioral |home|jenn|quad|quad_fpga|toplevel.vhd/mem - mem_spi - Behavioral - toplevel - Behavioral (/home/jenn/git/quad_fpga/toplevel.vhd) + toplevel.ucf (/home/jenn/quad/quad_fpga/toplevel.ucf) 0 0 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000018f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000018f0000000100000003000000000000000100000003 true - toplevel - Behavioral (/home/jenn/git/quad_fpga/toplevel.vhd) + toplevel.ucf (/home/jenn/quad/quad_fpga/toplevel.ucf) @@ -28,7 +28,7 @@ 0 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000111000000010000000100000000000000000000000064ffffffff000000810000000000000001000001110000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000137000000010000000100000000000000000000000064ffffffff000000810000000000000001000001370000000100000000 false @@ -39,7 +39,7 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000005cf000000040101000100000000000000000000000064ffffffff000000810000000000000004000000cb0000000100000000000000290000000100000000000000840000000100000000000004570000000100000000 + 000000ff0000000000000001000000000000000001000000000000000000000000000000000000093c000000040101000100000000000000000000000064ffffffff000000810000000000000004000000cb0000000100000000000000290000000100000000000000840000000100000000000007c40000000100000000 false fifo.xise @@ -68,26 +68,26 @@ Implement Design/Place & Route/Generate Post-Place & Route Static Timing - Configure Target Device + Generate Programming File - 16 + 17 0 - 000000ff00000000000000010000000100000000000000000000000000000000000000000000000180000000010000000100000000000000000000000064ffffffff000000810000000000000001000001800000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000127000000010000000100000000000000000000000064ffffffff000000810000000000000001000001270000000100000000 false - Configure Target Device + Generate Programming File 1 - + 0 0 000000ff0000000000000001000000010000000000000000000000000000000000000000000000011b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000011b0000000100000000 false - + @@ -108,13 +108,13 @@ Design Utilities - + 0 0 000000ff00000000000000010000000100000000000000000000000000000000000000000000000111000000010000000100000000000000000000000064ffffffff000000810000000000000001000001110000000100000000 false - + @@ -164,7 +164,7 @@ 0 0 - 000000ff0000000000000001000000010000000000000000000000000000000000000000000000011b000000010000000100000000000000000000000064ffffffff0000008100000000000000010000011b0000000100000000 + 000000ff00000000000000010000000100000000000000000000000000000000000000000000000137000000010000000100000000000000000000000064ffffffff000000810000000000000001000001370000000100000000 false Edit Constraints (Text) diff --git a/isim.cmd b/isim.cmd index 25c09a8..eea5d00 100644 --- a/isim.cmd +++ b/isim.cmd @@ -1,2 +1,2 @@ onerror {resume} -run 10us; +run 8 ms; diff --git a/isim.log b/isim.log index 4c9964e..b4f302f 100644 --- a/isim.log +++ b/isim.log @@ -1,6 +1,5 @@ ISim log file -Running: /home/jenn/git/quad_fpga/spi_test_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -view /home/jenn/git/quad_fpga/sdfs.wcfg -wdb /home/jenn/git/quad_fpga/spi_test_isim_beh.wdb -INFO: There is another simulation running in the same directory. Using database file name isim1.wdb. +Running: /home/jenn/quad/quad_fpga/testbench_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -view /home/jenn/quad/quad_fpga/sdfs.wcfg -wdb /home/jenn/quad/quad_fpga/testbench_isim_beh.wdb ISim O.61xd (signature 0xb4d1ced7) WARNING: A WEBPACK license was found. WARNING: Please use Xilinx License Configuration Manager to check out a full ISim license. @@ -8,8 +7,7 @@ WARNING: ISim will run in Lite mode. Please refer to the ISim documentation for This is a Lite version of ISim. Time resolution is 1 ps # onerror resume -# run 10us +# run 8 ms Simulator is doing circuit initialization process. Finished circuit initialization process. # exit 0 -# exit 0 diff --git a/isim/isim_usage_statistics.html b/isim/isim_usage_statistics.html index 1c90260..c0402da 100644 --- a/isim/isim_usage_statistics.html +++ b/isim/isim_usage_statistics.html @@ -1,15 +1,15 @@ - - + + - - - - - - + + + + + + diff --git a/isim/precompiled.exe.sim/ieee/p_1242562249.c b/isim/precompiled.exe.sim/ieee/p_1242562249.c index 6f45cef..f10cab6 100644 --- a/isim/precompiled.exe.sim/ieee/p_1242562249.c +++ b/isim/precompiled.exe.sim/ieee/p_1242562249.c @@ -9084,56 +9084,56 @@ LAB11: if (t154 != 0) goto LAB6; LAB8: -LAB7: t136 = (t3 + 0); - t137 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t165, t136, t27, (unsigned char)1); - t139 = (t45 + 56U); - t140 = *((char **)t139); - t139 = (t140 + 0); - t141 = (t165 + 12U); - t158 = *((unsigned int *)t141); - t158 = (t158 * 1U); - memcpy(t139, t137, t158); - t136 = (t5 + 0); - t137 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t165, t136, t34, (unsigned char)1); - t139 = (t61 + 56U); - t140 = *((char **)t139); - t139 = (t140 + 0); - t141 = (t165 + 12U); - t158 = *((unsigned int *)t141); - t158 = (t158 * 1U); - memcpy(t139, t137, t158); - t136 = (t45 + 56U); - t137 = *((char **)t136); - t136 = (t42 + 0U); - t163 = *((int *)t136); - t139 = (t42 + 0U); - t11 = *((int *)t139); - t140 = (t42 + 8U); - t20 = *((int *)t140); - t30 = (t163 - t11); - t158 = (t30 * t20); - t164 = (1U * t158); - t10 = (0 + t164); - t141 = (t137 + t10); - t152 = *((unsigned char *)t141); +LAB7: t9 = (t3 + 0); + t13 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t165, t9, t27, (unsigned char)1); + t14 = (t45 + 56U); + t16 = *((char **)t14); + t14 = (t16 + 0); + t17 = (t165 + 12U); + t10 = *((unsigned int *)t17); + t10 = (t10 * 1U); + memcpy(t14, t13, t10); + t9 = (t5 + 0); + t13 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t165, t9, t34, (unsigned char)1); + t14 = (t61 + 56U); + t16 = *((char **)t14); + t14 = (t16 + 0); + t17 = (t165 + 12U); + t10 = *((unsigned int *)t17); + t10 = (t10 * 1U); + memcpy(t14, t13, t10); + t9 = (t45 + 56U); + t13 = *((char **)t9); + t9 = (t42 + 0U); + t11 = *((int *)t9); + t14 = (t42 + 0U); + t20 = *((int *)t14); + t16 = (t42 + 8U); + t30 = *((int *)t16); + t32 = (t11 - t20); + t10 = (t32 * t30); + t19 = (1U * t10); + t33 = (0 + t19); + t17 = (t13 + t33); + t152 = *((unsigned char *)t17); t154 = (t152 == (unsigned char)1); if (t154 == 1) goto LAB16; -LAB17: t143 = (t61 + 56U); - t144 = *((char **)t143); - t143 = (t58 + 0U); - t32 = *((int *)t143); - t146 = (t58 + 0U); - t36 = *((int *)t146); - t147 = (t58 + 8U); - t38 = *((int *)t147); - t40 = (t32 - t36); - t19 = (t40 * t38); - t33 = (1U * t19); - t47 = (0 + t33); - t155 = (t144 + t47); - t156 = *((unsigned char *)t155); +LAB17: t18 = (t61 + 56U); + t22 = *((char **)t18); + t18 = (t58 + 0U); + t36 = *((int *)t18); + t23 = (t58 + 0U); + t38 = *((int *)t23); + t25 = (t58 + 8U); + t40 = *((int *)t25); + t41 = (t36 - t38); + t47 = (t41 * t40); + t63 = (1U * t47); + t73 = (0 + t63); + t26 = (t22 + t73); + t156 = *((unsigned char *)t26); t159 = (t156 == (unsigned char)1); t149 = t159; @@ -9141,113 +9141,113 @@ LAB18: if (t149 != 0) goto LAB13; LAB15: -LAB14: t136 = (t45 + 56U); - t137 = *((char **)t136); - t136 = (t42 + 0U); - t163 = *((int *)t136); - t139 = (t42 + 0U); - t11 = *((int *)t139); - t140 = (t42 + 8U); - t20 = *((int *)t140); - t30 = (t163 - t11); - t158 = (t30 * t20); - t164 = (1U * t158); - t10 = (0 + t164); - t141 = (t137 + t10); - t149 = *((unsigned char *)t141); +LAB14: t9 = (t45 + 56U); + t13 = *((char **)t9); + t9 = (t42 + 0U); + t11 = *((int *)t9); + t14 = (t42 + 0U); + t20 = *((int *)t14); + t16 = (t42 + 8U); + t30 = *((int *)t16); + t32 = (t11 - t20); + t10 = (t32 * t30); + t19 = (1U * t10); + t33 = (0 + t19); + t17 = (t13 + t33); + t149 = *((unsigned char *)t17); t152 = (t149 == (unsigned char)3); if (t152 != 0) goto LAB20; -LAB22: t136 = (t45 + 56U); - t137 = *((char **)t136); - t136 = (t115 + 56U); - t139 = *((char **)t136); - t136 = (t139 + 0); - t140 = (t42 + 12U); - t158 = *((unsigned int *)t140); - t158 = (t158 * 1U); - memcpy(t136, t137, t158); - -LAB21: t136 = (t61 + 56U); - t137 = *((char **)t136); - t136 = (t58 + 0U); - t163 = *((int *)t136); - t139 = (t58 + 0U); - t11 = *((int *)t139); - t140 = (t58 + 8U); - t20 = *((int *)t140); - t30 = (t163 - t11); - t158 = (t30 * t20); - t164 = (1U * t158); - t10 = (0 + t164); - t141 = (t137 + t10); - t149 = *((unsigned char *)t141); +LAB22: t9 = (t45 + 56U); + t13 = *((char **)t9); + t9 = (t115 + 56U); + t14 = *((char **)t9); + t9 = (t14 + 0); + t16 = (t42 + 12U); + t10 = *((unsigned int *)t16); + t10 = (t10 * 1U); + memcpy(t9, t13, t10); + +LAB21: t9 = (t61 + 56U); + t13 = *((char **)t9); + t9 = (t58 + 0U); + t11 = *((int *)t9); + t14 = (t58 + 0U); + t20 = *((int *)t14); + t16 = (t58 + 8U); + t30 = *((int *)t16); + t32 = (t11 - t20); + t10 = (t32 * t30); + t19 = (1U * t10); + t33 = (0 + t19); + t17 = (t13 + t33); + t149 = *((unsigned char *)t17); t152 = (t149 == (unsigned char)3); if (t152 != 0) goto LAB23; -LAB25: t136 = (t61 + 56U); - t137 = *((char **)t136); - t136 = (t133 + 56U); - t139 = *((char **)t136); - t136 = (t139 + 0); - t140 = (t58 + 12U); - t158 = *((unsigned int *)t140); - t158 = (t158 * 1U); - memcpy(t136, t137, t158); - -LAB24: t136 = (t115 + 56U); - t137 = *((char **)t136); - t136 = (t110 + 12U); - t158 = *((unsigned int *)t136); - t158 = (t158 * 1U); - t139 = (char *)alloca(t158); - memcpy(t139, t137, t158); - t140 = (t133 + 56U); - t141 = *((char **)t140); - t140 = (t128 + 12U); - t164 = *((unsigned int *)t140); - t164 = (t164 * 1U); - t143 = (char *)alloca(t164); - memcpy(t143, t141, t164); - t144 = (t79 + 56U); - t146 = *((char **)t144); - t144 = (t97 + 56U); - t147 = *((char **)t144); - ieee_p_1242562249_sub_3138923086916629906_1035706684(t1, (char *)0, t139, t110, t143, t128, t146, t74, t147, t92); - t136 = (t142 + 56U); - t137 = *((char **)t136); - t149 = *((unsigned char *)t137); +LAB25: t9 = (t61 + 56U); + t13 = *((char **)t9); + t9 = (t133 + 56U); + t14 = *((char **)t9); + t9 = (t14 + 0); + t16 = (t58 + 12U); + t10 = *((unsigned int *)t16); + t10 = (t10 * 1U); + memcpy(t9, t13, t10); + +LAB24: t9 = (t115 + 56U); + t13 = *((char **)t9); + t9 = (t110 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t14 = (char *)alloca(t10); + memcpy(t14, t13, t10); + t16 = (t133 + 56U); + t17 = *((char **)t16); + t16 = (t128 + 12U); + t19 = *((unsigned int *)t16); + t19 = (t19 * 1U); + t18 = (char *)alloca(t19); + memcpy(t18, t17, t19); + t22 = (t79 + 56U); + t23 = *((char **)t22); + t22 = (t97 + 56U); + t25 = *((char **)t22); + ieee_p_1242562249_sub_3138923086916629906_1035706684(t1, (char *)0, t14, t110, t18, t128, t23, t74, t25, t92); + t9 = (t142 + 56U); + t13 = *((char **)t9); + t149 = *((unsigned char *)t13); if (t149 != 0) goto LAB26; LAB28: -LAB27: t136 = (t79 + 56U); - t137 = *((char **)t136); - t136 = (t74 + 12U); - t158 = *((unsigned int *)t136); - t158 = (t158 * 1U); - t0 = xsi_get_transient_memory(t158); - memcpy(t0, t137, t158); - t140 = (t74 + 0U); - t163 = *((int *)t140); - t141 = (t74 + 4U); - t11 = *((int *)t141); - t144 = (t74 + 8U); - t20 = *((int *)t144); - t146 = (t2 + 0U); - t147 = (t146 + 0U); - *((int *)t147) = t163; - t147 = (t146 + 4U); - *((int *)t147) = t11; - t147 = (t146 + 8U); - *((int *)t147) = t20; - t30 = (t11 - t163); - t164 = (t30 * t20); - t164 = (t164 + 1); - t147 = (t146 + 12U); - *((unsigned int *)t147) = t164; +LAB27: t9 = (t79 + 56U); + t13 = *((char **)t9); + t9 = (t74 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t0 = xsi_get_transient_memory(t10); + memcpy(t0, t13, t10); + t16 = (t74 + 0U); + t11 = *((int *)t16); + t17 = (t74 + 4U); + t20 = *((int *)t17); + t22 = (t74 + 8U); + t30 = *((int *)t22); + t23 = (t2 + 0U); + t25 = (t23 + 0U); + *((int *)t25) = t11; + t25 = (t23 + 4U); + *((int *)t25) = t20; + t25 = (t23 + 8U); + *((int *)t25) = t30; + t32 = (t20 - t11); + t19 = (t32 * t30); + t19 = (t19 + 1); + t25 = (t23 + 12U); + *((unsigned int *)t25) = t19; LAB1: return t0; LAB3: *((char **)t148) = t3; @@ -9279,45 +9279,45 @@ LAB9: t154 = (unsigned char)1; LAB12: goto LAB7; -LAB13: t157 = (t74 + 12U); - t63 = *((unsigned int *)t157); - t63 = (t63 * 1U); - t160 = xsi_get_transient_memory(t63); - memset(t160, 0, t63); - t161 = t160; - memset(t161, (unsigned char)1, t63); - t162 = (t79 + 56U); - t9 = *((char **)t162); - t162 = (t9 + 0); - t13 = (t74 + 12U); - t73 = *((unsigned int *)t13); - t73 = (t73 * 1U); - memcpy(t162, t160, t73); - t136 = (t79 + 56U); - t137 = *((char **)t136); - t136 = (t74 + 12U); - t158 = *((unsigned int *)t136); - t158 = (t158 * 1U); - t0 = xsi_get_transient_memory(t158); - memcpy(t0, t137, t158); - t139 = (t74 + 0U); - t163 = *((int *)t139); - t140 = (t74 + 4U); - t11 = *((int *)t140); - t141 = (t74 + 8U); - t20 = *((int *)t141); - t143 = (t2 + 0U); - t144 = (t143 + 0U); - *((int *)t144) = t163; - t144 = (t143 + 4U); - *((int *)t144) = t11; - t144 = (t143 + 8U); - *((int *)t144) = t20; - t30 = (t11 - t163); - t164 = (t30 * t20); - t164 = (t164 + 1); - t144 = (t143 + 12U); - *((unsigned int *)t144) = t164; +LAB13: t28 = (t74 + 12U); + t76 = *((unsigned int *)t28); + t76 = (t76 * 1U); + t29 = xsi_get_transient_memory(t76); + memset(t29, 0, t76); + t31 = t29; + memset(t31, (unsigned char)1, t76); + t35 = (t79 + 56U); + t37 = *((char **)t35); + t35 = (t37 + 0); + t39 = (t74 + 12U); + t81 = *((unsigned int *)t39); + t81 = (t81 * 1U); + memcpy(t35, t29, t81); + t9 = (t79 + 56U); + t13 = *((char **)t9); + t9 = (t74 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t0 = xsi_get_transient_memory(t10); + memcpy(t0, t13, t10); + t14 = (t74 + 0U); + t11 = *((int *)t14); + t16 = (t74 + 4U); + t20 = *((int *)t16); + t17 = (t74 + 8U); + t30 = *((int *)t17); + t18 = (t2 + 0U); + t22 = (t18 + 0U); + *((int *)t22) = t11; + t22 = (t18 + 4U); + *((int *)t22) = t20; + t22 = (t18 + 8U); + *((int *)t22) = t30; + t32 = (t20 - t11); + t19 = (t32 * t30); + t19 = (t19 + 1); + t22 = (t18 + 12U); + *((unsigned int *)t22) = t19; goto LAB1; LAB16: t149 = (unsigned char)1; @@ -9325,65 +9325,65 @@ LAB16: t149 = (unsigned char)1; LAB19: goto LAB14; -LAB20: t143 = (t45 + 56U); - t144 = *((char **)t143); - t143 = ieee_p_1242562249_sub_3065688369758319528_1035706684(t1, t165, t144, t42); - t146 = (t115 + 56U); - t147 = *((char **)t146); - t146 = (t147 + 0); - t155 = (t165 + 12U); - t19 = *((unsigned int *)t155); - t33 = (1U * t19); - memcpy(t146, t143, t33); - t136 = (t142 + 56U); - t137 = *((char **)t136); - t136 = (t137 + 0); - *((unsigned char *)t136) = (unsigned char)1; +LAB20: t18 = (t45 + 56U); + t22 = *((char **)t18); + t18 = ieee_p_1242562249_sub_3065688369758319528_1035706684(t1, t165, t22, t42); + t23 = (t115 + 56U); + t25 = *((char **)t23); + t23 = (t25 + 0); + t26 = (t165 + 12U); + t47 = *((unsigned int *)t26); + t63 = (1U * t47); + memcpy(t23, t18, t63); + t9 = (t142 + 56U); + t13 = *((char **)t9); + t9 = (t13 + 0); + *((unsigned char *)t9) = (unsigned char)1; goto LAB21; -LAB23: t143 = (t61 + 56U); - t144 = *((char **)t143); - t143 = ieee_p_1242562249_sub_3065688369758319528_1035706684(t1, t165, t144, t58); - t146 = (t133 + 56U); - t147 = *((char **)t146); - t146 = (t147 + 0); - t155 = (t165 + 12U); - t19 = *((unsigned int *)t155); - t33 = (1U * t19); - memcpy(t146, t143, t33); - t136 = (t142 + 56U); - t137 = *((char **)t136); - t149 = *((unsigned char *)t137); +LAB23: t18 = (t61 + 56U); + t22 = *((char **)t18); + t18 = ieee_p_1242562249_sub_3065688369758319528_1035706684(t1, t165, t22, t58); + t23 = (t133 + 56U); + t25 = *((char **)t23); + t23 = (t25 + 0); + t26 = (t165 + 12U); + t47 = *((unsigned int *)t26); + t63 = (1U * t47); + memcpy(t23, t18, t63); + t9 = (t142 + 56U); + t13 = *((char **)t9); + t149 = *((unsigned char *)t13); t152 = (!(t149)); - t136 = (t142 + 56U); - t139 = *((char **)t136); - t136 = (t139 + 0); - *((unsigned char *)t136) = t152; + t9 = (t142 + 56U); + t14 = *((char **)t9); + t9 = (t14 + 0); + *((unsigned char *)t9) = t152; goto LAB24; -LAB26: t136 = (t1 + 5118); - t141 = (t166 + 0U); - t144 = (t141 + 0U); - *((int *)t144) = 0; - t144 = (t141 + 4U); - *((int *)t144) = 0; - t144 = (t141 + 8U); - *((int *)t144) = 1; - t163 = (0 - 0); - t158 = (t163 * 1); - t158 = (t158 + 1); - t144 = (t141 + 12U); - *((unsigned int *)t144) = t158; - t144 = (t79 + 56U); - t146 = *((char **)t144); - t144 = ieee_p_1242562249_sub_1701011461141789389_1035706684(t1, t165, t136, t166, t146, t74); - t147 = (t79 + 56U); - t155 = *((char **)t147); - t147 = (t155 + 0); - t157 = (t165 + 12U); - t158 = *((unsigned int *)t157); - t164 = (1U * t158); - memcpy(t147, t144, t164); +LAB26: t9 = (t1 + 5118); + t17 = (t166 + 0U); + t22 = (t17 + 0U); + *((int *)t22) = 0; + t22 = (t17 + 4U); + *((int *)t22) = 0; + t22 = (t17 + 8U); + *((int *)t22) = 1; + t11 = (0 - 0); + t10 = (t11 * 1); + t10 = (t10 + 1); + t22 = (t17 + 12U); + *((unsigned int *)t22) = t10; + t22 = (t79 + 56U); + t23 = *((char **)t22); + t22 = ieee_p_1242562249_sub_1701011461141789389_1035706684(t1, t165, t9, t166, t23, t74); + t25 = (t79 + 56U); + t26 = *((char **)t25); + t25 = (t26 + 0); + t28 = (t165 + 12U); + t10 = *((unsigned int *)t28); + t19 = (1U * t10); + memcpy(t25, t22, t19); goto LAB27; LAB29:; @@ -17910,56 +17910,56 @@ LAB11: if (t92 != 0) goto LAB6; LAB8: -LAB7: t45 = (t2 + 0); - t46 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t102, t45, t26, (unsigned char)1); - t47 = (t55 + 56U); - t48 = *((char **)t47); - t47 = (t48 + 0); - t53 = (t102 + 12U); - t51 = *((unsigned int *)t53); - t51 = (t51 * 1U); - memcpy(t47, t46, t51); - t45 = (t4 + 0); - t46 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t102, t45, t33, (unsigned char)1); - t47 = (t71 + 56U); - t48 = *((char **)t47); - t47 = (t48 + 0); - t53 = (t102 + 12U); - t51 = *((unsigned int *)t53); - t51 = (t51 * 1U); - memcpy(t47, t46, t51); - t45 = (t55 + 56U); - t46 = *((char **)t45); - t45 = (t52 + 0U); - t49 = *((int *)t45); - t47 = (t52 + 0U); - t50 = *((int *)t47); - t48 = (t52 + 8U); - t54 = *((int *)t48); - t56 = (t49 - t50); - t51 = (t56 * t54); - t57 = (1U * t51); - t73 = (0 + t57); - t53 = (t46 + t73); - t90 = *((unsigned char *)t53); +LAB7: t8 = (t2 + 0); + t12 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t102, t8, t26, (unsigned char)1); + t13 = (t55 + 56U); + t15 = *((char **)t13); + t13 = (t15 + 0); + t16 = (t102 + 12U); + t9 = *((unsigned int *)t16); + t9 = (t9 * 1U); + memcpy(t13, t12, t9); + t8 = (t4 + 0); + t12 = ieee_p_1242562249_sub_2985487471987653227_1035706684(t1, t102, t8, t33, (unsigned char)1); + t13 = (t71 + 56U); + t15 = *((char **)t13); + t13 = (t15 + 0); + t16 = (t102 + 12U); + t9 = *((unsigned int *)t16); + t9 = (t9 * 1U); + memcpy(t13, t12, t9); + t8 = (t55 + 56U); + t12 = *((char **)t8); + t8 = (t52 + 0U); + t10 = *((int *)t8); + t13 = (t52 + 0U); + t19 = *((int *)t13); + t15 = (t52 + 8U); + t29 = *((int *)t15); + t31 = (t10 - t19); + t9 = (t31 * t29); + t18 = (1U * t9); + t32 = (0 + t18); + t16 = (t12 + t32); + t90 = *((unsigned char *)t16); t92 = (t90 == (unsigned char)1); if (t92 == 1) goto LAB18; -LAB19: t58 = (t71 + 56U); - t59 = *((char **)t58); - t58 = (t68 + 0U); - t66 = *((int *)t58); - t61 = (t68 + 0U); - t67 = *((int *)t61); - t62 = (t68 + 8U); - t70 = *((int *)t62); - t72 = (t66 - t67); - t96 = (t72 * t70); - t9 = (1U * t96); - t18 = (0 + t9); - t63 = (t59 + t18); - t94 = *((unsigned char *)t63); +LAB19: t17 = (t71 + 56U); + t21 = *((char **)t17); + t17 = (t68 + 0U); + t35 = *((int *)t17); + t22 = (t68 + 0U); + t37 = *((int *)t22); + t24 = (t68 + 8U); + t40 = *((int *)t24); + t49 = (t35 - t37); + t39 = (t49 * t40); + t51 = (1U * t39); + t57 = (0 + t51); + t25 = (t21 + t57); + t94 = *((unsigned char *)t25); t97 = (t94 == (unsigned char)1); t87 = t97; @@ -17967,19 +17967,19 @@ LAB20: if (t87 != 0) goto LAB15; LAB17: -LAB16: t45 = (t55 + 56U); - t46 = *((char **)t45); - t45 = (t41 + 56U); - t47 = *((char **)t45); - t49 = *((int *)t47); - t45 = ieee_p_1242562249_sub_2423793367844140314_1035706684(t1, t102, t46, t52, t49); - t48 = (t71 + 56U); - t53 = *((char **)t48); - t48 = (t41 + 56U); - t58 = *((char **)t48); - t50 = *((int *)t58); - t48 = ieee_p_1242562249_sub_2423793367844140314_1035706684(t1, t103, t53, t68, t50); - t87 = ieee_p_1242562249_sub_6613294344214855355_1035706684(t1, t45, t102, t48, t103); +LAB16: t8 = (t55 + 56U); + t12 = *((char **)t8); + t8 = (t41 + 56U); + t13 = *((char **)t8); + t10 = *((int *)t13); + t8 = ieee_p_1242562249_sub_2423793367844140314_1035706684(t1, t102, t12, t52, t10); + t15 = (t71 + 56U); + t16 = *((char **)t15); + t15 = (t41 + 56U); + t17 = *((char **)t15); + t19 = *((int *)t17); + t15 = ieee_p_1242562249_sub_2423793367844140314_1035706684(t1, t103, t16, t68, t19); + t87 = ieee_p_1242562249_sub_6613294344214855355_1035706684(t1, t8, t102, t15, t103); t90 = (!(t87)); t0 = t90; @@ -18008,9 +18008,9 @@ LAB12: t98 = (t1 + 5597); LAB14: goto LAB7; -LAB15: t64 = (t1 + 1408U); - t65 = *((char **)t64); - t100 = *((unsigned char *)t65); +LAB15: t27 = (t1 + 1408U); + t28 = *((char **)t27); + t100 = *((unsigned char *)t28); if (t100 == 0) goto LAB21; @@ -18020,8 +18020,8 @@ LAB22: t0 = (unsigned char)0; LAB18: t87 = (unsigned char)1; goto LAB20; -LAB21: t64 = (t1 + 5653); - xsi_report(t64, 52U, (unsigned char)1); +LAB21: t27 = (t1 + 5653); + xsi_report(t27, 52U, (unsigned char)1); goto LAB22; LAB23: goto LAB16; diff --git a/isim/precompiled.exe.sim/ieee/p_1242562249.didat b/isim/precompiled.exe.sim/ieee/p_1242562249.didat index e0295be..34e4e27 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_1242562249.didat and b/isim/precompiled.exe.sim/ieee/p_1242562249.didat differ diff --git a/isim/precompiled.exe.sim/ieee/p_1242562249.lin64.o b/isim/precompiled.exe.sim/ieee/p_1242562249.lin64.o index b26ec87..f42c3a3 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_1242562249.lin64.o and b/isim/precompiled.exe.sim/ieee/p_1242562249.lin64.o differ diff --git a/isim/precompiled.exe.sim/ieee/p_2592010699.didat b/isim/precompiled.exe.sim/ieee/p_2592010699.didat index 3d4570b..7c22f42 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_2592010699.didat and b/isim/precompiled.exe.sim/ieee/p_2592010699.didat differ diff --git a/isim/precompiled.exe.sim/ieee/p_3499444699.c b/isim/precompiled.exe.sim/ieee/p_3499444699.c index 0105e61..349386f 100644 --- a/isim/precompiled.exe.sim/ieee/p_3499444699.c +++ b/isim/precompiled.exe.sim/ieee/p_3499444699.c @@ -18920,67 +18920,67 @@ LAB4: t97 = (t8 + 28U); t74 = *((unsigned int *)t102); t74 = (t74 * 1U); memcpy(t100, t99, t74); - t75 = (t26 + 56U); - t76 = *((char **)t75); - t75 = (t23 + 0U); - t11 = *((int *)t75); - t78 = (t23 + 8U); - t20 = *((int *)t78); + t9 = (t26 + 56U); + t13 = *((char **)t9); + t9 = (t23 + 0U); + t11 = *((int *)t9); + t14 = (t23 + 8U); + t20 = *((int *)t14); t21 = (0 - t11); t10 = (t21 * t20); t22 = (1U * t10); t28 = (0 + t22); - t79 = (t76 + t28); - t93 = *((unsigned char *)t79); + t16 = (t13 + t28); + t93 = *((unsigned char *)t16); t96 = (t93 == (unsigned char)1); if (t96 != 0) goto LAB6; LAB8: -LAB7: t75 = (t72 + 56U); - t76 = *((char **)t75); - t75 = (t76 + 0); - t78 = (t4 + 12U); - t10 = *((unsigned int *)t78); +LAB7: t9 = (t72 + 56U); + t13 = *((char **)t9); + t9 = (t13 + 0); + t14 = (t4 + 12U); + t10 = *((unsigned int *)t14); t10 = (t10 * 1U); - memcpy(t75, t3, t10); - t75 = (t12 + 56U); - t76 = *((char **)t75); - t11 = *((int *)t76); + memcpy(t9, t3, t10); + t9 = (t12 + 56U); + t13 = *((char **)t9); + t11 = *((int *)t13); t20 = 0; t21 = t11; LAB10: if (t20 <= t21) goto LAB11; -LAB13: t75 = (t72 + 56U); - t76 = *((char **)t75); - t75 = (t55 + 12U); - t106 = *((unsigned int *)t75); - t106 = (t106 * 1U); - t0 = xsi_get_transient_memory(t106); - memcpy(t0, t76, t106); - t78 = (t55 + 0U); - t103 = *((int *)t78); - t79 = (t55 + 4U); - t104 = *((int *)t79); - t80 = (t55 + 8U); - t105 = *((int *)t80); - t81 = (t2 + 0U); - t82 = (t81 + 0U); - *((int *)t82) = t103; - t82 = (t81 + 4U); - *((int *)t82) = t104; - t82 = (t81 + 8U); - *((int *)t82) = t105; - t107 = (t104 - t103); - t111 = (t107 * t105); - t111 = (t111 + 1); - t82 = (t81 + 12U); - *((unsigned int *)t82) = t111; +LAB13: t9 = (t72 + 56U); + t13 = *((char **)t9); + t9 = (t55 + 12U); + t10 = *((unsigned int *)t9); + t10 = (t10 * 1U); + t0 = xsi_get_transient_memory(t10); + memcpy(t0, t13, t10); + t14 = (t55 + 0U); + t11 = *((int *)t14); + t16 = (t55 + 4U); + t20 = *((int *)t16); + t17 = (t55 + 8U); + t21 = *((int *)t17); + t18 = (t2 + 0U); + t19 = (t18 + 0U); + *((int *)t19) = t11; + t19 = (t18 + 4U); + *((int *)t19) = t20; + t19 = (t18 + 8U); + *((int *)t19) = t21; + t25 = (t20 - t11); + t22 = (t25 * t21); + t22 = (t22 + 1); + t19 = (t18 + 12U); + *((unsigned int *)t19) = t22; -LAB1: t75 = (t7 + 364U); - xsi_delete_type(t75, 2); +LAB1: t9 = (t7 + 364U); + xsi_delete_type(t9, 2); return t0; LAB3: *((char **)t92) = t3; goto LAB2; @@ -18988,70 +18988,70 @@ LAB3: *((char **)t92) = t3; LAB5: *((char **)t95) = t5; goto LAB4; -LAB6: t80 = (t7 + 364U); - t81 = (t80 + 72U); - t82 = *((char **)t81); - t84 = (t82 + 12U); - t49 = *((unsigned int *)t84); +LAB6: t17 = (t7 + 364U); + t18 = (t17 + 72U); + t19 = *((char **)t18); + t24 = (t19 + 12U); + t49 = *((unsigned int *)t24); t49 = (t49 * 1U); - t85 = xsi_get_transient_memory(t49); - memset(t85, 0, t49); - t87 = t85; - memset(t87, (unsigned char)1, t49); - t88 = (t72 + 56U); - t89 = *((char **)t88); - t88 = (t89 + 0); - t90 = (t7 + 364U); - t91 = (t90 + 72U); - t99 = *((char **)t91); - t100 = (t99 + 12U); - t74 = *((unsigned int *)t100); + t29 = xsi_get_transient_memory(t49); + memset(t29, 0, t49); + t30 = t29; + memset(t30, (unsigned char)1, t49); + t32 = (t72 + 56U); + t33 = *((char **)t32); + t32 = (t33 + 0); + t34 = (t7 + 364U); + t35 = (t34 + 72U); + t38 = *((char **)t35); + t39 = (t38 + 12U); + t74 = *((unsigned int *)t39); t74 = (t74 * 1U); - memcpy(t88, t85, t74); - t75 = (t72 + 56U); - t76 = *((char **)t75); - t75 = (t55 + 12U); - t10 = *((unsigned int *)t75); + memcpy(t32, t29, t74); + t9 = (t72 + 56U); + t13 = *((char **)t9); + t9 = (t55 + 12U); + t10 = *((unsigned int *)t9); t10 = (t10 * 1U); t0 = xsi_get_transient_memory(t10); - memcpy(t0, t76, t10); - t78 = (t55 + 0U); - t11 = *((int *)t78); - t79 = (t55 + 4U); - t20 = *((int *)t79); - t80 = (t55 + 8U); - t21 = *((int *)t80); - t81 = (t2 + 0U); - t82 = (t81 + 0U); - *((int *)t82) = t11; - t82 = (t81 + 4U); - *((int *)t82) = t20; - t82 = (t81 + 8U); - *((int *)t82) = t21; + memcpy(t0, t13, t10); + t14 = (t55 + 0U); + t11 = *((int *)t14); + t16 = (t55 + 4U); + t20 = *((int *)t16); + t17 = (t55 + 8U); + t21 = *((int *)t17); + t18 = (t2 + 0U); + t19 = (t18 + 0U); + *((int *)t19) = t11; + t19 = (t18 + 4U); + *((int *)t19) = t20; + t19 = (t18 + 8U); + *((int *)t19) = t21; t25 = (t20 - t11); t22 = (t25 * t21); t22 = (t22 + 1); - t82 = (t81 + 12U); - *((unsigned int *)t82) = t22; + t19 = (t18 + 12U); + *((unsigned int *)t19) = t22; goto LAB1; LAB9: goto LAB7; -LAB11: t75 = (t26 + 56U); - t78 = *((char **)t75); - t75 = (t23 + 0U); - t25 = *((int *)t75); - t79 = (t23 + 8U); - t27 = *((int *)t79); +LAB11: t9 = (t26 + 56U); + t14 = *((char **)t9); + t9 = (t23 + 0U); + t25 = *((int *)t9); + t16 = (t23 + 8U); + t27 = *((int *)t16); t36 = (t20 - t25); t10 = (t36 * t27); - t80 = (t23 + 4U); - t46 = *((int *)t80); + t17 = (t23 + 4U); + t46 = *((int *)t17); xsi_vhdl_check_range_of_index(t25, t46, t27, t20); t22 = (1U * t10); t28 = (0 + t22); - t81 = (t78 + t28); - t93 = *((unsigned char *)t81); + t18 = (t14 + t28); + t93 = *((unsigned char *)t18); t96 = (t93 == (unsigned char)3); if (t96 != 0) goto LAB14; @@ -19061,95 +19061,95 @@ LAB11: t75 = (t26 + 56U); LAB12: if (t20 == t21) goto LAB13; -LAB20: t103 = (t20 + 1); - t20 = t103; +LAB20: t11 = (t20 + 1); + t20 = t11; goto LAB10; -LAB14: t82 = (t7 + 364U); - t84 = (t82 + 72U); - t85 = *((char **)t84); - t87 = (t85 + 12U); - t49 = *((unsigned int *)t87); +LAB14: t19 = (t7 + 364U); + t24 = (t19 + 72U); + t29 = *((char **)t24); + t30 = (t29 + 12U); + t49 = *((unsigned int *)t30); t49 = (t49 * 1U); - t88 = xsi_get_transient_memory(t49); - memset(t88, 0, t49); - t89 = t88; - memset(t89, (unsigned char)2, t49); - t90 = (t83 + 56U); - t91 = *((char **)t90); - t90 = (t91 + 0); - t99 = (t7 + 364U); - t100 = (t99 + 72U); - t101 = *((char **)t100); - t102 = (t101 + 12U); - t74 = *((unsigned int *)t102); + t32 = xsi_get_transient_memory(t49); + memset(t32, 0, t49); + t33 = t32; + memset(t33, (unsigned char)2, t49); + t34 = (t83 + 56U); + t35 = *((char **)t34); + t34 = (t35 + 0); + t38 = (t7 + 364U); + t39 = (t38 + 72U); + t41 = *((char **)t39); + t42 = (t41 + 12U); + t74 = *((unsigned int *)t42); t74 = (t74 * 1U); - memcpy(t90, t88, t74); + memcpy(t34, t32, t74); t11 = xsi_vhdl_pow(2, t20); - t75 = (t37 + 56U); - t76 = *((char **)t75); - t25 = *((int *)t76); + t9 = (t37 + 56U); + t13 = *((char **)t9); + t25 = *((int *)t13); t93 = (t11 <= t25); if (t93 != 0) goto LAB17; LAB19: -LAB18: t75 = (t83 + 56U); - t76 = *((char **)t75); - t75 = (t72 + 56U); - t78 = *((char **)t75); - t75 = (t78 + 0); - t79 = (t55 + 12U); - t106 = *((unsigned int *)t79); - t106 = (t106 * 1U); - memcpy(t75, t76, t106); +LAB18: t9 = (t83 + 56U); + t13 = *((char **)t9); + t9 = (t72 + 56U); + t14 = *((char **)t9); + t9 = (t14 + 0); + t16 = (t55 + 12U); + t10 = *((unsigned int *)t16); + t10 = (t10 * 1U); + memcpy(t9, t13, t10); goto LAB15; -LAB17: t75 = (t72 + 56U); - t78 = *((char **)t75); - t75 = (t55 + 0U); - t27 = *((int *)t75); - t79 = (t37 + 56U); - t80 = *((char **)t79); - t36 = *((int *)t80); +LAB17: t9 = (t72 + 56U); + t14 = *((char **)t9); + t9 = (t55 + 0U); + t27 = *((int *)t9); + t16 = (t37 + 56U); + t17 = *((char **)t16); + t36 = *((int *)t17); t46 = xsi_vhdl_pow(2, t20); t48 = (t36 - t46); t10 = (t27 - t48); - t79 = (t55 + 4U); - t60 = *((int *)t79); - t81 = (t55 + 8U); - t65 = *((int *)t81); + t16 = (t55 + 4U); + t60 = *((int *)t16); + t18 = (t55 + 8U); + t65 = *((int *)t18); xsi_vhdl_check_range_of_slice(t27, t60, t65, t48, 0, -1); t22 = (t10 * 1U); t28 = (0 + t22); - t82 = (t78 + t28); - t84 = (t83 + 56U); - t85 = *((char **)t84); - t84 = (t55 + 0U); - t70 = *((int *)t84); - t87 = (t37 + 56U); - t88 = *((char **)t87); - t73 = *((int *)t88); + t19 = (t14 + t28); + t24 = (t83 + 56U); + t29 = *((char **)t24); + t24 = (t55 + 0U); + t70 = *((int *)t24); + t30 = (t37 + 56U); + t32 = *((char **)t30); + t73 = *((int *)t32); t49 = (t70 - t73); t103 = xsi_vhdl_pow(2, t20); - t87 = (t55 + 4U); - t104 = *((int *)t87); - t89 = (t55 + 8U); - t105 = *((int *)t89); + t30 = (t55 + 4U); + t104 = *((int *)t30); + t33 = (t55 + 8U); + t105 = *((int *)t33); xsi_vhdl_check_range_of_slice(t70, t104, t105, t73, t103, -1); t74 = (t49 * 1U); t106 = (0 + t74); - t90 = (t85 + t106); - t91 = (t37 + 56U); - t99 = *((char **)t91); - t107 = *((int *)t99); + t34 = (t29 + t106); + t35 = (t37 + 56U); + t38 = *((char **)t35); + t107 = *((int *)t38); t108 = xsi_vhdl_pow(2, t20); t109 = (t107 - t108); t110 = (0 - t109); t111 = (t110 * -1); t111 = (t111 + 1); t112 = (1U * t111); - memcpy(t90, t82, t112); + memcpy(t34, t19, t112); goto LAB18; LAB21:; diff --git a/isim/precompiled.exe.sim/ieee/p_3499444699.didat b/isim/precompiled.exe.sim/ieee/p_3499444699.didat index 6e7e4d7..475e084 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_3499444699.didat and b/isim/precompiled.exe.sim/ieee/p_3499444699.didat differ diff --git a/isim/precompiled.exe.sim/ieee/p_3499444699.lin64.o b/isim/precompiled.exe.sim/ieee/p_3499444699.lin64.o index 4891814..ade4812 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_3499444699.lin64.o and b/isim/precompiled.exe.sim/ieee/p_3499444699.lin64.o differ diff --git a/isim/precompiled.exe.sim/ieee/p_3620187407.didat b/isim/precompiled.exe.sim/ieee/p_3620187407.didat index 54de768..8c85ff3 100644 Binary files a/isim/precompiled.exe.sim/ieee/p_3620187407.didat and b/isim/precompiled.exe.sim/ieee/p_3620187407.didat differ diff --git a/isim/work/fifo.vdb b/isim/work/fifo.vdb index 4307338..9acaf94 100644 Binary files a/isim/work/fifo.vdb and b/isim/work/fifo.vdb differ diff --git a/isim/work/reg_file.vdb b/isim/work/reg_file.vdb index 937e043..18df0d7 100644 Binary files a/isim/work/reg_file.vdb and b/isim/work/reg_file.vdb differ diff --git a/isim/work/spi_slave.vdb b/isim/work/spi_slave.vdb index a5d8ad3..70df6fe 100644 Binary files a/isim/work/spi_slave.vdb and b/isim/work/spi_slave.vdb differ diff --git a/isim/work/spi_test.vdb b/isim/work/spi_test.vdb deleted file mode 100644 index 7ffbd87..0000000 Binary files a/isim/work/spi_test.vdb and /dev/null differ diff --git a/isim/work/spi_test_module.vdb b/isim/work/spi_test_module.vdb deleted file mode 100644 index cde896d..0000000 Binary files a/isim/work/spi_test_module.vdb and /dev/null differ diff --git a/pa.fromHdl.tcl b/pa.fromHdl.tcl index 5b28e0a..efe5ead 100644 --- a/pa.fromHdl.tcl +++ b/pa.fromHdl.tcl @@ -1,7 +1,7 @@ # PlanAhead Launch Script for Pre-Synthesis Floorplanning, created by Project Navigator -create_project -name quad_fpga -dir "/home/jenn/git/quad_fpga/planAhead_run_1" -part xc6slx25ftg256-3 +create_project -name quad_fpga -dir "/home/jenn/quad/quad_fpga/planAhead_run_3" -part xc6slx25ftg256-3 set_param project.pinAheadLayout yes set srcset [get_property srcset [current_run -impl]] set_property top toplevel $srcset @@ -15,7 +15,6 @@ set_property library work $hdlfile set hdlfile [add_files [list {reg_file.vhd}]] set_property file_type VHDL $hdlfile set_property library work $hdlfile -add_files [list {ipcore_dir/fifo.ngc}] set hdlfile [add_files [list {pcm_gen.vhd}]] set_property file_type VHDL $hdlfile set_property library work $hdlfile diff --git a/par_usage_statistics.html b/par_usage_statistics.html index b5262f9..6812998 100644 --- a/par_usage_statistics.html +++ b/par_usage_statistics.html @@ -2,31 +2,31 @@ - - - - - - - - - - - - - + + + + + + + + + + + + + - + - - + + - + - +
ISim Statistics
Xilinx HDL Libraries Used=ieee, xilinxcorelib
Fuse Resource Usage=500 ms, 654724 KB
Xilinx HDL Libraries Used=ieee, unisim, std, xilinxcorelib
Fuse Resource Usage=710 ms, 687104 KB
Total Signals=591
Total Nets=3810
Total Blocks=36
Total Processes=109
Total Simulation Time=10 us
Simulation Resource Usage=0.2 sec, 236314 KB
Total Signals=871
Total Nets=3237
Total Blocks=60
Total Processes=215
Total Simulation Time=8 ms
Simulation Resource Usage=15.83 sec, 236711 KB
Simulation Mode=gui
Hardware CoSim=0
Par Statistics
Total Non-vccgnd Signals=454
Total Non-vccgnd Design Pins=1214
Total Non-vccgnd Conns=1214
Total Non-vccgnd Timing Constrained Conns=1119
Phase 1 CPU=7.6 sec
Phase 2 CPU=9.1 sec
Phase 3 CPU=11.0 sec
Phase 4 CPU=12.2 sec
Phase 5 CPU=13.7 sec
Phase 6 CPU=13.7 sec
Phase 7 CPU=13.7 sec
Phase 8 CPU=13.7 sec
Phase 9 CPU=13.7 sec
Phase 10 CPU=13.8 sec
Total Non-vccgnd Design Pins=1209
Total Non-vccgnd Conns=1209
Total Non-vccgnd Timing Constrained Conns=1114
Phase 1 CPU=4.9 sec
Phase 2 CPU=6.0 sec
Phase 3 CPU=7.5 sec
Phase 4 CPU=8.4 sec
Phase 5 CPU=9.3 sec
Phase 6 CPU=9.3 sec
Phase 7 CPU=9.3 sec
Phase 8 CPU=9.3 sec
Phase 9 CPU=9.3 sec
Phase 10 CPU=9.4 sec
AvgWirelenPerPin Fanout 1=2.0
AvgWirelenPerPin Fanout 2=1.5
AvgWirelenPerPin Fanout 2=3.0
AvgWirelenPerPin Fanout 3=1.0
AvgWirelenPerPin Fanout 4=2.2
AvgWirelenPerPin Fanout 10=1.6
AvgWirelenPerPin Fanout 4=2.5
AvgWirelenPerPin Fanout 10=1.9
AvgWirelenPerPin Fanout 50=2.9
AvgWirelenPerPin Fanout 100=10.3
AvgWirelenPerPin Fanout 100=7.4
AvgWirelenPerPin Fanout 500=0.0
AvgWirelenPerPin Fanout 5000=0.0
AvgWirelenPerPin Fanout 20000=0.0
AvgWirelenPerPin Fanout 50000=0.0
AvgWirelenPerPin Fanout 100000=0.0
IRR Gamma=1.0722
IRR Gamma=1.0676
diff --git a/planAhead_run_3/planAhead.jou b/planAhead_run_3/planAhead.jou index dfec08f..9fcb0d4 100644 --- a/planAhead_run_3/planAhead.jou +++ b/planAhead_run_3/planAhead.jou @@ -1,24 +1,39 @@ #----------------------------------------------------------- # PlanAhead v13.2 (64-bit) # Build 131561 by hdbuild on Thu Jun 16 16:53:07 PDT 2011 -# Start of session at: Wed Nov 7 11:50:48 2012 -# Process ID: 17668 -# Log file: /home/jenn/git/quad_fpga/planAhead_run_3/planAhead.log -# Journal file: /home/jenn/git/quad_fpga/planAhead_run_3/planAhead.jou +# Start of session at: Thu Nov 8 17:37:02 2012 +# Process ID: 18923 +# Log file: /home/jenn/quad/quad_fpga/planAhead_run_3/planAhead.log +# Journal file: /home/jenn/quad/quad_fpga/planAhead_run_3/planAhead.jou #----------------------------------------------------------- start_gui -source /home/jenn/git/quad_fpga/pa.fromNetlist.tcl +source /home/jenn/quad/quad_fpga/pa.fromHdl.tcl startgroup -set_property loc PAD233 [get_ports sclk] +set_property loc PAD65 [get_ports {pcm_out[0]}] endgroup startgroup -set_property loc PAD234 [get_ports miso] +set_property loc PAD66 [get_ports {pcm_out[1]}] endgroup startgroup -set_property loc PAD228 [get_ports mosi] +set_property loc PAD73 [get_ports {pcm_out[2]}] endgroup startgroup -set_property loc PAD227 [get_ports ssel] +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +startgroup +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +startgroup +set_property loc PAD78 [get_ports ssel] +endgroup +startgroup +set_property loc PAD77 [get_ports mosi] +endgroup +startgroup +set_property loc PAD93 [get_ports miso] +endgroup +startgroup +set_property loc PAD94 [get_ports sclk] endgroup save_design exit diff --git a/planAhead_run_3/planAhead.log b/planAhead_run_3/planAhead.log index 824bfbc..878e4a7 100644 --- a/planAhead_run_3/planAhead.log +++ b/planAhead_run_3/planAhead.log @@ -1,78 +1,99 @@ #----------------------------------------------------------- # PlanAhead v13.2 (64-bit) # Build 131561 by hdbuild on Thu Jun 16 16:53:07 PDT 2011 -# Start of session at: Wed Nov 7 11:50:48 2012 -# Process ID: 17668 -# Log file: /home/jenn/git/quad_fpga/planAhead_run_3/planAhead.log -# Journal file: /home/jenn/git/quad_fpga/planAhead_run_3/planAhead.jou +# Start of session at: Thu Nov 8 17:37:02 2012 +# Process ID: 18923 +# Log file: /home/jenn/quad/quad_fpga/planAhead_run_3/planAhead.log +# Journal file: /home/jenn/quad/quad_fpga/planAhead_run_3/planAhead.jou #----------------------------------------------------------- INFO: [Common-78] Attempting to get a license: PlanAhead INFO: [Common-82] Got a license: PlanAhead -INFO: [Common-86] Your PlanAhead license expires in -343 day(s) +INFO: [Common-86] Your PlanAhead license expires in -344 day(s) INFO: [ArchReader-0] Loading parts and site information from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/arch.xml Parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml] Finished parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml] start_gui -source /home/jenn/git/quad_fpga/pa.fromNetlist.tcl -# create_project -name quad_fpga -dir "/home/jenn/git/quad_fpga/planAhead_run_3" -part xc6slx25ftg256-3 +source /home/jenn/quad/quad_fpga/pa.fromHdl.tcl +# create_project -name quad_fpga -dir "/home/jenn/quad/quad_fpga/planAhead_run_3" -part xc6slx25ftg256-3 Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml]. Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml]. Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml]. -# set_property design_mode GateLvl [get_property srcset [current_run -impl]] -# set_property edif_top_file "/home/jenn/git/quad_fpga/toplevel.ngc" [ get_property srcset [ current_run ] ] -# add_files -norecurse { {/home/jenn/git/quad_fpga} {ipcore_dir} } -# add_files "ipcore_dir/fifo.ncf" -fileset [get_property constrset [current_run]] -# set_param project.pinAheadLayout yes +# set_param project.pinAheadLayout yes +# set srcset [get_property srcset [current_run -impl]] +# set_property top toplevel $srcset # set_param project.paUcfFile "toplevel.ucf" +# set hdlfile [add_files [list {ipcore_dir/fifo.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {spi_slave.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {reg_file.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {pcm_gen.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {mem_spi.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {ipcore_dir/clk_100mhz.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {toplevel.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile # add_files "toplevel.ucf" -fileset [get_property constrset [current_run]] -# open_netlist_design -INFO: Design is defaulting to active srcset 'sources_1' -INFO: Design is defaulting to active constrset 'constrs_1' -INFO: Design is defaulting to constrset part: xc6slx25ftg256-3 -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Reading design toplevel.ngc ... -WARNING:NetListWriters:298 - No output is written to toplevel.xncf, ignored. -Processing design ... - Preping design's networks ... - Preping design's macros ... -WARNING:NetListWriters:306 - Signal bus mem/sclk_count<9 : 0> on block toplevel - is not reconstructed, because there are some missing bus signals. - finished :Prep -Writing EDIF netlist file toplevel.edif ... -ngc2edif: Total memory usage is 72132 kilobytes - -Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/toplevel.edif] -CRITICAL WARNING: [ALGAI-0] Incorrect value '83.3333333333' specified for property 'CLKIN_PERIOD' (file = toplevel.ngc, line = 1180) -Finished Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/toplevel.edif] -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Reading design fifo.ngc ... -WARNING:NetListWriters:298 - No output is written to fifo.xncf, ignored. -Processing design ... - Preping design's networks ... - Preping design's macros ... -WARNING:NetListWriters:306 - Signal bus - U0/xst_fifo_generator/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.rd_rst_reg<2 : - 0> on block fifo is not reconstructed, because there are some missing bus - signals. - finished :Prep -Writing EDIF netlist file fifo.edif ... -ngc2edif: Total memory usage is 70992 kilobytes - -Reading core file '/home/jenn/git/quad_fpga/ipcore_dir/fifo.ngc' for (cell view 'fifo', library 'toplevel_lib', file 'toplevel.ngc') -Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/fifo.edif] -Finished Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/fifo.edif] -WARNING: [Netlist-3] Netlist 'toplevel' is not ideal for floorplanning, since the cellview 'toplevel' defined in file 'toplevel.ngc' contains large number of primitives. Please consider enabling hierarchy in synthesis before floorplan. You can enable hierarchy in XST by setting '-keep_hierarchy=yes' or '-netlist_hierarchy=rebuilt' flags. +# add_files "ipcore_dir/fifo.ncf" -fileset [get_property constrset [current_run]] +# open_rtl_design -part xc6slx25ftg256-3 +INFO: [PlanAhead-58] Using Verific elaboration +Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify +Parsing package . +Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify +Parsing package . +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/fifo.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/spi_slave.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:361] +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:369] +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:378] +Parsing VHDL file "/home/jenn/quad/quad_fpga/reg_file.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/pcm_gen.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/mem_spi.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-946] Actual for formal port din is neither a static name nor a globally static expression [/home/jenn/quad/quad_fpga/mem_spi.vhd:152] +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/toplevel.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-746] Range is empty (null range) [/build/xfndry/O.61xb/env/TOS/Verific/verific/vhdl_packages/numeric_std.vhd:878] +WARNING: [HDL-746] Range is empty (null range) [/build/xfndry/O.61xb/env/TOS/Verific/verific/vhdl_packages/numeric_std.vhd:879] +WARNING: [HDL-871] Using initial value '0' for wr_en since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:24] +WARNING: [HDL-871] Using initial value "00000000" for wr_addr since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:25] +WARNING: [HDL-871] Using initial value "00000000" for wr_data since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:26] +WARNING: [HDL-871] Using initial value '0' for fifo_rst since it is never assigned [/home/jenn/quad/quad_fpga/mem_spi.vhd:73] +Removing all analyzed parse trees +CRITICAL WARNING: [ALGAI-0] Incorrect value '83.3333333333' specified for property 'CLKIN_PERIOD' (file = clk_100mhz.vhd, line = 115) +WARNING: [EDIF-96] Could not resolve non-primitive black box cell 'fifo' defined in file 'fifo.vhd' instantiated as 'afifo'. INFO: [ArchReader-7] Loading clock regions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/spartan6lx/xc6slx25/ClockRegion.xml INFO: [ArchReader-8] Loading clock buffers from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/spartan6lx/xc6slx25/ClockBuffers.xml INFO: [ArchReader-13] Loading package pin functions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/PinFunctions.xml... @@ -80,35 +101,65 @@ INFO: [ArchReader-3] Loading package from /opt/Xilinx/13.2/ISE_DS/PlanAhead/part INFO: [ArchReader-4] Loading io standards from /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan6/IOStandards.xml INFO: [ArchReader-12] Loading device configuration modes from INFO: [GDRC-0] Loading list of drcs for the architecture : /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan6/drc.xml -Parsing UCF File [/home/jenn/git/quad_fpga/ipcore_dir/fifo.ncf] -Finished Parsing UCF File [/home/jenn/git/quad_fpga/ipcore_dir/fifo.ncf] -Parsing UCF File [/home/jenn/git/quad_fpga/toplevel.ucf] -Finished Parsing UCF File [/home/jenn/git/quad_fpga/toplevel.ucf] +Parsing UCF File [/home/jenn/quad/quad_fpga/ipcore_dir/fifo.ncf] +Finished Parsing UCF File [/home/jenn/quad/quad_fpga/ipcore_dir/fifo.ncf] +Parsing UCF File [/home/jenn/quad/quad_fpga/toplevel.ucf] +Finished Parsing UCF File [/home/jenn/quad/quad_fpga/toplevel.ucf] INFO: [Project-5] Unisim Transformation Summary: No Unisim elements were transformed. -open_netlist_design: Time (s): 15.780u 0.400s 9.990w. Memory (MB): 4539.801p 153.375g -ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)ERROR: [#UNDEF] *** Exception: java.lang.NullPointerException (See /home/jenn/git/quad_fpga/planAhead_pid17668.debug)startgroup +open_rtl_design: Time (s): 9.780u 0.280s 7.190w. Memory (MB): 4533.930p 140.613g +startgroup +startgroup +set_property loc PAD65 [get_ports {pcm_out[0]}] +set_property loc PAD65 [get_ports {pcm_out[0]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD66 [get_ports {pcm_out[1]}] +set_property loc PAD66 [get_ports {pcm_out[1]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD73 [get_ports {pcm_out[2]}] +set_property loc PAD73 [get_ports {pcm_out[2]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD74 [get_ports {pcm_out[3]}] +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD74 [get_ports {pcm_out[3]}] +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +endgroup +startgroup startgroup -set_property loc PAD233 [get_ports sclk] -set_property loc PAD233 [get_ports sclk] +set_property loc PAD78 [get_ports ssel] +set_property loc PAD78 [get_ports ssel] endgroup endgroup startgroup startgroup -set_property loc PAD234 [get_ports miso] -set_property loc PAD234 [get_ports miso] +set_property loc PAD77 [get_ports mosi] +set_property loc PAD77 [get_ports mosi] endgroup endgroup startgroup startgroup -set_property loc PAD228 [get_ports mosi] -set_property loc PAD228 [get_ports mosi] +set_property loc PAD93 [get_ports miso] +set_property loc PAD93 [get_ports miso] endgroup endgroup startgroup startgroup -set_property loc PAD227 [get_ports ssel] -set_property loc PAD227 [get_ports ssel] +set_property loc PAD94 [get_ports sclk] +set_property loc PAD94 [get_ports sclk] endgroup endgroup save_design diff --git a/planAhead_run_3/planAhead_run.log b/planAhead_run_3/planAhead_run.log index 4be5a25..df8862a 100644 --- a/planAhead_run_3/planAhead_run.log +++ b/planAhead_run_3/planAhead_run.log @@ -5,71 +5,92 @@ INFO: [Common-78] Attempting to get a license: PlanAhead INFO: [Common-82] Got a license: PlanAhead -INFO: [Common-86] Your PlanAhead license expires in -343 day(s) +INFO: [Common-86] Your PlanAhead license expires in -344 day(s) INFO: [ArchReader-0] Loading parts and site information from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/arch.xml Parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml] Finished parsing RTL primitives file [/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/prims/rtl_prims.xml] start_gui starting gui ... -source /home/jenn/git/quad_fpga/pa.fromNetlist.tcl -# create_project -name quad_fpga -dir "/home/jenn/git/quad_fpga/planAhead_run_3" -part xc6slx25ftg256-3 +source /home/jenn/quad/quad_fpga/pa.fromHdl.tcl +# create_project -name quad_fpga -dir "/home/jenn/quad/quad_fpga/planAhead_run_3" -part xc6slx25ftg256-3 Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/verilog.xml]. Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/vhdl.xml]. Parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml]. Finished parsing template File [/opt/Xilinx/13.2/ISE_DS/ISE/data/projnav/templates/ucf.xml]. -# set_property design_mode GateLvl [get_property srcset [current_run -impl]] -# set_property edif_top_file "/home/jenn/git/quad_fpga/toplevel.ngc" [ get_property srcset [ current_run ] ] -# add_files -norecurse { {/home/jenn/git/quad_fpga} {ipcore_dir} } -# add_files "ipcore_dir/fifo.ncf" -fileset [get_property constrset [current_run]] -# set_param project.pinAheadLayout yes +# set_param project.pinAheadLayout yes +# set srcset [get_property srcset [current_run -impl]] +# set_property top toplevel $srcset # set_param project.paUcfFile "toplevel.ucf" +# set hdlfile [add_files [list {ipcore_dir/fifo.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {spi_slave.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {reg_file.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {pcm_gen.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {mem_spi.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {ipcore_dir/clk_100mhz.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile +# set hdlfile [add_files [list {toplevel.vhd}]] +# set_property file_type VHDL $hdlfile +# set_property library work $hdlfile # add_files "toplevel.ucf" -fileset [get_property constrset [current_run]] -# open_netlist_design -INFO: Design is defaulting to active srcset 'sources_1' -INFO: Design is defaulting to active constrset 'constrs_1' -INFO: Design is defaulting to constrset part: xc6slx25ftg256-3 -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Reading design toplevel.ngc ... -WARNING:NetListWriters:298 - No output is written to toplevel.xncf, ignored. -Processing design ... - Preping design's networks ... - Preping design's macros ... -WARNING:NetListWriters:306 - Signal bus mem/sclk_count<9 : 0> on block toplevel - is not reconstructed, because there are some missing bus signals. - finished :Prep -Writing EDIF netlist file toplevel.edif ... -ngc2edif: Total memory usage is 72132 kilobytes - -Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/toplevel.edif] -Finished Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/toplevel.edif] -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. - -Release 13.2 - ngc2edif O.61xd (lin64) -Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Reading design fifo.ngc ... -WARNING:NetListWriters:298 - No output is written to fifo.xncf, ignored. -Processing design ... - Preping design's networks ... - Preping design's macros ... -WARNING:NetListWriters:306 - Signal bus - U0/xst_fifo_generator/gconvfifo.rf/grf.rf/rstblk/ngwrdrst.grst.rd_rst_reg<2 : - 0> on block fifo is not reconstructed, because there are some missing bus - signals. - finished :Prep -Writing EDIF netlist file fifo.edif ... -ngc2edif: Total memory usage is 70992 kilobytes - -Reading core file '/home/jenn/git/quad_fpga/ipcore_dir/fifo.ngc' for (cell view 'fifo', library 'toplevel_lib', file 'toplevel.ngc') -Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/fifo.edif] -Finished Parsing EDIF File [./.Xil-PlanAhead-17668-jenn-laptop/ngc2edif/fifo.edif] -WARNING: [Netlist-3] Netlist 'toplevel' is not ideal for floorplanning, since the cellview 'toplevel' defined in file 'toplevel.ngc' contains large number of primitives. Please consider enabling hierarchy in synthesis before floorplan. You can enable hierarchy in XST by setting '-keep_hierarchy=yes' or '-netlist_hierarchy=rebuilt' flags. +# add_files "ipcore_dir/fifo.ncf" -fileset [get_property constrset [current_run]] +# open_rtl_design -part xc6slx25ftg256-3 +INFO: [PlanAhead-58] Using Verific elaboration +Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify +Parsing package . +Parsing VHDL file "/opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/rtl/lib/synplify/synattr.vhd" into library synplify +Parsing package . +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/fifo.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/spi_slave.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:361] +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:369] +WARNING: [HDL-957] Case choice must be a locally static expression [/home/jenn/quad/quad_fpga/spi_slave.vhd:378] +Parsing VHDL file "/home/jenn/quad/quad_fpga/reg_file.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/pcm_gen.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/mem_spi.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-946] Actual for formal port din is neither a static name nor a globally static expression [/home/jenn/quad/quad_fpga/mem_spi.vhd:152] +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz/example_design/clk_100mhz_exdes.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/ipcore_dir/clk_100mhz.vhd" into library work +Parsing entity . +Parsing architecture of entity . +Parsing VHDL file "/home/jenn/quad/quad_fpga/toplevel.vhd" into library work +Parsing entity . +Parsing architecture of entity . +WARNING: [HDL-746] Range is empty (null range) [/build/xfndry/O.61xb/env/TOS/Verific/verific/vhdl_packages/numeric_std.vhd:878] +WARNING: [HDL-746] Range is empty (null range) [/build/xfndry/O.61xb/env/TOS/Verific/verific/vhdl_packages/numeric_std.vhd:879] +WARNING: [HDL-871] Using initial value '0' for wr_en since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:24] +WARNING: [HDL-871] Using initial value "00000000" for wr_addr since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:25] +WARNING: [HDL-871] Using initial value "00000000" for wr_data since it is never assigned [/home/jenn/quad/quad_fpga/toplevel.vhd:26] +WARNING: [HDL-871] Using initial value '0' for fifo_rst since it is never assigned [/home/jenn/quad/quad_fpga/mem_spi.vhd:73] +Removing all analyzed parse trees +WARNING: [EDIF-96] Could not resolve non-primitive black box cell 'fifo' defined in file 'fifo.vhd' instantiated as 'afifo'. INFO: [ArchReader-7] Loading clock regions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/spartan6lx/xc6slx25/ClockRegion.xml INFO: [ArchReader-8] Loading clock buffers from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/spartan6lx/xc6slx25/ClockBuffers.xml INFO: [ArchReader-13] Loading package pin functions from /opt/Xilinx/13.2/ISE_DS/PlanAhead/parts/xilinx/spartan6/PinFunctions.xml... @@ -77,35 +98,65 @@ INFO: [ArchReader-3] Loading package from /opt/Xilinx/13.2/ISE_DS/PlanAhead/part INFO: [ArchReader-4] Loading io standards from /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan6/IOStandards.xml INFO: [ArchReader-12] Loading device configuration modes from INFO: [GDRC-0] Loading list of drcs for the architecture : /opt/Xilinx/13.2/ISE_DS/PlanAhead/./parts/xilinx/spartan6/drc.xml -Parsing UCF File [/home/jenn/git/quad_fpga/ipcore_dir/fifo.ncf] -Finished Parsing UCF File [/home/jenn/git/quad_fpga/ipcore_dir/fifo.ncf] -Parsing UCF File [/home/jenn/git/quad_fpga/toplevel.ucf] -Finished Parsing UCF File [/home/jenn/git/quad_fpga/toplevel.ucf] +Parsing UCF File [/home/jenn/quad/quad_fpga/ipcore_dir/fifo.ncf] +Finished Parsing UCF File [/home/jenn/quad/quad_fpga/ipcore_dir/fifo.ncf] +Parsing UCF File [/home/jenn/quad/quad_fpga/toplevel.ucf] +Finished Parsing UCF File [/home/jenn/quad/quad_fpga/toplevel.ucf] INFO: [Project-5] Unisim Transformation Summary: No Unisim elements were transformed. -open_netlist_design: Time (s): 15.780u 0.400s 9.990w. Memory (MB): 4539.801p 153.375g +open_rtl_design: Time (s): 9.780u 0.280s 7.190w. Memory (MB): 4533.930p 140.613g +startgroup +startgroup +set_property loc PAD65 [get_ports {pcm_out[0]}] +set_property loc PAD65 [get_ports {pcm_out[0]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD66 [get_ports {pcm_out[1]}] +set_property loc PAD66 [get_ports {pcm_out[1]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD73 [get_ports {pcm_out[2]}] +set_property loc PAD73 [get_ports {pcm_out[2]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD74 [get_ports {pcm_out[3]}] +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +endgroup +startgroup +startgroup +set_property loc PAD74 [get_ports {pcm_out[3]}] +set_property loc PAD74 [get_ports {pcm_out[3]}] +endgroup +endgroup startgroup startgroup -set_property loc PAD233 [get_ports sclk] -set_property loc PAD233 [get_ports sclk] +set_property loc PAD78 [get_ports ssel] +set_property loc PAD78 [get_ports ssel] endgroup endgroup startgroup startgroup -set_property loc PAD234 [get_ports miso] -set_property loc PAD234 [get_ports miso] +set_property loc PAD77 [get_ports mosi] +set_property loc PAD77 [get_ports mosi] endgroup endgroup startgroup startgroup -set_property loc PAD228 [get_ports mosi] -set_property loc PAD228 [get_ports mosi] +set_property loc PAD93 [get_ports miso] +set_property loc PAD93 [get_ports miso] endgroup endgroup startgroup startgroup -set_property loc PAD227 [get_ports ssel] -set_property loc PAD227 [get_ports ssel] +set_property loc PAD94 [get_ports sclk] +set_property loc PAD94 [get_ports sclk] endgroup endgroup save_design diff --git a/planAhead_run_3/quad_fpga.data/constrs_1/designprops.xml b/planAhead_run_3/quad_fpga.data/constrs_1/designprops.xml index 162f46e..b9e3b8a 100644 --- a/planAhead_run_3/quad_fpga.data/constrs_1/designprops.xml +++ b/planAhead_run_3/quad_fpga.data/constrs_1/designprops.xml @@ -12,9 +12,9 @@ + - diff --git a/planAhead_run_3/quad_fpga.data/constrs_1/fileset.xml b/planAhead_run_3/quad_fpga.data/constrs_1/fileset.xml index bdc2058..19c7ee2 100644 --- a/planAhead_run_3/quad_fpga.data/constrs_1/fileset.xml +++ b/planAhead_run_3/quad_fpga.data/constrs_1/fileset.xml @@ -2,10 +2,10 @@ - - + + diff --git a/planAhead_run_3/quad_fpga.data/runs/impl_1.psg b/planAhead_run_3/quad_fpga.data/runs/impl_1.psg deleted file mode 100644 index 517cf0a..0000000 --- a/planAhead_run_3/quad_fpga.data/runs/impl_1.psg +++ /dev/null @@ -1,18 +0,0 @@ - - - - ISE Defaults, including packing registers in IOs off - - - - - - - - - - - - - - diff --git a/planAhead_run_3/quad_fpga.data/runs/runs.xml b/planAhead_run_3/quad_fpga.data/runs/runs.xml deleted file mode 100644 index 788350b..0000000 --- a/planAhead_run_3/quad_fpga.data/runs/runs.xml +++ /dev/null @@ -1,5 +0,0 @@ - - - - - diff --git a/planAhead_run_3/quad_fpga.data/sources_1/fileset.xml b/planAhead_run_3/quad_fpga.data/sources_1/fileset.xml index c3d72f9..36bf957 100644 --- a/planAhead_run_3/quad_fpga.data/sources_1/fileset.xml +++ b/planAhead_run_3/quad_fpga.data/sources_1/fileset.xml @@ -1,12 +1,22 @@ - - + + - + - + + + + + + + + + + + @@ -15,9 +25,8 @@ - diff --git a/planAhead_run_3/quad_fpga.data/sources_1/ports.xml b/planAhead_run_3/quad_fpga.data/sources_1/ports.xml index 2ce4c05..c423709 100644 --- a/planAhead_run_3/quad_fpga.data/sources_1/ports.xml +++ b/planAhead_run_3/quad_fpga.data/sources_1/ports.xml @@ -9,9 +9,9 @@ + - diff --git a/planAhead_run_3/quad_fpga.data/wt/webtalk_pa.xml b/planAhead_run_3/quad_fpga.data/wt/webtalk_pa.xml index b385119..e7c5933 100644 --- a/planAhead_run_3/quad_fpga.data/wt/webtalk_pa.xml +++ b/planAhead_run_3/quad_fpga.data/wt/webtalk_pa.xml @@ -3,16 +3,17 @@ - +
- +
- + + @@ -22,7 +23,7 @@ This means code written to parse this file will need to be revisited each subseq - +
diff --git a/planAhead_run_3/quad_fpga.ppr b/planAhead_run_3/quad_fpga.ppr index ed59226..1f60668 100644 --- a/planAhead_run_3/quad_fpga.ppr +++ b/planAhead_run_3/quad_fpga.ppr @@ -2,7 +2,6 @@ - diff --git a/quad_fpga.gise b/quad_fpga.gise index 8572fe4..847b3a1 100644 --- a/quad_fpga.gise +++ b/quad_fpga.gise @@ -91,13 +91,9 @@ - + - - - - @@ -116,23 +112,20 @@ - + - - + - - - - - + + + @@ -143,29 +136,26 @@ - + - - - - + + - + - - - + - - + + + @@ -218,11 +208,11 @@ - + - + @@ -232,11 +222,9 @@ - + - - @@ -247,7 +235,7 @@ - + @@ -261,7 +249,7 @@ - + @@ -274,7 +262,7 @@ - + diff --git a/quad_fpga.xise b/quad_fpga.xise index f2c101e..18d11f9 100644 --- a/quad_fpga.xise +++ b/quad_fpga.xise @@ -324,7 +324,7 @@ - + diff --git a/test_fpga/Makefile b/test_fpga/Makefile new file mode 100755 index 0000000..ccb09bf --- /dev/null +++ b/test_fpga/Makefile @@ -0,0 +1,87 @@ +LDFLAGS := -Wl,-lm -lm -lprintf_flt -Wl,-u,vfprintf + +ifdef SystemRoot + SHELL := cmd.exe + RM := rm -rf + TOOLCHAIN_PATH :=C:/Program Files (x86)/Atmel/AVR Studio 5.0/AVR ToolChain/bin/ + GCC :=$(TOOLCHAIN_PATH)/avr-gcc.exe + SIZE :=$(TOOLCHAIN_PATH)/avr-size.exe + OBJCOPY :=$(TOOLCHAIN_PATH)/avr-objcopy.exe +else + RM := rm -rf + GCC := avr-gcc + SIZE := avr-size + OBJCOPY := avr-objcopy +endif + + +COMMA :=, + +DEVICE := atmega328 +DEFS:= F_CPU=16000000 + + +PROJ_NAME := fpga_test +C_DEPS := +LIBS := serial +LIB_DIR :=. + +export C_OPTS := -O3 -g2 -Wall -std=gnu99 -mmcu=$(DEVICE) + + + + + +export DEFSTRING := $(addprefix -D,$(DEFS)) +LIB_PATHS := $(foreach lib,$(LIBS),$(LIB_DIR)/$(lib)) +LIB_FILES := $(foreach lib,$(LIBS),$(LIB_DIR)/$(lib)/$(lib).c) +INC_PATHS := $(addprefix -I,$(LIB_PATHS)) +LINK_LIB_PATHS := $(addprefix -Wl$(COMMA)-L,$(LIB_PATHS)) +LINK_LIBS := $(addprefix -Wl$(COMMA)-l,$(LIBS)) + +SOURCES := $(PROJ_NAME).c +SOURCES += $(addsuffix .c,$(C_DEPS)) +SOURCES += $(LIB_FILES) + +OBJS := $(SOURCES:%.c=%.o) + + + +.PHONY: all libs $(LIB_PATHS) clean + + +all: $(PROJ_NAME).elf $(PROJ_NAME).hex size + + +$(PROJ_NAME).elf: $(OBJS) + @echo Building target: $@ + "$(GCC)" -mmcu=$(DEVICE) -o $(PROJ_NAME).elf $(OBJS) $(LDFLAGS) + @echo Finished building target: $@ + + +$(PROJ_NAME).hex: $(PROJ_NAME).elf + @echo Building target: $@ + "$(OBJCOPY)" -O ihex -R .eeprom -R .fuse -R .lock -R .signature $< $@ + @echo Finished building target: $@ + + +size: $(PROJ_NAME).elf + @"$(SIZE)" $(PROJ_NAME).elf + + +%.o: %.c libs + @echo Building file: $< + "$(GCC)" $(C_OPTS) $(DEFSTRING) $(INC_PATHS) -o $@ -c $< + @echo Finished building: $< + + +libs: $(LIB_FILES) + +$(LIB_FILES): + @echo Building libs! + cd $(@D) + "$(GCC)" $(C_OPTS) $(DEFSTRING) -o $(@F) -c $< + @echo Finished building: $< + +clean: + -$(RM) $(OBJS) $(PROJ_NAME).hex $(PROJ_NAME).elf diff --git a/test_fpga/Makefile2 b/test_fpga/Makefile2 new file mode 100644 index 0000000..d58dfd5 --- /dev/null +++ b/test_fpga/Makefile2 @@ -0,0 +1,87 @@ +LDFLAGS := -Wl,-lm -lm -lprintf_flt -Wl,-u,vfprintf + +ifdef SystemRoot + SHELL := cmd.exe + RM := rm -rf + TOOLCHAIN_PATH :=C:/Program Files (x86)/Atmel/AVR Studio 5.0/AVR ToolChain/bin/ + GCC :=$(TOOLCHAIN_PATH)/avr-gcc.exe + SIZE :=$(TOOLCHAIN_PATH)/avr-size.exe + OBJCOPY :=$(TOOLCHAIN_PATH)/avr-objcopy.exe +else + RM := rm -rf + GCC := avr-gcc + SIZE := avr-size + OBJCOPY := avr-objcopy +endif + + +COMMA :=, + +DEVICE := atmega328 +DEFS:= F_CPU=16000000 + + +PROJ_NAME := test_fpga +C_DEPS := +LIBS := serial +LIB_DIR :=. + +export C_OPTS := -O3 -g2 -Wall -std=gnu99 -mmcu=$(DEVICE) + + + + + +export DEFSTRING := $(addprefix -D,$(DEFS)) +LIB_PATHS := $(foreach lib,$(LIBS),$(LIB_DIR)/$(lib)) +LIB_FILES := $(foreach lib,$(LIBS),$(LIB_DIR)/$(lib)/$(lib).c) +INC_PATHS := $(addprefix -I,$(LIB_PATHS)) +LINK_LIB_PATHS := $(addprefix -Wl$(COMMA)-L,$(LIB_PATHS)) +LINK_LIBS := $(addprefix -Wl$(COMMA)-l,$(LIBS)) + +SOURCES := $(PROJ_NAME).c +SOURCES += $(addsuffix .c,$(C_DEPS)) +SOURCES += $(LIB_FILES) + +OBJS := $(SOURCES:%.c=%.o) + + + +.PHONY: all libs $(LIB_PATHS) clean + + +all: $(PROJ_NAME).elf $(PROJ_NAME).hex size + + +$(PROJ_NAME).elf: $(OBJS) + @echo Building target: $@ + "$(GCC)" -mmcu=$(DEVICE) -o $(PROJ_NAME).elf $(OBJS) $(LDFLAGS) + @echo Finished building target: $@ + + +$(PROJ_NAME).hex: $(PROJ_NAME).elf + @echo Building target: $@ + "$(OBJCOPY)" -O ihex -R .eeprom -R .fuse -R .lock -R .signature $< $@ + @echo Finished building target: $@ + + +size: $(PROJ_NAME).elf + @"$(SIZE)" -C --mcu=$(DEVICE) $(PROJ_NAME).elf + + +%.o: %.c libs + @echo Building file: $< + "$(GCC)" $(C_OPTS) $(DEFSTRING) $(INC_PATHS) -o $@ -c $< + @echo Finished building: $< + + +libs: $(LIB_FILES) + +$(LIB_FILES): + @echo Building libs! + cd $(@D) + "$(GCC)" $(C_OPTS) $(DEFSTRING) -o $(@F) -c $< + @echo Finished building: $< + +clean: + -$(RM) $(OBJS) $(PROJ_NAME).hex $(PROJ_NAME).elf diff --git a/test_fpga/carduimu.hex b/test_fpga/carduimu.hex new file mode 100755 index 0000000..973bf06 --- /dev/null +++ b/test_fpga/carduimu.hex @@ -0,0 +1,878 @@ +:100000000C94DB000C94F8000C94F8000C94F800AD +:100010000C94F8000C94F8000C94F8000C948D0FDC +:100020000C94F8000C94F8000C94F8000C94251033 +:100030000C94F8000C94F8000C945F0D0C94F800EC +:100040000C94F8000C94F8000C94F6040C944A05F7 +:100050000C94F8000C94F8000C94F8000C94F80040 +:100060000C94F8000C94F800084AD73B3BCE016E84 +:1000700084BCBFFDC12F3D6C74319ABD56833DDAFF +:100080003D00C77F11BED9E4BB4C3E916BAAAABE0E +:10009000000000803F05A84CCDB2D44EB93836A937 +:1000A000020C50B9918688083CA6AAAA2ABE000074 +:1000B00000803F6E616E00696E660000407A10F34A +:1000C0005A00A0724E18090010A5D4E80000E87686 +:1000D00048170000E40B54020000CA9A3B000000DD +:1000E000E1F505000080969800000040420F0000F6 +:1000F00000A08601000000102700000000E80300B7 +:100100000000006400000000000A00000000000180 +:1001100000000000002C76D888DC674F0823DFC180 +:10012000DFAE59E1B1B796E5E3E453C63AE651993B +:100130007696E8E6C28426EB898C9B62ED407C6F64 +:10014000FCEFBC9C9F40F2BAA56FA5F490055A2A1B +:10015000F75C936B6CF9676DC11BFCE0E40D47FE27 +:10016000F520E6B500D0ED902E030094357705001C +:1001700080841E080000204E0A000000C80C3333A3 +:1001800033330F986E12831141EF8D2114893BE6B2 +:100190005516CFFEE6DB18D1844B381BF77C1D903B +:1001A0001DA4BBE424203284725E228100C9F124A4 +:1001B000ECA1E53D270011241FBECFEFD8E0DEBF44 +:1001C000CDBF13E0A6E0B1E001C01D92AA32B10795 +:1001D000E1F711E0A0E0B1E0E2EBF6E302C0059048 +:1001E0000D92A630B107D9F70E94FA000C94571B64 +:1001F0000C9400002F923F924F925F926F927F92E9 +:100200008F929F92AF92BF92CF92DF92EF92FF9226 +:100210000F931F93DF93CF9300D000D0CDB7DEB7FD +:100220008AE097EDA3EABDE38093AE029093AF021C +:10023000A093B002B093B1028AE999E9A9E1BEE3C3 +:100240008093B2029093B302A093B402B093B5022C +:100250000F2EF0E04F2EF0E05F2EF0E06F2EF0E07A +:100260007F2EF02D4092020350920303609204030C +:10027000709205038BE391E04DEC5CEC6CE47FE362 +:100280000DEC1CEC2CEC3DE30F2EFDECCF2EFCEC2A +:10029000DF2EFCECEF2EFEE3FF2EF02D0F2EFEE303 +:1002A0008F2EFBE39F2EF0EEAF2EFDE3BF2EF02D41 +:1002B0000E94F30B6091B2027091B3028091B4027C +:1002C0009091B50223E33AE845EE5BE30E94E71024 +:1002D0004B015C0187E591E04DEC5CEC6CE47FE365 +:1002E0000DEC1CEC2CEC3DE30E94F30B8090020320 +:1002F00090900303A0900403B090050383E291E083 +:100300004DEC5CEC6CE47FE30DEC1CEC2CEC3DE381 +:100310000E94F30B4092170150921801609219014C +:1003200070921A0140921F015092200160922101A7 +:10033000709222018091020390910303A091040323 +:10034000B09105038093130190931401A0931501BC +:10035000B09316010E94EA0E15C080E00E94820E42 +:10036000B80117FD10C181E00E94820EB601D7FCD2 +:1003700012C182E00E94820EB701F7FC14C183E033 +:100380000E94820EC0901701D0901801E0901901D0 +:10039000F0901A016091AE027091AF028091B002AC +:1003A0009091B10221ED30E941EF5CE30E94E7104A +:1003B0008B019C018BE391E0B701A6010E94320CF6 +:1003C00020E030E040E450E40E9468136093530161 +:1003D000709354018093550190935601C0901F0172 +:1003E000D0902001E0902101F09022016091B202B2 +:1003F0007091B3028091B4029091B50223E33AE880 +:1004000045EE5BE30E94E7108B019C0187E591E0DC +:10041000B701A6010E94320C20E030E040E450E435 +:100420000E946813F62E072F182FE92E862F902F83 +:10043000A12FBE2D80931B0190931C01A0931D0141 +:10044000B0931E0180E090E0DC0180930F01909357 +:100450001001A0931101B0931201609053017090AC +:100460005401809055019090560160910E0170E00A +:10047000882777FD8095982F0E944F1269837A8391 +:100480008B839C83A40193010E94E610D62EC72E75 +:10049000B82EA92EA6019501652F742F832F922FB8 +:1004A0002F2D302F412F5E2D0E94E6100E941C122E +:1004B000362E272E6F2D702F812F9E2DD601F50100 +:1004C0002B2F3A2F4F2F5E2F0E94E7100E941C12F5 +:1004D000562E472EC401B30129813A814B815C819C +:1004E0000E94E710D62EC72EB82EA92EA601950180 +:1004F000652F742F832F922F2F2D302F412F5E2D9C +:100500000E94E6100E941C12962E872E6F2D702FCF +:10051000812F9E2DD601F5012B2F3A2F4F2F5E2FC5 +:100520000E94E7100E941C129B01C101692F782FC5 +:100530006135710514F060E570E0C201092F142DDA +:100540000135110514F000E510E0C401E92FF82D84 +:100550006F0191E5C916D1041CF090E5C92ED12C8C +:10056000E22EF32EA1E5EA16F1041CF080E5E82E58 +:10057000F12C77FFF2CE60E070E080E00E94820E06 +:10058000B80117FFF0CE60E070E081E00E94820EBB +:10059000B601D7FEEECE60E070E082E00E94820EEF +:1005A000B701F7FEECCE60E070E0E9CE2F923F920B +:1005B0004F925F926F927F928F929F92AF92BF9273 +:1005C000CF92DF92EF92FF920F931F93DF93CF931F +:1005D00000D0CDB7DEB71B012C0179018A016091F3 +:1005E0000E0170E0882777FD8095982F0E944F12AA +:1005F0005B016C01A20191010E94E610962E872EEC +:10060000782E692EA4019301652F742F832F922FCA +:10061000A80197010E94E6100E941C1269837A8348 +:10062000A4019301652F742F832F922FA8019701A6 +:100630000E94E7100E941C12762E672EC601B5019B +:10064000A20191010E94E710A62ED72EC82EB92E26 +:10065000A80197010E94E6100E941C12962E872E78 +:10066000C801B7012A2D3D2D4C2D5B2D0E94E710AE +:100670000E941C129B0199818A81692F782F613514 +:10068000710514F060E570E0C301092F162D0135E6 +:10069000110514F000E510E0C401E92FF82D6F01F9 +:1006A00041E5C416D1041CF040E5C42ED12CE22E45 +:1006B000F32E51E5E516F1041CF020E5E22EF12CB5 +:1006C00077FD2AC080E00E94820EB80117FD2CC081 +:1006D00081E00E94820EB601D7FC2EC082E00E940B +:1006E000820EB701F7FC30C083E00E94820E0F90AB +:1006F0000F90CF91DF911F910F91FF90EF90DF90BE +:10070000CF90BF90AF909F908F907F906F905F90B1 +:100710004F903F902F90089560E070E080E00E943D +:10072000820EB80117FFD4CF60E070E081E00E9434 +:10073000820EB601D7FED2CF60E070E082E00E9468 +:10074000820EB701F7FED0CF60E070E0CDCF809190 +:100750007B028823E1F32FB7F894E0917001F091C8 +:100760007101808190917B02915090937B022FBF09 +:1007700020917001309171012F5F3F4F30937101D3 +:100780002093700191E0293F390730F029E731E0EB +:100790003093710120937001282F332727FD309566 +:1007A000932F089590917601903849F12FB7F894DE +:1007B000E0917401F09175018083809176018F5FE3 +:1007C00080937601809174019091750101969093C8 +:1007D00075018093740132E08937930730F089EF17 +:1007E00091E090937501809374012FBF20E030E079 +:1007F0008091C10080628093C100C90108952FEFEC +:100800003FEF8091C10080628093C100C9010895CB +:100810001092C50089E18093C40086E28093C200F3 +:1008200089E791E090937101809370019093780132 +:100830008093770189EF91E0909373018093720127 +:10084000909375018093740110927B02109276014F +:1008500088E98093C100089580917B028823E1F3A9 +:100860002FB7F894E0917001F0917101808190911F +:100870007B02915090937B022FBF209170013091A9 +:1008800071012F5F3F4F309371012093700191E010 +:10089000293F390730F029E731E030937101209387 +:1008A0007001089580917B02882311F12FB7F8948D +:1008B000E0917001F0917101808190917B029150E3 +:1008C00090937B022FBF20917001309171012F5FB7 +:1008D0003F4F309371012093700191E0293F390718 +:1008E00040F029E731E030937101209370010895C1 +:1008F00080E0089590917601903839F12FB7F894FF +:10090000E0917401F09175018083809176018F5F91 +:100910008093760180917401909175010196909376 +:1009200075018093740132E08937930730F089EFC5 +:1009300091E090937501809374012FBF80E09091B6 +:10094000C10090629093C10008958FEF9091C10013 +:1009500090629093C1000895FC018081882349F141 +:10096000319629EF31E0909176019038D1F04FB770 +:10097000F894A0917401B09175018C9380917601E7 +:100980008F5F80937601809174019091750101963B +:10099000909375018093740152E08937950750F464 +:1009A0004FBF8091C10080628093C10081918823F4 +:1009B000D1F608953093750120937401F1CF82ED43 +:1009C00093E067EA73E00E94241908952FB7F89422 +:1009D00010927B0289E791E09093780180937701F0 +:1009E00090937101809370012FBF08951F920F9211 +:1009F0000FB60F9211242F938F939F93EF93FF9332 +:100A00008091C0008C7121F580917B02803801F1CA +:100A1000E0917701F09178018091C6008083809108 +:100A200077019091780101969093780180937701F6 +:100A300021E0893F920730F089E791E090937801B7 +:100A40008093770180917B028F5F80937B0218C037 +:100A500080917C0290917D02019690937D0280931B +:100A60007C028091C60080937C0210927D0280916E +:100A7000790290917A02019690937A02809379029A +:100A8000FF91EF919F918F912F910F900FBE0F903B +:100A90001F9018951F920F920FB60F9211248F93EB +:100AA0009F93EF93FF93809176018823F1F0E0917B +:100AB0007201F091730181918093C600F0937301EC +:100AC000E093720182E0E937F80730F089EF91E0B6 +:100AD000909373018093720180917601815080938D +:100AE000760180917601882329F48091C1008F7D61 +:100AF0008093C100FF91EF919F918F910F900FBE56 +:100B00000F901F9018955C9880688EBD0DB407FEFD +:100B1000FDCF8AEA8EBD0DB407FEFDCF8EB55C9A7F +:100B200008955C988EBD0DB407FEFDCF6EBD0DB46B +:100B300007FEFDCF5C9A0895549A5C9A84B18C624A +:100B400084B924988DE58CBD8DB58E7F8DBD5C9864 +:100B50008BE68EBD0DB407FEFDCF80E88EBD0DB4D3 +:100B600007FEFDCF5C9A8FEF91EEA4E0815090409C +:100B7000A040E1F700C000005C988BE68EBD0DB48C +:100B800007FEFDCF83E08EBD0DB407FEFDCF5C9A5E +:100B90009AE09A95F1F700C05C988AE68EBD0DB494 +:100BA00007FEFDCF80E18EBD0DB407FEFDCF5C9A40 +:100BB000AAE0AA95F1F700C05C9889E18EBD0DB45A +:100BC00007FEFDCF83E18EBD0DB407FEFDCF5C9A1D +:100BD000BAE0BA95F1F700C05C988AE18EBD0DB419 +:100BE00007FEFDCF84E08EBD0DB407FEFDCF5C9AFD +:100BF0008AE08A95F1F700C05C988BE18EBD0DB458 +:100C000007FEFDCF88E18EBD0DB407FEFDCF5C9AD7 +:100C10009AE09A95F1F700C05C988CE18EBD0DB416 +:100C200007FEFDCF88E08EBD0DB407FEFDCF5C9AB8 +:100C3000AAE0AA95F1F700C008955C9880688EBD7F +:100C40000DB407FEFDCF442369F0E62FF72F80E0B7 +:100C50002AEA2EBD0DB407FEFDCF9EB591938F5F9E +:100C60008417B8F35C9A0895DF93CF93CDB7DEB7BE +:100C70002E970FB6F894DEBF0FBECDBF5C988BEBFE +:100C80008EBD0DB407FEFDCFFE013196CE010F964D +:100C90003AEA3EBD0DB407FEFDCF2EB52193E8170D +:100CA000F907B9F75C9A398120E08A8190E0822BBC +:100CB000932B90939F0280939E023B8120E08C8136 +:100CC00090E0822B932B90939D0280939C023D8118 +:100CD00020E08E8190E0822B932B90938B02809367 +:100CE0008A023F8120E0888590E0822B932B9093AD +:100CF0009B0280939A02398520E08A8590E0822BBE +:100D0000932B9093AD028093AC023B8520E08C85C1 +:100D100090E0822B932B9093C3028093C2023D8577 +:100D200020E08E8590E0822B932B90937F0280931E +:100D30007E022E960FB6F894DEBF0FBECDBFCF91C8 +:100D4000DF9108952F923F924F925F926F927F9220 +:100D50008F929F92AF92BF92CF92DF92EF92FF92CB +:100D60000F931F93DF93CF93CDB7DEB763970FB683 +:100D7000F894DEBF0FBECDBF5C988BEB8EBD0DB47B +:100D800007FEFDCFFE013196CE010F963AEA3EBD39 +:100D90000DB407FEFDCF2EB52193E817F907B9F77B +:100DA0005C9A998180E06A8170E0682B792B70935E +:100DB0009F0260939E029B8180E00C8110E0082BD3 +:100DC000192B10939D0200939C029D8180E0EE8080 +:100DD000FF24E82AF92AF0928B02E0928A029F818E +:100DE00080E0C884DD24C82AD92AD0929B02C09210 +:100DF0009A02998580E0AA84BB24A82AB92AB092D5 +:100E0000AD02A092AC029B8580E08C849924882A54 +:100E1000992A9092C3028092C2029D8580E06E84DE +:100E20007724682A792A70927F0260927E026E5A35 +:100E30007140882777FD8095982F0E944F1223E2FA +:100E40003BED49EF5DE30E9468130E941C122B01E9 +:100E50007093810260938002085F1F4FB80188275A +:100E600077FD8095982F0E944F1226E136EF44EFD0 +:100E70005DE30E9468130E941C121B01709399028B +:100E800060939802B70165557040882777FD80957B +:100E9000982F0E944F1226E136EF44EF5DE30E9447 +:100EA00068130E941C12788B6F877093BD02609349 +:100EB000BC02B201882777FD8095982F0E944F12BF +:100EC0009B01AC010E946813062FE72E182FF92E04 +:100ED000B101882777FD8095982F0E944F129B01C2 +:100EE000AC010E946813562E472E382E292E2F85CE +:100EF0003889B901882777FD8095982F0E944F1275 +:100F00009B01AC010E9468136F87798B8A8B9B8B46 +:100F1000602F7E2D812F9F2DD201F1012B2F3A2F93 +:100F20004F2F5E2F0E94E710BF85A989FA89EB89B0 +:100F30002B2F3A2F4F2F5E2F0E94E7100E94D513C0 +:100F40000E941C127093BB026093BA02B6016950F2 +:100F50007240882777FD8095982F0E944F122BECC6 +:100F60003DEB40E45BE30E94681320E030E04CE09E +:100F700052E40E94E71060938C0270938D0280937C +:100F80008E0290938F028091A8029091A902A09165 +:100F9000AA02B091AB028093A4029093A502A09301 +:100FA000A602B093A7028091940290919502A0911D +:100FB0009602B09197028093A0029093A102A09311 +:100FC000A202B093A302B501605E7F4F882777FD30 +:100FD0008095982F0E944F1222E330EA4BE85AE3A3 +:100FE0000E9468136093A8027093A9028093AA02DA +:100FF0009093AB02B4016C517040882777FD8095C7 +:10100000982F0E944F1222E330EA4BE85AE30E94E5 +:101010006813609394027093950280939602909364 +:101020009702B3016C5F7F4F882777FD8095982FDB +:101030000E944F1222E330EA4BE85AE30E94681301 +:101040006093BE027093BF028093C0029093C1026E +:1010500063960FB6F894DEBF0FBECDBFCF91DF9180 +:101060001F910F91FF90EF90DF90CF90BF90AF90C6 +:101070009F908F907F906F905F904F903F902F90B8 +:1010800008954F925F926F927F928F929F92AF924C +:10109000BF92CF92DF92EF92FF920F931F936091D6 +:1010A000BC027091BD02882777FD8095982F0E9421 +:1010B0004F127B018C016091800270918102882720 +:1010C00077FD8095982F0E944F12A80197010E94EA +:1010D0005A115B016C0160938202709383028093CA +:1010E00084029093850260919802709199028827FA +:1010F00077FD8095982F0E944F12A80197010E94BA +:101100005A112B013C0160939002709391028093DD +:101110009202909393026091A4027091A502809133 +:10112000A6029091A7022DEC3CEC4CEC5CE30E94F3 +:10113000681320E030E0A9010E94E7108B017C01D8 +:10114000712F9F2D22E33CE043E45DE30E9468138E +:1011500020E030E0A9010E94E71020E030E0A90182 +:101160000E94E710B62FA72FF82FE92FBD01CF015E +:10117000272F362F492F582F20930A0130930B0128 +:1011800040930C0150930D01C601B5010E94E61079 +:101190009B01AC01602F712F8E2D9F2D0E94E710B7 +:1011A000D62EC72EB82E992EA601852F942FAB2DA3 +:1011B000B92D8093AE029093AF02A093B002B0938A +:1011C000B1026091A0027091A1028091A20290915F +:1011D000A3022DEC3CEC4CEC5CE30E9468139B01F9 +:1011E000AC0160E070E0CB010E94E610E62E072F14 +:1011F000182FA92E22E33CE043E45DE30E9468132C +:1012000020E030E0A9010E94E71020E030E0A901D1 +:101210000E94E710B62FA72FF82FE92FBD01CF01AD +:10122000272F362F492F582F20930601309307017F +:101230004093080150930901C301B2010E94E610D6 +:101240009B01AC016E2D702F812F9A2D0E94E7100B +:101250007B018C01862F9F2DA02FB12F8093B2028E +:101260009093B302A093B402B093B502A601652F88 +:10127000742F8B2D992D20EE3EE245E652E40E941C +:10128000681360938602709387028093880290931C +:1012900089026E2D7F2D802F912F20EE3EE245E6B4 +:1012A00052E40E9468136093B6027093B702809371 +:1012B000B8029093B9021F910F91FF90EF90DF90C9 +:1012C000CF90BF90AF909F908F907F906F905F90E6 +:1012D0004F9008958BB180638BB91092B9008CE068 +:1012E0008093B80084E08093BC000895CF93DF938F +:1012F00094EA9093BC009091BC0097FFFCCF816072 +:101300008093BB0084E88093BC008091BC0087FF81 +:10131000FCCF50E09A012150304012161306B4F46D +:10132000F0E080E090E0A4ECA093BC00E091BC0071 +:10133000E7FFFCCFE091BB00860F971FEC01E8832D +:10134000FF5F8F2F90E08217930774F384E88093F8 +:10135000BC008091BC0087FFFCCF8091BB00640F74 +:10136000751F61507040FB01808384E98093BC004D +:10137000DF91CF91089594EA9093BC009091BC00C6 +:1013800097FFFCCF8093BB0094E89093BC009091B2 +:10139000BC0097FFFCCF6093BB0094E89093BC0027 +:1013A0009091BC0097FFFCCF94E99093BC0094EA25 +:1013B0009093BC009091BC0097FFFCCF816080931C +:1013C000BB0084E88093BC008091BC0087FFFCCF09 +:1013D00084E88093BC008091BC0087FFFCCF84E947 +:1013E0008093BC008091BB00089594EA9093BC0068 +:1013F0009091BC0097FFFCCF8093BB0084E8809362 +:10140000BC008091BC0087FFFCCF6093BB0084E8E8 +:101410008093BC008091BC0087FFFCCF84E980935F +:10142000BC00089594EA9093BC009091BC0097FF93 +:10143000FCCF8093BB0084E88093BC008091BC000B +:1014400087FFFCCF6093BB0084E88093BC00809151 +:10145000BC0087FFFCCF4093BB0084E88093BC00B6 +:101460008091BC0087FFFCCF84E98093BC00089585 +:101470000E946A098AE18A95F1F700C08CE360E076 +:1014800048E70E94120A8AE08A95F1F700C08CE3CF +:1014900062E040E00E94120A8AE08A95F1F700C0FB +:1014A00008958CE36AE00E94BB090895DF93CF930F +:1014B00000D000D000D0CDB7DEB78CE363E00E944F +:1014C000F5098CE3BE016F5F7F4F46E00E9476090D +:1014D000998180E04A8150E0482B592B5093FF02BC +:1014E0004093FE029D8180E02E8130E0282B392B35 +:1014F00030930103209300037B8160E08C8190E0B6 +:10150000862B972B9093FB028093FA02509541957E +:101510005F4F5093F3024093F2023093F90220930D +:10152000F802909581959F4F9093FD028093FC0265 +:1015300026960FB6F894DEBF0FBECDBFCF91DF91D8 +:1015400008952F923F924F925F926F927F928F9267 +:101550009F92AF92BF92CF92DF92EF92FF920F9342 +:101560001F93DF93CF93CDB7DEB760970FB6F89494 +:10157000DEBF0FBECDBF5B016C0179018A010E9405 +:10158000B11169837A838B839C83C601B5010E9464 +:10159000CB135B016C01C801B7010E94B1116987CF +:1015A0007A878B879C87C801B7010E94CB136D8314 +:1015B0007E838F8398876091F8027091F902882763 +:1015C00077FD8095982F0E944F127B018C016091CE +:1015D000FC027091FD02882777FD8095982F0E946C +:1015E0004F121B012C0169817A818B819C81A8019A +:1015F00097010E9468133B014C01C601B501A2018D +:1016000091010E9468139B01AC01C401B3010E94C7 +:10161000E6109B01AC0150582D873E874F87588BB1 +:101620006091F2027091F302882777FD8095982FE0 +:101630000E944F1229853A854B855C850E9468136C +:101640003B014C01C801B701A60195010E94681336 +:101650002D813E814F8158850E9468139B01AC010A +:10166000C401B3010E94E7107B018C01C201B101EA +:1016700029813A814B815C810E9468132D813E81D2 +:101680004F8158850E9468139B01AC01C801B701C6 +:101690000E94E7109B01AC016D857E858F8598893E +:1016A0000E945A112CE131E849E55EE30E94E61000 +:1016B00023EC38EB42E35FE30E94E710262E672E0F +:1016C000A82EE92E862F962DAA2DBE2D80930203DB +:1016D00090930303A0930403B0930503622D762D2A +:1016E0008A2D9E2D2BED3FE049E450E40E945D13CE +:1016F00018160CF46DC0622D762D8A2D9E2D2BEDC3 +:101700003FE049E450EC0E94AD1187FF1AC0622D02 +:10171000762D8A2D9E2D2BED3FE049EC50E40E9462 +:10172000E710262E672EA82EE92E862F972FAA2D9A +:10173000BE2D8093020390930303A0930403B09300 +:101740000503622D762D8A2D9E2D20EE3EE245E684 +:1017500052E40E9468136093F4027093F502809340 +:10176000F6029093F702622D762D8A2D9E2D0E940F +:10177000B1116093EE027093EF028093F0029093A8 +:10178000F102622D762D8A2D9E2D0E94CB1360933F +:10179000EA027093EB028093EC029093ED02609664 +:1017A0000FB6F894DEBF0FBECDBFCF91DF911F9172 +:1017B0000F91FF90EF90DF90CF90BF90AF909F90F0 +:1017C0008F907F906F905F904F903F902F900895F3 +:1017D000622D762D8A2D9E2D2BED3FE049EC50E4B5 +:1017E0000E94E6109ECF8F929F92AF92BF92CF92AF +:1017F000DF92EF92FF920F931F93DF93CF93CDB7BA +:10180000DEB7FC01408351836283738304831583B5 +:1018100026833783C086D186E286F38684869586C2 +:10182000A686B78680E090E0DC01848B958BA68B42 +:10183000B78B8091060390910703A0910803B091A4 +:101840000903808B918BA28BB38BCF91DF911F917A +:101850000F91FF90EF90DF90CF90BF90AF909F904F +:101860008F9008952F923F924F925F926F927F9246 +:101870008F929F92AF92BF92CF92DF92EF92FF92A0 +:101880000F931F93DF93CF9300D000D00F92CDB76B +:10189000DEB79D838C833A014B01580169011FB764 +:1018A000F89460910603709107038091080390916A +:1018B0000903EC81FD812089318942895389621BAA +:1018C000730B840B950B0E944D12562E472E382E0B +:1018D000292E8091060390910703A0910803B091EF +:1018E0000903EC81FD81808B918BA28BB38B1FBF91 +:1018F000C401B301A60195010E94E610762E7983FA +:101900008A839B83A2019101652F742F832F922FCD +:10191000A9811A810B81272D3A2F412F502F0E9428 +:101920006813EC81FD8124893589468957890E9495 +:10193000E7108B01F82EE92E9701862F912FA32F08 +:10194000B22FEC81FD81848B958BA68BB78B602F9A +:10195000712F832F922F20E030E044E352E40E9465 +:101960005D1318160CF481C09701602F712F832F1F +:10197000922F20E030E044E352EC0E94AD1187FF4B +:1019800010C080E090E0A4E3B2ECEC81FD81848B98 +:10199000958BA68BB78B00E010E094E3F92E82ECD8 +:1019A000E82EC601B501EC81FD812485358546858B +:1019B00057850E94E610EC81FD81208531854285A6 +:1019C00053850E946813D201F1012B2F342D4F2F24 +:1019D000522D0E94B411662E972E882E592EEC811E +:1019E000FD81A486B586C686D78649813A812B813A +:1019F000672D742F832F922F208131814281538153 +:101A00000E9468135B016C019701602F712F832F77 +:101A10009E2DEC81FD8124813581468157810E9474 +:101A200068139B01AC01C601B5010E94E710262D89 +:101A3000392D482D552D0E94E6100F900F900F90D4 +:101A40000F900F90CF91DF911F910F91FF90EF902A +:101A5000DF90CF90BF90AF909F908F907F906F90CE +:101A60005F904F903F902F90089580E090E0A4E326 +:101A7000B2E4EC81FD81848B958BA68BB78B00E063 +:101A800010E034E3F32E22E4E22E8BCF1092060313 +:101A900010920703109208031092090382E084BD9C +:101AA00083E085BD89EF87BDEEE6F0E0808182604E +:101AB0008083E4E6F0E080818F7D808308951F922B +:101AC0000F920FB60F9211248F939F93AF93BF93F2 +:101AD0008091060390910703A0910803B091090338 +:101AE0000196A11DB11D8093060390930703A09357 +:101AF0000803B0930903BF91AF919F918F910F900D +:101B00000FBE0F901F90189587B18F6087B988B16D +:101B1000807F88B910920E0381E08093130382E0E6 +:101B20008093180383E080931D03089580E590E07F +:101B300090930D0380930C039093120380931103F1 +:101B4000909317038093160390931C0380931B03B9 +:101B500082E08093B0008093B10083E18093B30072 +:101B6000E0E7F0E0808182608083109280008DE069 +:101B70008093810087E290E09093890080938800B1 +:101B8000EFE6F0E0208122602083E4E6F0E02081AF +:101B9000277B208390931F0380931E038FEF95EA8A +:101BA000AEE081509040A040E1F700C000001092EC +:101BB0000D0310920C037FB7F894ACE0B3E02BE672 +:101BC00030E03E932E9368B181E090E0AC01149632 +:101BD0000C90149702C0440F551F0A94E2F7642B2F +:101BE00068B97FBF40814F7B4083109212031092EF +:101BF00011037FB7F89416963C932E93159768B10E +:101C0000AC0119960C90199702C0440F551F0A9405 +:101C1000E2F7642B68B97FBF40814F7B408310920D +:101C20001703109216037FB7F8941B963C932E93DC +:101C30001A9768B1AC011E960C901E9702C0440F13 +:101C4000551F0A94E2F7642B68B97FBF40814F7B30 +:101C5000408310921C0310921B034FB7F8945096C8 +:101C60003C932E931F9728B153960C90539702C024 +:101C7000880F991F0A94E2F7282B28B94FBF80815B +:101C80008F7B80838FEF95EAAEE081509040A0403B +:101C9000E1F700C000008081886480838CE093E0DD +:101CA00090931F0380931E03089582E08093B000F9 +:101CB0008093B10083E18093B300E0E7F0E080819E +:101CC00082608083109280008DE08093810087E2A3 +:101CD00090E09093890080938800EFE6F0E0208107 +:101CE00022602083E4E6F0E02081277B208390932C +:101CF0001F0380931E030895E4E6F0E08081877B54 +:101D00008083089590E0FC01EE0FFF1FEE0FFF1F90 +:101D1000E80FF91FE65FFC4F738362833FB7F894C7 +:101D200065597F4F7183608328B181E090E0048022 +:101D300002C0880F991F0A94E2F7282B28B93FBFE9 +:101D4000E4E6F0E080818F7B8083089590E0772740 +:101D500067FD7095FC01EE0FFF1FEE0FFF1FDF0107 +:101D6000A80FB91FA65FBC4F12962D913C911397F7 +:101D7000620F731F6135710514F060E570E077FD47 +:101D800016C0E80FF91FE65FFC4F73836283655945 +:101D90007F4F7183608328B181E090E0048002C0AE +:101DA000880F991F0A94E2F7282B28B9089560E05C +:101DB00070E0E7CF9C0140E050E069E07DE380E027 +:101DC00090E00E94C2172150304030931F032093AF +:101DD0001E030895E4E6F0E0808188608083089522 +:101DE000E4E6F0E08081877F8083089580910A0394 +:101DF00090910B03009709F471C080910F039091AB +:101E00001003009709F44BC08091140390911503BF +:101E1000009731F18091190390911A03009709F00E +:101E2000089580911B0390911C033FB7F894855946 +:101E30009F4F90931A038093190328B181E090E09B +:101E400000901D0302C0880F991F0A94E2F7282B07 +:101E500028B93FBF809164008F7B80936400089510 +:101E600080911603909117033FB7F89485599F4FBF +:101E7000909315038093140328B181E090E00090C3 +:101E8000180302C0880F991F0A94E2F7282B28B97B +:101E90003FBF809164008F7B80936400BBCF8091B3 +:101EA0001103909112033FB7F89485599F4F909377 +:101EB000100380930F0328B181E090E0009013039A +:101EC00002C0880F991F0A94E2F7282B28B93FBF58 +:101ED000809164008F7B8093640096CF80910C0387 +:101EE00090910D033FB7F89485599F4F90930B0342 +:101EF00080930A0328B181E090E000900E0302C0B5 +:101F0000880F991F0A94E2F7282B28B93FBF8091C8 +:101F100064008F7B8093640070CF1F920F920FB686 +:101F20000F9211248F939F9380910A0390910B033A +:101F30000097C1F480910F0390911003009791F4E2 +:101F40008091140390911503009761F48091190317 +:101F500090911A03009731F480916400806480931B +:101F60006400189580910A0390910B03882329F44B +:101F7000992349F08A959A9509C08A9529F499235D +:101F800029F4409806C00000000000000000000096 +:101F9000000090930B0380930A0380910F039091AC +:101FA0001003882329F4992349F08A959A9509C04A +:101FB0008A9529F4992329F4419806C0000000006D +:101FC00000000000000000009093100380930F03B6 +:101FD0008091140390911503882329F4992349F0E3 +:101FE0008A959A9509C08A9529F4992329F44298EB +:101FF00006C00000000000000000000000009093F8 +:102000001503809314038091190390911A03882378 +:1020100029F4992349F08A959A9509C08A9529F45B +:10202000992329F4439806C0000000000000000036 +:102030000000000090931A03809319039F918F91E1 +:102040000F900FBE0F901F9018951F920F920FB612 +:102050000F9211242F933F938F939F9380911E0390 +:1020600090911F03909389008093880080910A03C8 +:1020700090910B030097E9F080910F03909110036A +:102080000097D9F18091140390911503009709F4FA +:1020900059C08091190390911A03009709F477C0F1 +:1020A0009F918F913F912F910F900FBE0F901F9096 +:1020B000189580910C0390910D033FB7F8948559C2 +:1020C0009F4F90930B0380930A0328B181E090E027 +:1020D00000900E0302C0880F991F0A94E2F7282B84 +:1020E00028B93FBF809164008F7B8093640080910A +:1020F0000F0390911003009729F68091110390919E +:1021000012033FB7F89485599F4F90931003809323 +:102110000F0328B181E090E00090130302C0880F04 +:10212000991F0A94E2F7282B28B93FBF80916400D9 +:102130008F7B809364008091140390911503009726 +:1021400009F0A7CF80911603909117033FB7F89439 +:1021500085599F4F909315038093140328B181E014 +:1021600090E00090180302C0880F991F0A94E2F7CC +:10217000282B28B93FBF809164008F7B8093640037 +:102180008091190390911A03009709F089CF8091EB +:102190001B0390911C033FB7F89485599F4F909370 +:1021A0001A038093190328B181E090E000901D0389 +:1021B00002C0880F991F0A94E2F7282B28B93FBF65 +:1021C000809164008F7B809364006ACF5058BB2756 +:1021D000AA270ED027C2F0D130F0F5D120F031F48B +:1021E0009F3F11F41EF4C0C10EF4E095E7FBB6C1A9 +:1021F000E92F3AD280F3BA1762077307840795076D +:1022000018F071F49EF552C20EF4E0950B2EBA2F21 +:10221000A02D0B01B90190010C01CA01A0011124EC +:10222000FF27591B99F0593F50F4503E68F11A1698 +:10223000F040A22F232F342F4427585FF3CF469529 +:1022400037952795A795F0405395C9F77EF41F164B +:10225000BA0B620B730B840BBAF09150A1F0FF0F15 +:10226000BB1F661F771F881FC2F70EC0BA0F621F01 +:10227000731F841F48F4879577956795B795F795F1 +:102280009E3F08F0B3CF9395880F08F09927EE0F83 +:1022900097958795089590D158F080E891E009F4DA +:1022A0009EEF91D128F040E851E059F45EEF09C06B +:1022B0005BC1FCC1E92FE078D7D168F3092E052A6C +:1022C000C1F3261737074807590738F00E2E07F8CD +:1022D000E02569F0E025E0640AC0EF6307F80094A8 +:1022E00007FADB01B9019D01DC01CA01AD01EF93E1 +:1022F0004AD098D10AD05F91552331F02BED3FE0C1 +:1023000049E450FD49EC63CF0895DF93DD27B92FF1 +:10231000BF7740E85FE31616170648075B0710F41F +:10232000D92FCFD19F938F937F936F937CD2E8E681 +:10233000F0E01DD177D12F913F914F915F91D4D192 +:10234000DD2349F09058A2EA2AED3FE049EC5FE333 +:10235000D0785D274DDFDF9165C1DCD008F481E0E6 +:10236000089539D1E3956FC10CD05CC12CD140F0F8 +:1023700023D130F021F45F3F19F0F0C0511197C123 +:10238000F3C072D198F39923C9F35523B1F3951B88 +:10239000550BBB27AA2762177307840738F09F5F86 +:1023A0005F4F220F331F441FAA1FA9F333D00E2EF5 +:1023B0003AF0E0E830D091505040E695001CCAF762 +:1023C00029D0FE2F27D0660F771F881FBB1F261727 +:1023D00037074807AB07B0E809F0BB0B802DBF01FA +:1023E000FF2793585F4F2AF09E3F510568F0B6C013 +:1023F0005EC15F3FECF3983EDCF386957795679579 +:10240000B795F7959F5FC9F7880F911D96958795AA +:1024100097F90895E1E0660F771F881FBB1F6217C9 +:1024200073078407BA0720F0621B730B840BBA0B87 +:10243000EE1F88F7E095089504D06894B11137C174 +:1024400008951AD188F09F5790F0B92F9927B75166 +:10245000A0F0D1F0660F771F881F991F1AF0BA9568 +:10246000C9F712C0B13081F021D1B1E008951EC189 +:10247000672F782F8827B85F39F0B93FCCF386955E +:1024800077956795B395D9F73EF4909580957095BB +:1024900061957F4F8F4F9F4F0895E89409C097FB38 +:1024A0003EF490958095709561957F4F8F4F9F4F2B +:1024B0009923A9F0F92F96E9BB279395F69587956F +:1024C00077956795B795F111F8CFFAF4BB0F11F432 +:1024D00060FF1BC06F5F7F4F8F4F9F4F16C08823D9 +:1024E00011F096E911C0772321F09EE8872F762F0F +:1024F00005C0662371F096E8862F70E060E02AF050 +:102500009A95660F771F881FDAF7880F969587953B +:1025100097F90895990F0008550FAA0BE0E8FEEF10 +:1025200016161706E807F907C0F012161306E40797 +:10253000F50798F0621B730B840B950B39F40A2690 +:1025400061F0232B242B252B21F408950A2609F46E +:10255000A140A6958FEF811D811D089597F99F6772 +:1025600080E870E060E008959FEF80EC0895DF93CD +:10257000CF931F930F93FF92EF92DF927B018C0119 +:10258000689405C0DA2EEF01AFD0FE01E894A59162 +:102590002591359145915591AEF3EF0129DEFE016C +:1025A0009701A801DA9479F7DF90EF90FF900F91EF +:1025B0001F91CF91DF91089500240A9416161706F3 +:1025C00018060906089500240A9412161306140624 +:1025D00005060895C9CF50D0E8F3E894E0E0BB27A2 +:1025E0009F57F0F02AED3FE049EC06C0EE0FBB0F1D +:1025F000661F771F881F28F0B23A620773078407A7 +:1026000028F0B25A620B730B840BE3959A9572F71C +:10261000803830F49A95BB0F661F771F881FD2F75A +:10262000904806C1092E0394000C11F4882352F03F +:10263000BB0F40F4BF2B11F460FF04C06F5F7F4FEE +:102640008F4F9F4F0895EF93E0FF06C0A2EA2AED57 +:102650003FE049EC5FEBCCDDE5DF0F90039401FC3C +:102660009058E5E9F0E0F7C057FD9058440F551F2A +:1026700059F05F3F71F04795880F97FB991F61F004 +:102680009F3F79F087950895121613061406551F7B +:10269000F2CF4695F1DF08C0161617061806991FE7 +:1026A000F1CF86957105610508940895E894BB27DC +:1026B00066277727CB0197F908952CDF08F48FEF71 +:1026C00008959B01AC0160E070E080E89FE34CCE90 +:1026D0000BD0A8CF71DF28F076DF18F0952309F032 +:1026E0003DCF42CF1124E3CFBFDFA0F3959FD1F3BD +:1026F000950F50E0551F629FF001729FBB27F00DB0 +:10270000B11D639FAA27F00DB11DAA1F649F662704 +:10271000B00DA11D661F829F2227B00DA11D621F53 +:10272000739FB00DA11D621F839FA00D611D221F0D +:10273000749F3327A00D611D231F849F600D211DF1 +:10274000822F762F6A2F11249F5750408AF0E1F094 +:1027500088234AF0EE0FFF1FBB1F661F771F881FDD +:1027600091505040A9F79E3F510570F0F7CE9FCF92 +:102770005F3FECF3983EDCF3869577956795B795C8 +:10278000F795E7959F5FC1F7FE2B880F911D9695F2 +:10279000879597F908959F931EDF0F9007FCEE5FD2 +:1027A00052CF11F40EF4E0CE41C066DFD0F399238E +:1027B000D9F3CEF39F57550B87FF46D00024A0E6F0 +:1027C00040EA900180585695979528F4805C660FF2 +:1027D000771F881F20F026173707480730F4621B41 +:1027E000730B840B202931294A2BA6951794079443 +:1027F000202531254A2758F7660F771F881F20F0BC +:1028000026173707480730F4620B730B840B200D33 +:10281000311D411DA09581F7B901842F9158880F72 +:102820009695879508959B01AC0152CF9F3F31F05B +:10283000915020F4879577956795B795880F911DEE +:102840009695879597F9089591505040660F771F98 +:10285000881FD2F708959F938F937F936F93FF9371 +:10286000EF939B01AC0134DFEF91FF9180DE2F915C +:102870003F914F915F912CCFA3E1B0E0E2E4F4E10E +:102880000C94DD176C017F876E87FC01178216821E +:10289000838181FD04C06FC34C855D8504C01E012A +:1028A0000894211C311CF6019381EE85FF8593FD70 +:1028B000859193FF8191FF87EE87882309F457C3A1 +:1028C000853241F493FD859193FF8191FF87EE87D7 +:1028D000853259F490E0B6014A8B5B8B0E946F19E8 +:1028E0004A895B895D874C87D7CF10E0FF2400E0E1 +:1028F0000032B0F48B3269F08C3228F4803251F01F +:10290000833271F40BC08D3239F0803349F40160A9 +:102910002CC00260046029C0086027C0006125C087 +:1029200007FD2EC0282F20532A3098F406FF08C038 +:10293000812F880F182F110F110F180F120F15C0AC +:102940008F2D880FF82EFF0CFF0CF80EF20E006290 +:102950000CC08E3221F406FD0AC3006406C08C361A +:1029600011F4006802C0883659F4EE85FF8593FDA6 +:10297000859193FF8191FF87EE87882309F0B8CF77 +:10298000982F9554933018F40061805E06C0982FFC +:102990009556933008F09BC10F7E06FF16E06FE35B +:1029A000E62EE022853619F4F0E4EF2A07C08636D9 +:1029B00019F420E8E22A02C011111150E7FE06C006 +:1029C0001C3340F4912E939427E00BC0183030F460 +:1029D000212F06C027E03CE3932E03C027E017E039 +:1029E0009924CA0104969D878C87FA0160817181C0 +:1029F00082819381A101092D0E9436185C016980B2 +:102A0000262D30E0398B288B60FE03C0388933FFD8 +:102A100006C0E1FC06C0E2FE06C000E205C00DE211 +:102A200003C00BE201C000E0888999898C70907026 +:102A30000097C1F1002311F084E001C083E08F15FD +:102A400058F4F81AE3FC09C080E290E0B6010E9455 +:102A50006F19FA94C9F701C0FF24002329F0802FD1 +:102A600090E0B6010E946F19888983FD03C007EBCF +:102A700010E00EC003EB10E00BC0A114B10409F08C +:102A8000805290E0B6010E946F190F5F1F4F05C082 +:102A9000AE2CBB2490E1A922BB24F80184918823A9 +:102AA00061F762C2E7FE0EC09A0CF889F4FF04C019 +:102AB0008A81813309F49A94191454F5292D293007 +:102AC00050F52DC0E6FC2BC0812F90E08A159B05A8 +:102AD0004CF03CEFA3163FEFB30624F080E8E82A61 +:102AE00001C01150112349F0E2E0F0E0EC0FFD1FAE +:102AF000E10FF11D80818033A1F3E7FE10C0912E1C +:102B00009394812F90E0A816B90644F41A1907C0CF +:102B10009924939404C098E0992E01C010E0E7FE38 +:102B200007C01A141B043CF495012F5F3F4F05C0EA +:102B300025E030E002C021E030E0002311F02F5FFB +:102B40003F4F112329F0812F90E00196280F391F64 +:102B50008F2D90E02817390714F4F21A01C0FF24D2 +:102B60004E2C5524C20189709070009749F406C01C +:102B700080E290E0B6010E946F19FA94FF20C1F73D +:102B8000002329F0802F90E0B6010E946F1943FCCA +:102B900009C006C080E390E0B6010E946F19FA9464 +:102BA000FF20C1F7E7FE46C03501B7FE02C066242C +:102BB000772425010894411C511C46185708420CE3 +:102BC000531CF501E919F1094F01812F90E000270D +:102BD0001127081B190BFFEF6F16FFEF7F0629F473 +:102BE0008EE290E0B6010E946F19A614B70434F08B +:102BF000861497041CF4F201808101C080E30894DC +:102C0000610871080894411C511C601671062CF073 +:102C100090E0B6010E946F19DECF6A147B0441F484 +:102C20009A81963320F4953319F4F889F4FF81E3FF +:102C300090E049C08A81813311F02FEE622290E04A +:102C4000B6010E946F19112389F08EE290E0B6015F +:102C50000E946F1902E0F101E00FF11D0F5F80810A +:102C600090E0B6010E946F191150A9F744FE03C00D +:102C700085E490E002C085E690E0B6010E946F19FD +:102C8000B7FC05C0A114B10441F464FE06C0B094C1 +:102C9000A194B108B3948DE201C08BE290E0B6013B +:102CA0000E946F1980E305C08F5FE6EFFFEFAE0E65 +:102CB000BF1EFAE0AF16B104BCF790E0B6010E9467 +:102CC0006F19C501C096B6010E946F194DC18336B8 +:102CD00031F0833789F0833509F059C022C09A0159 +:102CE0002E5F3F4F3D872C87FA0180818983310118 +:102CF00081E0A82EB12C13C09A012E5F3F4F3D8773 +:102D00002C87FA016080718006FF03C0612F70E09C +:102D100002C06FEF7FEFC3010E9419195C010F77AA +:102D200014C09A012E5F3F4F3D872C87FA016080C7 +:102D3000718006FF03C0612F70E002C06FEF7FEF6C +:102D4000C3010E940E195C01006803FD1CC006C08F +:102D500080E290E0B6010E946F19FA948F2D90E006 +:102D6000A816B906A8F30FC0F30107FD859107FF68 +:102D700081913F0190E0B6010E946F19F110FA9421 +:102D80000894A108B108A114B10471F7EDC084360C +:102D900011F0893661F507FF0BC09A012C5F3F4F98 +:102DA0003D872C87FA0160817181828193810CC0FB +:102DB0009A012E5F3F4F3D872C87FA016081718118 +:102DC000882777FD8095982F0F7697FF08C09095FC +:102DD0008095709561957F4F8F4F9F4F0068A1013F +:102DE0002AE030E00E949B19982E921841C0853746 +:102DF00021F40F7E2AE030E020C0097F8F36A9F051 +:102E0000803720F4883509F0B2C00BC0803721F03C +:102E1000883709F0ACC001C0006104FF09C004603C +:102E200007C004FF08C0066006C028E030E005C007 +:102E300020E130E002C020E132E007FF0AC0CA0111 +:102E400004969D878C87FA016081718182819381CC +:102E500009C0CA0102969D878C87FA016081718141 +:102E600080E090E0A1010E949B19982E92180F77A4 +:102E700006FF09C00E7F911630F404FF06C002FD64 +:102E800004C00F7E02C0E92C01C0E12E802F90E02B +:102E900004FF0CC0FE01E90DF11D2081203311F467 +:102EA000097E09C0E39402FF06C004C086789070D2 +:102EB000009709F0E394A02EBB2403FD14C000FF8B +:102EC0000FC0EF1428F4192D1F0D1E19EF2C08C088 +:102ED000192D06C080E290E0B6010E946F19E394BC +:102EE000EF14C0F304C0EF1410F4FE1801C0FF2467 +:102EF000A4FE0FC080E390E0B6010E946F19A2FE0D +:102F00001FC0A1FE03C088E590E010C088E790E0F4 +:102F10000DC0C50186789070009791F0A1FC02C0A9 +:102F200080E201C08BE207FD8DE290E0B6010E94D5 +:102F30006F1906C080E390E0B6010E946F1911502E +:102F40009116C0F39A94F101E90DF11D808190E092 +:102F5000B6010E946F199920A9F706C080E290E09F +:102F6000B6010E946F19FA94FF20C1F795CCF601C3 +:102F70002681378102C02FEF3FEFC9016396E2E15E +:102F80000C94F91797FB092E05260ED057FD04D097 +:102F90004BD00AD0001C38F45095409530952195BF +:102FA0003F4F4F4F5F4F0895F6F79095809570957E +:102FB00061957F4F8F4F9F4F08952F923F924F9271 +:102FC0005F926F927F928F929F92AF92BF92CF92B9 +:102FD000DF92EF92FF920F931F93CF93DF93CDB7C2 +:102FE000DEB7CA1BDB0B0FB6F894DEBF0FBECDBF3A +:102FF00009942A88398848885F846E847D848C840B +:103000009B84AA84B984C884DF80EE80FD800C8113 +:103010001B81AA81B981CE0FD11D0FB6F894DEBFF6 +:103020000FBECDBFED010895A1E21A2EAA1BBB1B56 +:10303000FD010DC0AA1FBB1FEE1FFF1FA217B30784 +:10304000E407F50720F0A21BB30BE40BF50B661F9A +:10305000771F881F991F1A9469F76095709580955E +:1030600090959B01AC01BD01CF010895283008F077 +:1030700027E03327DA01990F311D87FD9160009613 +:103080006105710539F432602E5F3D9330E32A9576 +:10309000E1F708959F3F30F080387105610509F030 +:1030A0003C5F3C5F3D93913008F08068911DDF9359 +:1030B000CF931F930F93FF92EF92192F987F9695BE +:1030C000E92F96959695E90FFF27EB5EFE4F99271E +:1030D0003327EE24FF24A701E70105900894079405 +:1030E00028F4360FE71EF81E491F511D660F771F83 +:1030F000881F991F0694A1F70590079428F4E70EFE +:10310000F81E491F561FC11D770F881F991F661F84 +:103110000694A1F70590079428F4F80E491F561F4E +:10312000C71FD11D880F991F661F771F0694A1F72F +:103130000590079420F4490F561FC71FD81F990FF9 +:10314000661F771F881F0694A9F784911095177042 +:1031500041F0D695C79557954795F794E7941A95FA +:10316000C1F7EBEBF0E068941590159135916591FE +:10317000959105907FE27395E118F10A430B560B88 +:10318000C90BD009C0F7E10CF11E431F561FC91F20 +:10319000D01D7EF4703311F48A95E6CFE894015087 +:1031A00030F0080F0AF40027021708F4202F2395A7 +:1031B000022F7A3328F079E37D932A95E9F710C03E +:1031C0007D932A9589F60694979567953795179577 +:1031D0001794E118F10A430B560BC90BD00998F06C +:1031E00023957E9173957A3308F070E37C932013D6 +:1031F000B8F77E9170617D9330F0839571E37D9394 +:1032000070E32A95E1F71124EF90FF900F911F9141 +:10321000CF91DF91992787FD90950895FC01059046 +:10322000615070400110D8F7809590958E0F9F1FC8 +:103230000895FC016150704001900110D8F780950D +:1032400090958E0F9F1F08950F931F93CF93DF9339 +:103250008C01EB01009711F42097C9F181E090E017 +:103260006EE070E00E94F919FC019C01009789F161 +:1032700080E88383209771F0D387C28781E88383B6 +:103280008091200390912103009721F4F093210372 +:10329000E093200301151105E1F011870087838178 +:1032A000826083838091220390912303009789F4A5 +:1032B000F0932303E093220380912403909125034C +:1032C000009739F4F0932503E093240302C020E033 +:1032D00030E0C901DF91CF911F910F9108950F93B5 +:1032E0001F93CF93DF938C01EB018B8181FF1BC078 +:1032F00082FF0DC02E813F818C819D812817390767 +:1033000064F4E881F9810193F983E88306C0E885D4 +:10331000F985802F0995009731F48E819F81019660 +:103320009F838E8302C00FEF1FEFC801DF91CF9103 +:103330001F910F910895FA01AA27283051F12031E9 +:1033400081F1E8946F936E7F6E5F7F4F8F4F9F4F39 +:10335000AF4FB1E03ED0B4E03CD0670F781F891F7B +:103360009A1FA11D680F791F8A1F911DA11D6A0F49 +:10337000711D811D911DA11D20D009F468943F91FC +:103380002AE0269F11243019305D3193DEF6CF01FB +:103390000895462F4770405D4193B3E00FD0C9F7C1 +:1033A000F6CF462F4F70405D4A3318F0495D31FD2E +:1033B0004052419302D0A9F7EACFB4E0A695979581 +:1033C000879577956795BA95C9F700976105710557 +:1033D00008959B01AC010A2E0694579547953795A1 +:1033E0002795BA95C9F7620F731F841F951FA01DFB +:1033F0000895EF92FF920F931F93CF93DF93689FEF +:103400008001699F100D789F100D1124C8010E9442 +:103410001D1AE82EE7017E01F92EE701209731F011 +:103420008E2D60E070E0A8010E94501B7E01CE014D +:10343000CDB7DEB7E6E00C940518CF93DF9382306A +:10344000910510F482E090E0E0912803F0912903C7 +:1034500040E050E020E030E026C0608171816817D4 +:103460007907E0F06817790781F48281938121154B +:10347000310531F0D90113969C938E9312972BC08E +:10348000909329038093280326C04115510519F014 +:103490006417750718F4AB01E901DF019F01728120 +:1034A0006381E72FF62F3097C1F64115510501F1E1 +:1034B000481B590B4430510580F412968D919C9114 +:1034C0001397209719F09B838A8304C09093290354 +:1034D00080932803FD01329646C0FD01E40FF51FDD +:1034E000819391934250504011965C934E933BC010 +:1034F00020912603309127032115310541F42091B5 +:103500000201309103013093270320932603209179 +:103510000401309105012115310541F42DB73EB765 +:103520004091000150910101241B350BE0912603CD +:10353000F0912703E217F307A0F42E1B3F0B281787 +:10354000390778F0AC014E5F5F4F2417350748F01C +:103550004E0F5F1F5093270340932603819391934F +:1035600002C0E0E0F0E0CF01DF91CF910895CF936A +:10357000DF93009709F491C0FC0132971382128205 +:1035800060912803709129036115710581F42081F0 +:103590003181280F391F80912603909127038217CC +:1035A000930799F5F0932703E093260376C0DB0198 +:1035B00080E090E002C0CD01D901AE17BF0748F40A +:1035C00012962D913C91139721153105A1F7CD014C +:1035D00021C0B383A283EF01499159919E01240F29 +:1035E000351FA217B30779F42D913C911197240F41 +:1035F000351F2E5F3F4F3183208312962D913C91D2 +:10360000139733832283009729F4F0932903E093DF +:10361000280343C0DC011396FC93EE9312974D915F +:103620005D91A40FB51FEA17FB0769F42081318172 +:10363000240F351F2E5F3F4FEC01398328832281F1 +:1036400033813B832A83E0E0F0E002C0FB01BC0150 +:10365000DB0112968D919C9113970097B9F79B010E +:103660002E5F3F4F8D919C911197820F931F409138 +:103670002603509127034817590779F4309729F406 +:10368000109229031092280302C013821282225042 +:1036900030403093270320932603DF91CF91089584 +:1036A000DC0101C06D9341505040E0F70895F8945B +:0236B000FFCF4A +:0636B20020002A030000C5 +:00000001FF diff --git a/test_fpga/fpga_test.c b/test_fpga/fpga_test.c new file mode 100644 index 0000000..4cd562b --- /dev/null +++ b/test_fpga/fpga_test.c @@ -0,0 +1,128 @@ +/* + * untitled.c + * + * Copyright 2012 Unknown + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, + * MA 02110-1301, USA. + * + * + */ + + +#include +#include +#include +#include "serial/serial.h" +#include + +#define SCK_BIT 5 +#define MISO_BIT 4 +#define MOSI_BIT 3 +#define SS_BIT 2 +#define SPI_DDR DDRB +#define SPI_PORT PORTB +#define SPI_PIN PINB + +void spi_write(uint8_t); +uint8_t spi_read(); + +uint8_t data[5] = { 0, 200, 200, 200, 200 }; + +unsigned nums[4]; + +char cmd; + +void main() +{ + + DDRD |= _BV(6); + DDRD |= _BV(5); + + //Set up serial port + USART_init(); + USART_to_stdio(); + + + + sei(); + + //printf("Hi!\n"); + + //Set up SPI pins + SPI_DDR |= _BV(MOSI_BIT) | _BV(SCK_BIT) | _BV(SS_BIT); + SPI_DDR &= ~(_BV(MISO_BIT)); + + //Set SPI settings + SPCR = 0x5D; + SPSR &= ~(1 << SPI2X); + + //Frame with CS + SPI_PORT &= ~(_BV(SS_BIT)); + _delay_us(2); + //Send five bytes. + for (unsigned i = 0; i < 5; ++i) + spi_write(data[i]); + _delay_us(2); + //Unsnop. + SPI_PORT |= _BV(SS_BIT); + + + printf("Four numbers?\n"); + + //Wait for input. + while(1) + { + scanf("%u %u %u %u", &nums[0], &nums[1], &nums[2], &nums[3]); + + printf("Got %u %u %u %u.\n", nums[0], nums[1], nums[2], nums[3]); + printf("Truncated to"); + //Truncate those ints to 1 byte each. + for (unsigned i = 0; i < 4; ++i) + { + nums[i] &= 0x00FF; + printf(" %u", nums[i]); + data[i+1] = (char)nums[i]; + } + printf("; Data array is %X%X%X%X%X\n", data[0], data[1], data[2], data[3], data[4]); + + SPI_PORT &= ~(_BV(SS_BIT)); + _delay_us(2); + for (unsigned i = 0; i < 5; ++i) + spi_write(data[i]); + SPI_PORT |= _BV(SS_BIT); + _delay_us(2); + printf("Data sent.\n"); + + } + +} + +void spi_write(uint8_t byte) +{ + SPDR = byte; + while(!(SPSR & (1<>8); + UBRR0L = (uint8_t)(BAUD_PRESCALER); + + //Set 8 data bits, 1 stop bit, even parity + UCSR0C = 0x26; + + + //Set up buffer variables. + rx_buf_head = rx_buffer; + rx_buf_tail = rx_buffer; + tx_buf_head = tx_buffer; + tx_buf_tail = tx_buffer; + rx_bytes = 0; + tx_bytes = 0; + + //Enable RX, TX, and the RXC interrupt. + UCSR0B = _BV(RXCIE0) | _BV(RXEN0) | _BV(TXEN0); + + +} + + + + +//Gets a character from the USART, blocking if needed. +char USART_blocking_getchar(void) +{ + char ret; + + //If there's no data, block. + while(!rx_bytes); + + //Then pull a byte and adjust the shared count. + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + ret = *rx_buf_head; + --rx_bytes; + } + + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + rx_buf_head = rx_buffer; + + + //Return the byte we received. + return ret; +} + + +//Gets a character from the USART, or return null if buffer is empty. +char USART_getchar(void) +{ + char ret; + + //If there's no data, return null. + if (!rx_bytes) + ret = 0x00; + //Otherwise, pull a byte and adjust the shared count. + else + { + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + ret = *rx_buf_head; + --rx_bytes; + } + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + rx_buf_head = rx_buffer; + } + + //Return the byte we received (or didn't). + return ret; +} + + + +//Transmits a character on the USART. Discards input if the buffer is full and returns -1. +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + { + retval = -1; + } + //Otherwise, put the char on the buffer. + else + { + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + *tx_buf_tail = data; + ++tx_bytes; + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + tx_buf_tail = tx_buffer; + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + + + return retval; +} + +//Transmits a string on the USART. +void USART_putstring(char * string) +{ + //back in my days we didn't have strings + for(; *string; ++string) + USART_putchar(*string); +} + + +//Call this to attach STDIO to the serial port. +void USART_to_stdio() +{ + fdevopen(serial_stream_out, serial_stream_in); +} + + +//This special function is called by the compiler's standard output/error routines; +//once for each character send to the stream. +int serial_stream_out(char c, FILE * stream) +{ + //Simply pass the character on to our virtual serial port. + return USART_putchar(c); +} + + +//This function parallels usb_stream_out, except is is designed to handle reads from the standard input. +int serial_stream_in(FILE * stream) +{ +#ifdef NONBLOCKING_SCANF + return USART_getchar(); +#else + return USART_blocking_getchar(); +#endif +} + +//Flush the receive buffer. +void flush_input(void) +{ + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + rx_bytes = 0; + rx_buf_tail = rx_buffer; + rx_buf_head = rx_buffer; + } +} + + +//Whenever a receive is complete: +ISR(USART_RX_vect) +{ + + //Discard byte if there are errors or we run out of space. + if ((UCSR0A & 0x1C) || (rx_bytes == RX_BUF_SIZE)) + { + ++discard; + discard = UDR0; + ++rx_errors; + } + else +// if (rx_bytes < RX_BUF_SIZE) + { + *rx_buf_tail = UDR0; //read a byte to the buffer. + + if (++rx_buf_tail >= rx_buffer + RX_BUF_SIZE) //Increment the tail, + rx_buf_tail = rx_buffer; // looping to beginning of ring if necessary. + + ++rx_bytes; + } + +} + + +//When the transmit buffer is empty: +ISR(USART_UDRE_vect) +{ + //If there are bytes to transmit, + if(tx_bytes) + { + UDR0 = *tx_buf_head; //transmit them. + + if (++tx_buf_head >= tx_buffer + TX_BUF_SIZE) //Also increment the head pointer. + tx_buf_head = tx_buffer; + + if(!(--tx_bytes)) //Decrement the number of bytes waiting. + UCSR0B &= ~_BV(UDRIE0); //If there aren't any more, disable this interrupt. + } + else //Otherwise, disable this interrupt until we want to transmit again. + UCSR0B &= ~_BV(UDRIE0); //We shouldn't ever reach this case, but let's handle it anyway. +} diff --git a/test_fpga/serial/serial.h b/test_fpga/serial/serial.h new file mode 100755 index 0000000..ad953bb --- /dev/null +++ b/test_fpga/serial/serial.h @@ -0,0 +1,34 @@ +/* + * serial.h + * + * Created: 6/30/2012 3:34:22 PM + * Author: jenn + */ + +#ifndef SERIAL_H_ +#define SERIAL_H_ + +#include +#include +#include +#include +#include + + +#define RX_BUF_SIZE 128 +#define RX_WATERMARK (RX_BUF_SIZE - 8) +#define TX_BUF_SIZE 128 + +void USART_init(); +char USART_blocking_getchar(); +char USART_getchar(); +int8_t USART_putchar(char data); +void USART_putstring(char * string); +void USART_to_stdio(); +int serial_stream_out(char c, FILE * stream); +int serial_stream_in(FILE * stream); +void flush_input(void); + + + +#endif /* SERIAL_H_ */ \ No newline at end of file diff --git a/test_fpga/serial/serial.o b/test_fpga/serial/serial.o new file mode 100644 index 0000000..3b420ee Binary files /dev/null and b/test_fpga/serial/serial.o differ diff --git a/test_fpga/serial/serial_test/serial_test.avrsln b/test_fpga/serial/serial_test/serial_test.avrsln new file mode 100755 index 0000000..7e661b5 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test.avrsln @@ -0,0 +1,20 @@ + +Microsoft Visual Studio Solution File, Format Version 11.00 +# AvrStudio Solution File, Format Version 11.00 +Project("{D1100916-62DA-4D80-A9B4-55A1E7CCEEB3}") = "serial_test", "serial_test\serial_test.avrgccproj", "{B4E69A3B-3D95-4EED-8609-AD7A1F7B3F73}" +EndProject +Global + GlobalSection(SolutionConfigurationPlatforms) = preSolution + Debug|AVR = Debug|AVR + Release|AVR = Release|AVR + EndGlobalSection + GlobalSection(ProjectConfigurationPlatforms) = postSolution + {B4E69A3B-3D95-4EED-8609-AD7A1F7B3F73}.Debug|AVR.ActiveCfg = Debug|AVR + {B4E69A3B-3D95-4EED-8609-AD7A1F7B3F73}.Debug|AVR.Build.0 = Debug|AVR + {B4E69A3B-3D95-4EED-8609-AD7A1F7B3F73}.Release|AVR.ActiveCfg = Release|AVR + {B4E69A3B-3D95-4EED-8609-AD7A1F7B3F73}.Release|AVR.Build.0 = Release|AVR + EndGlobalSection + GlobalSection(SolutionProperties) = preSolution + HideSolutionNode = FALSE + EndGlobalSection +EndGlobal diff --git a/test_fpga/serial/serial_test/serial_test.avrsuo b/test_fpga/serial/serial_test/serial_test.avrsuo new file mode 100755 index 0000000..a16eece Binary files /dev/null and b/test_fpga/serial/serial_test/serial_test.avrsuo differ diff --git a/test_fpga/serial/serial_test/serial_test/Debug/Makefile b/test_fpga/serial/serial_test/serial_test/Debug/Makefile new file mode 100755 index 0000000..bc43f85 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/Makefile @@ -0,0 +1,157 @@ +################################################################################ +# Automatically-generated file. Do not edit! +################################################################################ + +SHELL := cmd.exe +RM := rm -rf + +USER_OBJS := + +LIBS := +PROJ := + +O_SRCS := +C_SRCS := +S_SRCS := +S_UPPER_SRCS := +OBJ_SRCS := +ASM_SRCS := +PREPROCESSING_SRCS := +OBJS := +OBJS_AS_ARGS := +C_DEPS := +C_DEPS_AS_ARGS := +EXECUTABLES := +LIB_AS_ARGS := +OUTPUT_FILE_PATH := +OUTPUT_FILE_PATH_AS_ARGS := +HEX_FLASH_FILE_PATH := +HEX_FLASH_FILE_PATH_AS_ARGS := +HEX_EEPROM_FILE_PATH := +HEX_EEPROM_FILE_PATH_AS_ARGS := +LSS_FILE_PATH := +LSS_FILE_PATH_AS_ARGS := +MAP_FILE_PATH := +MAP_FILE_PATH_AS_ARGS := +AVR_APP_PATH :=C:/Program Files (x86)/Atmel/AVR Studio 5.0/AVR ToolChain/bin/ +QUOTE := " +ADDITIONAL_DEPENDENCIES:= +OUTPUT_FILE_DEP:= + +# Every subdirectory with source files must be described here +SUBDIRS := + + +# Add inputs and outputs from these tool invocations to the build variables +C_SRCS += \ +../serial.c \ +../serial_test.c + + +PREPROCESSING_SRCS += + + +ASM_SRCS += + + +OBJS += \ +serial.o \ +serial_test.o + + +OBJS_AS_ARGS += \ +serial.o \ +serial_test.o + + +C_DEPS += \ +serial.d \ +serial_test.d + + +C_DEPS_AS_ARGS += \ +serial.d \ +serial_test.d + + +OUTPUT_FILE_PATH +=serial_test.elf + +OUTPUT_FILE_PATH_AS_ARGS +=serial_test.elf + +HEX_FLASH_FILE_PATH +=serial_test.hex + +HEX_FLASH_FILE_PATH_AS_ARGS +=serial_test.hex + +HEX_EEPROM_FILE_PATH +=serial_test.eep + +HEX_EEPROM_FILE_PATH_AS_ARGS +=serial_test.eep + +LSS_FILE_PATH +=serial_test.lss + +LSS_FILE_PATH_AS_ARGS +=serial_test.lss + +MAP_FILE_PATH =serial_test.map + +MAP_FILE_PATH_AS_ARGS =serial_test.map + +LIB_AS_ARGS +=libserial_test.a + +ADDITIONAL_DEPENDENCIES:= $(HEX_FLASH_FILE_PATH) $(LSS_FILE_PATH) $(HEX_EEPROM_FILE_PATH) size + +OUTPUT_FILE_DEP:= ./makedep.mk + +# AVR/GNU C Compiler + + + + + +./%.o: .././%.c + @echo Building file: $< + @echo Invoking: AVR/GNU C Compiler + $(QUOTE)$(AVR_APP_PATH)avr-gcc.exe$(QUOTE) -funsigned-char -funsigned-bitfields -DF_CPU=16000000 -O3 -fpack-struct -fshort-enums -g2 -Wall -c -std=gnu99 -mmcu=atmega328 -MD -MP -MF"$(@:%.o=%.d)" -MT"$(@:%.o=%.d)" -o"$@" "$<" + @echo Finished building: $< + + + +# AVR/GNU Assembler + + + + +ifneq ($(MAKECMDGOALS),clean) +ifneq ($(strip $(C_DEPS)),) +-include $(C_DEPS) +endif +endif + +# Add inputs and outputs from these tool invocations to the build variables + +# All Target +all: $(OUTPUT_FILE_PATH) $(ADDITIONAL_DEPENDENCIES) + +# AVR/GNU C/C++ Linker +$(OUTPUT_FILE_PATH): $(OBJS) $(USER_OBJS) $(OUTPUT_FILE_DEP) + @echo Building target: $@ + @echo Invoking: AVR/GNU C/C++ Linker + $(QUOTE)$(AVR_APP_PATH)avr-gcc.exe$(QUOTE) -mmcu=atmega328 -Wl,-Map=$(MAP_FILE_PATH_AS_ARGS) -o$(OUTPUT_FILE_PATH_AS_ARGS) $(OBJS_AS_ARGS) $(USER_OBJS) $(LIBS) + @echo Finished building target: $@ + + + +$(HEX_FLASH_FILE_PATH): $(OUTPUT_FILE_PATH) + $(QUOTE)$(AVR_APP_PATH)avr-objcopy.exe$(QUOTE) -O ihex -R .eeprom -R .fuse -R .lock -R .signature $(QUOTE)$<$(QUOTE) $(QUOTE)$@$(QUOTE) + +$(HEX_EEPROM_FILE_PATH): $(OUTPUT_FILE_PATH) + -$(QUOTE)$(AVR_APP_PATH)avr-objcopy.exe$(QUOTE) -j .eeprom --set-section-flags=.eeprom=alloc,load --change-section-lma .eeprom=0 --no-change-warnings -O ihex $(QUOTE)$<$(QUOTE) $(QUOTE)$@$(QUOTE) || exit 0 + +$(LSS_FILE_PATH): $(OUTPUT_FILE_PATH) + $(QUOTE)$(AVR_APP_PATH)avr-objdump.exe$(QUOTE) -h -S $(QUOTE)$<$(QUOTE) > $(QUOTE)$@$(QUOTE) + +size: $(OUTPUT_FILE_PATH) + @$(QUOTE)$(AVR_APP_PATH)avr-size.exe$(QUOTE) -C --mcu=atmega328 $(OUTPUT_FILE_PATH_AS_ARGS) + +# Other Targets +clean: + -$(RM) $(OBJS_AS_ARGS)$(C_DEPS_AS_ARGS) $(EXECUTABLES) $(LIB_AS_ARGS) $(HEX_FLASH_FILE_PATH_AS_ARGS) $(HEX_EEPROM_FILE_PATH_AS_ARGS) $(LSS_FILE_PATH_AS_ARGS) $(MAP_FILE_PATH_AS_ARGS) + diff --git a/test_fpga/serial/serial_test/serial_test/Debug/makedep.mk b/test_fpga/serial/serial_test/serial_test/Debug/makedep.mk new file mode 100755 index 0000000..66dd760 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/makedep.mk @@ -0,0 +1,8 @@ +################################################################################ +# Automatically-generated file. Do not edit or delete the file +################################################################################ + +serial.c + +serial_test.c + diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial.d b/test_fpga/serial/serial_test/serial_test/Debug/serial.d new file mode 100755 index 0000000..1b097bc --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial.d @@ -0,0 +1,51 @@ +serial.d serial.o: .././serial.c .././serial.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdio.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/inttypes.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdint.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdint.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdarg.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stddef.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/sfr_defs.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/interrupt.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/io.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/iom328p.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/portpins.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/common.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/version.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/fuse.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/lock.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/atomic.h + +.././serial.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdio.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/inttypes.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdint.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdint.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdarg.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stddef.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/sfr_defs.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/interrupt.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/io.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/iom328p.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/portpins.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/common.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/version.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/fuse.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/lock.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/atomic.h: diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.d b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.d new file mode 100755 index 0000000..72142ca --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.d @@ -0,0 +1,58 @@ +serial_test.d serial_test.o: .././serial_test.c \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/io.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/sfr_defs.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/inttypes.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdint.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdint.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/iom328p.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/portpins.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/common.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/version.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/fuse.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/lock.h \ + .././serial.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdio.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdarg.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stddef.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/interrupt.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/atomic.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/delay.h \ + c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/delay_basic.h + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/io.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/sfr_defs.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/inttypes.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdint.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdint.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/iom328p.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/portpins.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/common.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/version.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/fuse.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/lock.h: + +.././serial.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/stdio.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stdarg.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/include/stddef.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/avr/interrupt.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/atomic.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/delay.h: + +c:\program\ files\ (x86)\atmel\avr\ studio\ 5.0\avr\ toolchain\bin\../lib/gcc/avr/4.5.1/../../../../avr/include/util/delay_basic.h: diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.eep b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.eep new file mode 100755 index 0000000..1996e8f --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.eep @@ -0,0 +1 @@ +:00000001FF diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.elf b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.elf new file mode 100755 index 0000000..4fb4af3 Binary files /dev/null and b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.elf differ diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.hex b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.hex new file mode 100755 index 0000000..15bad35 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.hex @@ -0,0 +1,324 @@ +:100000000C943A000C9457000C9457000C94570031 +:100010000C9457000C9457000C9457000C94570004 +:100020000C9457000C9457000C9457000C945700F4 +:100030000C9457000C9457000C9457000C945700E4 +:100040000C9457000C9457000C9496010C94D0011A +:100050000C9457000C9457000C9457000C945700C4 +:100060000C9457000C9457006364696E6F7073753D +:1000700078585B0011241FBECFEFD8E0DEBFCDBFA4 +:1000800011E0A0E0B1E0EAE0F4E102C005900D92D9 +:10009000AC31B107D9F712E0ACE1B1E001C01D927B +:1000A000A833B107E1F70E9409020C94030A0C94EB +:1000B0000000809128028823E1F32FB7F894E091A3 +:1000C0001C01F0911D018081909128029150909324 +:1000D00028022FBF20911C0130911D012F5F3F4F3F +:1000E00030931D0120931C0191E0263A390730F02E +:1000F00026E231E030931D0120931C0190E0089529 +:1001000090912301903849F12FB7F894E0912001A4 +:10011000F09121018083809123018F5F80932301DF +:10012000809120019091210101969093210180936B +:10013000200132E08632930730F086EA91E0909316 +:100140002101809320012FBF20E030E08091C10089 +:1001500080628093C100C90108952FEF3FEF809125 +:10016000C10080628093C100C90108951092C5004A +:1001700087E68093C40086E08093C20086E291E027 +:1001800090931D0180931C0190932501809324017D +:1001900086EA91E090931F0180931E0190932101C4 +:1001A000809320011092280210922301559888E92B +:1001B0008093C10078940895809128028823E1F308 +:1001C0002FB7F894E0911C01F0911D01808190916E +:1001D00028029150909328022FBF20911C0130914A +:1001E0001D012F5F3F4F30931D0120931C0191E0B3 +:1001F000263A390730F026E231E030931D01209392 +:100200001C01089580912802882311F12FB7F894DA +:10021000E0911C01F0911D01808190912802915084 +:10022000909328022FBF20911C0130911D012F5F58 +:100230003F4F30931D0120931C0191E0263A39076E +:1002400040F026E231E030931D0120931C01089517 +:1002500080E0089590912301903839F12FB7F894F8 +:10026000E0912001F09121018083809123018F5F33 +:100270008093230180912001909121010196909318 +:1002800021018093200132E08632930730F086EA24 +:1002900091E090932101809320012FBF80E0909105 +:1002A000C10090629093C10008958FEF9091C100BA +:1002B00090629093C1000895FC018081882349F1E8 +:1002C000319626EA31E0909123019038D1F04FB772 +:1002D000F894A0912001B09121018C938091230189 +:1002E0008F5F8093230180912001909121010196DD +:1002F000909321018093200152E08632950750F4BB +:100300004FBF8091C10080628093C100819188239A +:10031000D1F608953093210120932001F1CF80E898 +:1003200090E069E570E00E94430208951F920F92E9 +:100330000FB60F9211242F938F939F93EF93FF93F8 +:100340005D9A8091280287FD19C0E0912401F09107 +:1003500025018091C6008083809124019091250120 +:100360000196909325018093240121E0863A92071B +:1003700080F4809128028F5F809328025D98FF911E +:10038000EF919F918F912F910F900FBE0F901F9023 +:10039000189586E291E09093250180932401E9CF9E +:1003A0001F920F920FB60F9211248F939F93EF938A +:1003B000FF93809123018823F1F0E0911E01F091D9 +:1003C0001F0181918093C600F0931F01E0931E01ED +:1003D00082E0E632F80730F086EA91E090931F0160 +:1003E00080931E018091230181508093230180918D +:1003F0002301882329F48091C1008F7D8093C1005F +:10040000FF91EF919F918F910F900FBE0F901F90D2 +:100410001895EF92FF920F931F93CF93DF938BE288 +:1004200090E06AE270E045E026E00E94B6000E949B +:100430008F0180E0E82E81E0F82E0DE212E0C3E0AB +:10044000D1E000D000D0ADB7BEB71296FC92EE92CC +:10045000119714961C930E9313970E94A302EDB765 +:10046000FEB73196ADB7BEB71296DC93CE93119717 +:1004700080912D02828313820E948E020F900F9032 +:100480000F900F90DECF0F931F93CF93DF938C01CC +:10049000EB01009711F42097C9F181E090E06EE044 +:1004A00070E00E945B07FC019C01009789F180E8E5 +:1004B0008383209771F0D387C28781E883838091FB +:1004C0002E0290912F02009721F4F0932F02E093D7 +:1004D0002E0201151105E1F01187008783818260EA +:1004E00083838091300290913102009789F4F093D8 +:1004F0003102E093300280913202909133020097F2 +:1005000039F4F0933302E093320202C020E030E08D +:10051000C901DF91CF911F910F910895A0E0B0E044 +:10052000E4E9F2E00C94DC09FE01359661917191E9 +:100530008091300290913102AF010E94B802209662 +:10054000E2E00C94F809A0E0B0E0E9EAF2E00C94F3 +:10055000DC09FE0135966191719180912E02909196 +:100560002F02AF010E9434062096E2E00C94F809B5 +:10057000ACE0B0E0EEEBF2E00C94CC096C011B01B6 +:100580008A01FC0117821682838181FFD1C12E016D +:100590000894411C511CF6019381F10193FD859152 +:1005A00093FF81911F01882309F4BEC1853239F47C +:1005B00093FD859193FF81911F01853229F490E08D +:1005C000B6010E942409E7CFEE24FF2420E0203268 +:1005D000B0F48B3269F08C3228F4803251F08332DF +:1005E00071F40BC08D3239F0803349F421602CC096 +:1005F0002260246029C0286027C0206125C027FD13 +:100600002CC0382F30533A3098F426FF08C08E2D76 +:10061000880FE82EEE0CEE0CE80EE30E15C08F2DC1 +:10062000880FF82EFF0CFF0CF80EF30E20620CC0A2 +:100630008E3221F426FD78C1206406C08C3611F478 +:10064000206802C0883649F4F10193FD859193FF3B +:1006500081911F01882309F0BACF982F95549330C8 +:1006600018F09052933028F40C5F1F4FFFE3F9838A +:100670000DC0833631F0833771F0833509F060C0E7 +:1006800022C0F801808189830E5F1F4F420171E013 +:10069000A72EB12C16C062E0662E712C600E711E62 +:1006A000F8018080918026FF03C06E2D70E002C0AB +:1006B0006FEF7FEFC4012C870E94D7085C01830194 +:1006C0002C852F7717C052E0652E712C600E711E9D +:1006D000F8018080918026FF03C06E2D70E002C07B +:1006E0006FEF7FEFC4012C870E94C5085C012C8549 +:1006F0002068830123FD20C008C080E290E0B6019D +:100700002C870E942409FA942C858F2D90E0A8163E +:10071000B90698F311C0F40127FD859127FF819157 +:100720004F0190E0B6012C870E9424092C85F1101E +:10073000FA940894A108B108A114B10461F7F1C0BA +:10074000843611F0893649F527FF08C0F801608129 +:100750007181828193810C5F1F4F09C0F801608114 +:100760007181882777FD8095982F0E5F1F4F4FE688 +:10077000B42EB22297FF09C090958095709561952F +:100780007F4F8F4F9F4FF0E8BF2AA2012AE030E051 +:100790000E946B09782E741845C0853731F43FEEFE +:1007A000B32EB2222AE030E025C099EFB92EB22252 +:1007B0008F36C1F0803720F4883509F0B5C00DC000 +:1007C000803721F0883709F0AFC002C020E1B22A9B +:1007D000B4FE0BC084E0B82A08C0B4FE09C0E6E04D +:1007E000BE2A06C028E030E005C020E130E002C0AB +:1007F00020E132E0B7FE08C0F8016081718182819A +:1008000093810C5F1F4F07C0F8016081718180E008 +:1008100090E00E5F1F4FA2010E946B09782E7418A2 +:10082000FFE7BF22B6FE0BC02EEFB2227E1438F4D3 +:10083000B4FE07C0B2FC05C08FEEB82202C0A72CE0 +:1008400001C0AE2C8B2D90E0B4FE0DC0FE01E70D73 +:10085000F11D2081203319F4E9EEBE2209C0A394D2 +:10086000B2FE06C004C086789070009709F0A39489 +:100870008B2C9924B3FC14C0B0FE0FC0AF1428F425 +:10088000E72CEF0CEA18AF2C08C0E72C06C080E27A +:1008900090E0B6010E942409A394AF14C0F304C0F1 +:1008A000AF1410F4FA1801C0FF2484FE0FC080E3D7 +:1008B00090E0B6010E94240982FE1FC081FE03C0A1 +:1008C00088E590E010C088E790E00DC0C40186780C +:1008D0009070009791F081FC02C080E201C08BE231 +:1008E000B7FC8DE290E0B6010E94240906C080E3C7 +:1008F00090E0B6010E942409EA947E14C0F37A9431 +:10090000F201E70DF11D808190E0B6010E942409FB +:100910007720A9F706C080E290E0B6010E94240982 +:10092000FA94FF20C1F737CEF6012681378102C045 +:100930002FEF3FEFC9012C96E2E10C94E80920FD6E +:1009400009C0FC0123FD05C022FF02C0738362833E +:10095000518340830895EF92FF920F931F9344FDBC +:1009600010C046FD10C0DB01FC01AA0FBB1FEE1F2B +:10097000FF1F1094D1F76A0F7B1F8E1F9F1F31E05E +:1009800003C033E001C034E0660F771F881F991F52 +:100990003150D1F77B018C01E20EF11C011D111DBC +:1009A000A8019701B701CA011F910F91FF90EF9025 +:1009B0000895EF92FF920F931F93CF93DF938C01D3 +:1009C000C8010E94E208EC0197FD09C00E94B2082C +:1009D0000097B1F77E01CE01B8010E945009CE0107 +:1009E000CDB7DEB7E6E00C94F4098F929F92AF92F8 +:1009F000BF92DF92EF92FF920F931F93DF93CF93FB +:100A00000F92CDB7DEB74C01D62E5A01122F0E949D +:100A1000E2088B3219F08D3249F41068DA9409F447 +:100A20006CC0C4010E94E20897FD67C0312F3D7F72 +:100A3000232F2073F1F48033E1F4DA9409F448C0F1 +:100A4000C40139830E94E208398197FD41C03260B8 +:100A5000883711F0883559F43064DA94C9F1C4014B +:100A600039830E94E208398197FF03C031C036FF05 +:100A70003061EE24FF248701282F2053283090F086 +:100A800034FD09C02A3070F036FF05C02F7D422F9B +:100A90004151463030F0B40139830E945009398108 +:100AA00014C02750C801B701432F39830E94AB04FB +:100AB0007B018C0139813260DA9469F0C401398399 +:100AC0000E94E208398197FFD7CF31FD04C015C0DD +:100AD000EE24FF24870137FF08C010950095F0949D +:100AE000E094E11CF11C011D111DC501B801A70115 +:100AF000232F0E949F0481E001C080E00F90CF91DE +:100B0000DF911F910F91FF90EF90DF90BF90AF901A +:100B10009F908F900895A1E2B0E0E1E9F5E00C9498 +:100B2000D0096C018A0179019E012F5F3F4F80E25D +:100B3000F90111928A95E9F7F601838080E090E04F +:100B4000BB24992470E0A1E0B0E0F70183FCA590FC +:100B500083FEA1907F01AA2009F47FC0FA2DFE3503 +:100B600011F4009751F1472F50E04817590744F40A +:100B70004A2D4D3551F14D3219F4992001F103C040 +:100B8000992009F4BA2C4A2D469546954695F901C7 +:100B9000E40FF11D4A2D47703D0102C0660C771C21 +:100BA0004A95E2F7908096289082AB1459F0AB14E6 +:100BB00010F4A394E8CFAA94E6CF71E004C099247E +:100BC000939401C099240196C0CF992019F08E8189 +:100BD00080628E83772351F0CE018196FE0131969B +:100BE000208120952193E817F907D1F7BB24B3940E +:100BF0004E010894811C911C01C0BB24C60169A34D +:100C00000E94E20869A197FD20C0282F269526950D +:100C10002695F401E20FF11D208130E0AC01477010 +:100C2000507002C0359527954A95E2F720FD04C023 +:100C3000B6010E94500909C00115110519F0F8010B +:100C400081938F016150C9F602C0BB2031F40115B8 +:100C5000110529F0F801108202C0EE24FF24C7011B +:100C6000A196EEE00C94EC094F925F926F927F9206 +:100C70009F92AF92BF92CF92DF92EF92FF920F932B +:100C80001F93DF93CF930F92CDB7DEB77C016B013B +:100C90003A01FC011782168200E0E9C0812F90E042 +:100CA0000E94B208009721F0C7010E94D904DFC05A +:100CB000153241F4F601A3FC3591A3FE31916F0189 +:100CC000353261F4C7010E94E20897FDDCC01817B5 +:100CD00009F4CDC0B7010E945009D7C03A3239F4A7 +:100CE000A3FC3591A3FE31916F0111E001C010E02A +:100CF00099240FC01260692D70E080E090E040E21E +:100D00000E94AB04962EF601A3FC3591A3FE31910F +:100D10006F01232F20532A3068F311FF03C099205D +:100D200019F4B3C099249A94383619F03C3689F4F2 +:100D300009C0F601A3FC3591A3FE31916F0138364D +:100D400041F418601460F601A3FC3591A3FE3191C3 +:100D50006F01332309F499C088E690E0632F70E0B7 +:100D600039830E94BA083981009709F48EC0812F17 +:100D700010FD08C0F3014080518042E050E0640E55 +:100D8000751E02C0442455243E3651F4F7014681B5 +:100D9000578160E070E0C201212F0E949F0467C06C +:100DA000333691F481FD02C099249394C7010E94C7 +:100DB000E20897FD68C04114510419F0F2018193D3 +:100DC0002F019A9499F751C03B3559F4C701692D09 +:100DD000A20196010E948B056C01009709F045C0A5 +:100DE0003FC0C70139830E94D904398197FD4BC0A8 +:100DF0003F3659F1303728F4343629F1393639F590 +:100E000027C0333719F0353711F51DC0C7010E94CF +:100E1000E2085C0197FD11C00E94B208009729F01A +:100E2000C501B7010E94500908C04114510419F0CE +:100E3000F201A1922F019A9449F741145104A9F0AB +:100E4000F201108212C0106203C0106101C0106470 +:100E5000C701692DA201212F0E94F504882329F4DE +:100E6000F7018381807379F410C010FF0F5FF701E1 +:100E7000A380F601A3FC1591A3FE11916F0111232C +:100E800009F00CCF02C0002311F010E002C00FEFF8 +:100E90001FEFC8010F90CF91DF911F910F91FF902D +:100EA000EF90DF90CF90BF90AF909F907F906F902A +:100EB0005F904F900895EF92FF920F931F93CF93FF +:100EC000DF93689F8001699F100D789F100D11249A +:100ED000C8010E947F07E82EE7017E01F92EE70195 +:100EE000209731F08E2D60E070E0A8010E94D008BC +:100EF0007E01CE01CDB7DEB7E6E00C94F409CF93C6 +:100F0000DF938230910510F482E090E0E0913602A8 +:100F1000F091370240E050E020E030E026C06081F0 +:100F2000718168177907E0F06817790781F4828189 +:100F300093812115310531F0D90113969C938E933D +:100F400012972BC0909337028093360226C041152A +:100F5000510519F06417750718F4AB01E901DF01B9 +:100F60009F0172816381E72FF62F3097C1F64115FB +:100F7000510501F1481B590B4430510580F412967C +:100F80008D919C911397209719F09B838A8304C0BD +:100F90009093370280933602FD01329646C0FD01E0 +:100FA000E40FF51F819391934250504011965C934A +:100FB0004E933BC02091340230913502211531050A +:100FC00041F420911701309118013093350220939C +:100FD00034022091190130911A012115310541F493 +:100FE0002DB73EB74091150150911601241B350BCA +:100FF000E0913402F0913502E217F307A0F42E1BC2 +:101000003F0B2817390778F0AC014E5F5F4F24176C +:10101000350748F04E0F5F1F50933502409334025E +:101020008193919302C0E0E0F0E0CF01DF91CF9196 +:101030000895CF93DF93009709F491C0FC01329794 +:101040001382128260913602709137026115710528 +:1010500081F420813181280F391F809134029091D1 +:1010600035028217930799F5F0933502E093340225 +:1010700076C0DB0180E090E002C0CD01D901AE175F +:10108000BF0748F412962D913C9113972115310515 +:10109000A1F7CD0121C0B383A283EF0149915991FA +:1010A0009E01240F351FA217B30779F42D913C91AF +:1010B0001197240F351F2E5F3F4F318320831296E7 +:1010C0002D913C91139733832283009729F4F09359 +:1010D0003702E093360243C0DC011396FC93EE9393 +:1010E00012974D915D91A40FB51FEA17FB0769F4A4 +:1010F00020813181240F351F2E5F3F4FEC01398352 +:101100002883228133813B832A83E0E0F0E002C020 +:10111000FB01BC01DB0112968D919C911397009706 +:10112000B9F79B012E5F3F4F8D919C911197820FD4 +:10113000931F40913402509135024817590779F4B2 +:10114000309729F4109237021092360202C01382AF +:101150001282225030403093350220933402DF91C6 +:10116000CF910895911115C1803219F089508550A1 +:10117000D0F70895FC010590061621F00020D9F75C +:10118000C00108953197CF010895FC010590615089 +:1011900070400110D8F7809590958E0F9F1F08958D +:1011A000DC0101C06D9341505040E0F70895FC010F +:1011B0006150704001900110D8F7809590958E0F86 +:1011C0009F1F0895CF93DF93EC013B8130FF36C022 +:1011D00036FF09C03F7B3B838E819F8101969F83B1 +:1011E0008E832A8129C032FF0FC0E881F981808176 +:1011F000992787FD9095009719F430623B831EC0B4 +:101200003196F983E88311C0EA85FB85CE01099503 +:1012100097FF0BC02B813FEF8F3F930711F480E1C5 +:1012200001C080E2822B8B8309C02E813F812F5F1A +:101230003F4F3F832E83282F30E002C02FEF3FEF38 +:10124000C901DF91CF9108950F931F93CF93DF933F +:101250008C01EB018B8181FF1BC082FF0DC02E81B1 +:101260003F818C819D812817390764F4E881F981D9 +:101270000193F983E88306C0E885F985802F0995F5 +:10128000009731F48E819F8101969F838E8302C0E7 +:101290000FEF1FEFC801DF91CF911F910F910895BC +:1012A000FB01238120FF13C026FD11C03FEF8F3FBC +:1012B000930769F0828320642F7D23832681378101 +:1012C0002150304037832683282F30E002C02FEF93 +:1012D0003FEFC9010895FA01AA27283051F12031C2 +:1012E00081F1E8946F936E7F6E5F7F4F8F4F9F4FBA +:1012F000AF4FB1E03ED0B4E03CD0670F781F891FFC +:101300009A1FA11D680F791F8A1F911DA11D6A0FC9 +:10131000711D811D911DA11D20D009F468943F917C +:101320002AE0269F11243019305D3193DEF6CF017B +:101330000895462F4770405D4193B3E00FD0C9F741 +:10134000F6CF462F4F70405D4A3318F0495D31FDAE +:101350004052419302D0A9F7EACFB4E0A695979501 +:10136000879577956795BA95C9F7009761057105D7 +:1013700008959B01AC010A2E069457954795379521 +:101380002795BA95C9F7620F731F841F951FA01D7B +:1013900008959927882708952F923F924F925F9240 +:1013A0006F927F928F929F92AF92BF92CF92DF9275 +:1013B000EF92FF920F931F93CF93DF93CDB7DEB7DA +:1013C000CA1BDB0B0FB6F894DEBF0FBECDBF09946E +:1013D0002A88398848885F846E847D848C849B84C5 +:1013E000AA84B984C884DF80EE80FD800C811B81D3 +:1013F000AA81B981CE0FD11D0FB6F894DEBF0FBE02 +:0A140000CDBFED010895F894FFCF71 +:10140A00256300596F757220636861722077617372 +:0C141A002025630A0020003802000000BA +:00000001FF diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.lss b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.lss new file mode 100755 index 0000000..f122eca --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.lss @@ -0,0 +1,2854 @@ + +serial_test.elf: file format elf32-avr + +Sections: +Idx Name Size VMA LMA File off Algn + 0 .data 0000001c 00800100 0000140a 0000149e 2**0 + CONTENTS, ALLOC, LOAD, DATA + 1 .text 0000140a 00000000 00000000 00000094 2**1 + CONTENTS, ALLOC, LOAD, READONLY, CODE + 2 .bss 0000011c 0080011c 0080011c 000014ba 2**0 + ALLOC + 3 .stab 00000e88 00000000 00000000 000014bc 2**2 + CONTENTS, READONLY, DEBUGGING + 4 .stabstr 0000030d 00000000 00000000 00002344 2**0 + CONTENTS, READONLY, DEBUGGING + 5 .debug_aranges 000001b0 00000000 00000000 00002651 2**0 + CONTENTS, READONLY, DEBUGGING + 6 .debug_pubnames 0000033f 00000000 00000000 00002801 2**0 + CONTENTS, READONLY, DEBUGGING + 7 .debug_info 00001e2d 00000000 00000000 00002b40 2**0 + CONTENTS, READONLY, DEBUGGING + 8 .debug_abbrev 00000e33 00000000 00000000 0000496d 2**0 + CONTENTS, READONLY, DEBUGGING + 9 .debug_line 0000229b 00000000 00000000 000057a0 2**0 + CONTENTS, READONLY, DEBUGGING + 10 .debug_frame 00000270 00000000 00000000 00007a3c 2**2 + CONTENTS, READONLY, DEBUGGING + 11 .debug_str 0000074f 00000000 00000000 00007cac 2**0 + CONTENTS, READONLY, DEBUGGING + 12 .debug_loc 000019ec 00000000 00000000 000083fb 2**0 + CONTENTS, READONLY, DEBUGGING + 13 .debug_pubtypes 000002ac 00000000 00000000 00009de7 2**0 + CONTENTS, READONLY, DEBUGGING + 14 .debug_ranges 000001b8 00000000 00000000 0000a093 2**0 + CONTENTS, READONLY, DEBUGGING + +Disassembly of section .text: + +00000000 <__vectors>: + 0: 0c 94 3a 00 jmp 0x74 ; 0x74 <__ctors_end> + 4: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 8: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + c: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 10: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 14: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 18: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 1c: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 20: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 24: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 28: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 2c: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 30: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 34: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 38: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 3c: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 40: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 44: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 48: 0c 94 96 01 jmp 0x32c ; 0x32c <__vector_18> + 4c: 0c 94 d0 01 jmp 0x3a0 ; 0x3a0 <__vector_19> + 50: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 54: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 58: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 5c: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 60: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + 64: 0c 94 57 00 jmp 0xae ; 0xae <__bad_interrupt> + +00000068 <__c.2050>: + 68: 63 64 69 6e 6f 70 73 75 78 58 5b 00 cdinopsuxX[. + +00000074 <__ctors_end>: + 74: 11 24 eor r1, r1 + 76: 1f be out 0x3f, r1 ; 63 + 78: cf ef ldi r28, 0xFF ; 255 + 7a: d8 e0 ldi r29, 0x08 ; 8 + 7c: de bf out 0x3e, r29 ; 62 + 7e: cd bf out 0x3d, r28 ; 61 + +00000080 <__do_copy_data>: + 80: 11 e0 ldi r17, 0x01 ; 1 + 82: a0 e0 ldi r26, 0x00 ; 0 + 84: b1 e0 ldi r27, 0x01 ; 1 + 86: ea e0 ldi r30, 0x0A ; 10 + 88: f4 e1 ldi r31, 0x14 ; 20 + 8a: 02 c0 rjmp .+4 ; 0x90 <__do_copy_data+0x10> + 8c: 05 90 lpm r0, Z+ + 8e: 0d 92 st X+, r0 + 90: ac 31 cpi r26, 0x1C ; 28 + 92: b1 07 cpc r27, r17 + 94: d9 f7 brne .-10 ; 0x8c <__do_copy_data+0xc> + +00000096 <__do_clear_bss>: + 96: 12 e0 ldi r17, 0x02 ; 2 + 98: ac e1 ldi r26, 0x1C ; 28 + 9a: b1 e0 ldi r27, 0x01 ; 1 + 9c: 01 c0 rjmp .+2 ; 0xa0 <.do_clear_bss_start> + +0000009e <.do_clear_bss_loop>: + 9e: 1d 92 st X+, r1 + +000000a0 <.do_clear_bss_start>: + a0: a8 33 cpi r26, 0x38 ; 56 + a2: b1 07 cpc r27, r17 + a4: e1 f7 brne .-8 ; 0x9e <.do_clear_bss_loop> + a6: 0e 94 09 02 call 0x412 ; 0x412
+ aa: 0c 94 03 0a jmp 0x1406 ; 0x1406 <_exit> + +000000ae <__bad_interrupt>: + ae: 0c 94 00 00 jmp 0 ; 0x0 <__vectors> + +000000b2 : +char USART_blocking_getchar(void) +{ + char ret; + + //If there's no data, block. + while(!rx_bytes); + b2: 80 91 28 02 lds r24, 0x0228 + b6: 88 23 and r24, r24 + b8: e1 f3 breq .-8 ; 0xb2 + + + //Then pull a byte and adjust the shared count. + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + ba: 2f b7 in r18, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + bc: f8 94 cli + { + ret = *rx_buf_head; + be: e0 91 1c 01 lds r30, 0x011C + c2: f0 91 1d 01 lds r31, 0x011D + c6: 80 81 ld r24, Z + + --rx_bytes; + c8: 90 91 28 02 lds r25, 0x0228 + cc: 91 50 subi r25, 0x01 ; 1 + ce: 90 93 28 02 sts 0x0228, r25 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + d2: 2f bf out 0x3f, r18 ; 63 + // *fc_port &= ~_BV(cts_bit); + } + + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + d4: 20 91 1c 01 lds r18, 0x011C + d8: 30 91 1d 01 lds r19, 0x011D + dc: 2f 5f subi r18, 0xFF ; 255 + de: 3f 4f sbci r19, 0xFF ; 255 + e0: 30 93 1d 01 sts 0x011D, r19 + e4: 20 93 1c 01 sts 0x011C, r18 + e8: 91 e0 ldi r25, 0x01 ; 1 + ea: 26 3a cpi r18, 0xA6 ; 166 + ec: 39 07 cpc r19, r25 + ee: 30 f0 brcs .+12 ; 0xfc + rx_buf_head = rx_buffer; + f0: 26 e2 ldi r18, 0x26 ; 38 + f2: 31 e0 ldi r19, 0x01 ; 1 + f4: 30 93 1d 01 sts 0x011D, r19 + f8: 20 93 1c 01 sts 0x011C, r18 + +//This function parallels usb_stream_out, except is is designed to handle reads from the standard input. +int serial_stream_in(FILE * stream) +{ + return USART_blocking_getchar(); +} + fc: 90 e0 ldi r25, 0x00 ; 0 + fe: 08 95 ret + +00000100 : +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + 100: 90 91 23 01 lds r25, 0x0123 + 104: 90 38 cpi r25, 0x80 ; 128 + 106: 49 f1 breq .+82 ; 0x15a + retval = -1; + } + //Otherwise, put the char on the buffer. + else + { + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + 108: 2f b7 in r18, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + 10a: f8 94 cli + { + *tx_buf_tail = data; + 10c: e0 91 20 01 lds r30, 0x0120 + 110: f0 91 21 01 lds r31, 0x0121 + 114: 80 83 st Z, r24 + ++tx_bytes; + 116: 80 91 23 01 lds r24, 0x0123 + 11a: 8f 5f subi r24, 0xFF ; 255 + 11c: 80 93 23 01 sts 0x0123, r24 + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + 120: 80 91 20 01 lds r24, 0x0120 + 124: 90 91 21 01 lds r25, 0x0121 + 128: 01 96 adiw r24, 0x01 ; 1 + 12a: 90 93 21 01 sts 0x0121, r25 + 12e: 80 93 20 01 sts 0x0120, r24 + 132: 32 e0 ldi r19, 0x02 ; 2 + 134: 86 32 cpi r24, 0x26 ; 38 + 136: 93 07 cpc r25, r19 + 138: 30 f0 brcs .+12 ; 0x146 + tx_buf_tail = tx_buffer; + 13a: 86 ea ldi r24, 0xA6 ; 166 + 13c: 91 e0 ldi r25, 0x01 ; 1 + 13e: 90 93 21 01 sts 0x0121, r25 + 142: 80 93 20 01 sts 0x0120, r24 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + 146: 2f bf out 0x3f, r18 ; 63 + __asm__ volatile ("" ::: "memory"); + 148: 20 e0 ldi r18, 0x00 ; 0 + 14a: 30 e0 ldi r19, 0x00 ; 0 + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + 14c: 80 91 c1 00 lds r24, 0x00C1 + 150: 80 62 ori r24, 0x20 ; 32 + 152: 80 93 c1 00 sts 0x00C1, r24 +//once for each character send to the stream. +int serial_stream_out(char c, FILE * stream) +{ + //Simply pass the character on to our virtual serial port. + return USART_putchar(c); +} + 156: c9 01 movw r24, r18 + 158: 08 95 ret +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + 15a: 2f ef ldi r18, 0xFF ; 255 + 15c: 3f ef ldi r19, 0xFF ; 255 + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + 15e: 80 91 c1 00 lds r24, 0x00C1 + 162: 80 62 ori r24, 0x20 ; 32 + 164: 80 93 c1 00 sts 0x00C1, r24 +//once for each character send to the stream. +int serial_stream_out(char c, FILE * stream) +{ + //Simply pass the character on to our virtual serial port. + return USART_putchar(c); +} + 168: c9 01 movw r24, r18 + 16a: 08 95 ret + +0000016c : + //cts_bit = cts; + //rts_bit = rts; + //fc_port = flow_port; + + //Set baud rate. + UBRR0H = (uint8_t)(BAUD_PRESCALER>>8); + 16c: 10 92 c5 00 sts 0x00C5, r1 + UBRR0L = (uint8_t)(BAUD_PRESCALER); + 170: 87 e6 ldi r24, 0x67 ; 103 + 172: 80 93 c4 00 sts 0x00C4, r24 + + //Set 8 data bits, 1 stop bit, even parity + //UCSR0C = 0x26; + UCSR0C = (3 << UCSZ00); + 176: 86 e0 ldi r24, 0x06 ; 6 + 178: 80 93 c2 00 sts 0x00C2, r24 + + //Set up buffer variables. + rx_buf_head = rx_buffer; + 17c: 86 e2 ldi r24, 0x26 ; 38 + 17e: 91 e0 ldi r25, 0x01 ; 1 + 180: 90 93 1d 01 sts 0x011D, r25 + 184: 80 93 1c 01 sts 0x011C, r24 + rx_buf_tail = rx_buffer; + 188: 90 93 25 01 sts 0x0125, r25 + 18c: 80 93 24 01 sts 0x0124, r24 + tx_buf_head = tx_buffer; + 190: 86 ea ldi r24, 0xA6 ; 166 + 192: 91 e0 ldi r25, 0x01 ; 1 + 194: 90 93 1f 01 sts 0x011F, r25 + 198: 80 93 1e 01 sts 0x011E, r24 + tx_buf_tail = tx_buffer; + 19c: 90 93 21 01 sts 0x0121, r25 + 1a0: 80 93 20 01 sts 0x0120, r24 + rx_bytes = 0; + 1a4: 10 92 28 02 sts 0x0228, r1 + tx_bytes = 0; + 1a8: 10 92 23 01 sts 0x0123, r1 + + DDRD &= ~_BV(5); + 1ac: 55 98 cbi 0x0a, 5 ; 10 + + + //Enable RX, TX, and the RXC interrupt. + UCSR0B = _BV(RXCIE0) | _BV(RXEN0) | _BV(TXEN0); + 1ae: 88 e9 ldi r24, 0x98 ; 152 + 1b0: 80 93 c1 00 sts 0x00C1, r24 + + //Start with CTS low. + //*flow_port &= ~_BV(cts); + + + sei(); + 1b4: 78 94 sei + +} + 1b6: 08 95 ret + +000001b8 : +char USART_blocking_getchar(void) +{ + char ret; + + //If there's no data, block. + while(!rx_bytes); + 1b8: 80 91 28 02 lds r24, 0x0228 + 1bc: 88 23 and r24, r24 + 1be: e1 f3 breq .-8 ; 0x1b8 + + + //Then pull a byte and adjust the shared count. + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + 1c0: 2f b7 in r18, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + 1c2: f8 94 cli + { + ret = *rx_buf_head; + 1c4: e0 91 1c 01 lds r30, 0x011C + 1c8: f0 91 1d 01 lds r31, 0x011D + 1cc: 80 81 ld r24, Z + + --rx_bytes; + 1ce: 90 91 28 02 lds r25, 0x0228 + 1d2: 91 50 subi r25, 0x01 ; 1 + 1d4: 90 93 28 02 sts 0x0228, r25 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + 1d8: 2f bf out 0x3f, r18 ; 63 + // *fc_port &= ~_BV(cts_bit); + } + + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + 1da: 20 91 1c 01 lds r18, 0x011C + 1de: 30 91 1d 01 lds r19, 0x011D + 1e2: 2f 5f subi r18, 0xFF ; 255 + 1e4: 3f 4f sbci r19, 0xFF ; 255 + 1e6: 30 93 1d 01 sts 0x011D, r19 + 1ea: 20 93 1c 01 sts 0x011C, r18 + 1ee: 91 e0 ldi r25, 0x01 ; 1 + 1f0: 26 3a cpi r18, 0xA6 ; 166 + 1f2: 39 07 cpc r19, r25 + 1f4: 30 f0 brcs .+12 ; 0x202 + rx_buf_head = rx_buffer; + 1f6: 26 e2 ldi r18, 0x26 ; 38 + 1f8: 31 e0 ldi r19, 0x01 ; 1 + 1fa: 30 93 1d 01 sts 0x011D, r19 + 1fe: 20 93 1c 01 sts 0x011C, r18 + + + //Return the byte we received. + return ret; +} + 202: 08 95 ret + +00000204 : +char USART_getchar(void) +{ + char ret; + + //If there's no data, return null. + if (!rx_bytes) + 204: 80 91 28 02 lds r24, 0x0228 + 208: 88 23 and r24, r24 + 20a: 11 f1 breq .+68 ; 0x250 + ret = 0x00; + //Otherwise, pull a byte and adjust the shared count. + else + { + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + 20c: 2f b7 in r18, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + 20e: f8 94 cli + { + ret = *rx_buf_head; + 210: e0 91 1c 01 lds r30, 0x011C + 214: f0 91 1d 01 lds r31, 0x011D + 218: 80 81 ld r24, Z + + --rx_bytes; + 21a: 90 91 28 02 lds r25, 0x0228 + 21e: 91 50 subi r25, 0x01 ; 1 + 220: 90 93 28 02 sts 0x0228, r25 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + 224: 2f bf out 0x3f, r18 ; 63 + //if(--rx_bytes == RX_WATERMARK) + // *fc_port &= ~_BV(cts_bit); + } + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + 226: 20 91 1c 01 lds r18, 0x011C + 22a: 30 91 1d 01 lds r19, 0x011D + 22e: 2f 5f subi r18, 0xFF ; 255 + 230: 3f 4f sbci r19, 0xFF ; 255 + 232: 30 93 1d 01 sts 0x011D, r19 + 236: 20 93 1c 01 sts 0x011C, r18 + 23a: 91 e0 ldi r25, 0x01 ; 1 + 23c: 26 3a cpi r18, 0xA6 ; 166 + 23e: 39 07 cpc r19, r25 + 240: 40 f0 brcs .+16 ; 0x252 + rx_buf_head = rx_buffer; + 242: 26 e2 ldi r18, 0x26 ; 38 + 244: 31 e0 ldi r19, 0x01 ; 1 + 246: 30 93 1d 01 sts 0x011D, r19 + 24a: 20 93 1c 01 sts 0x011C, r18 + 24e: 08 95 ret +{ + char ret; + + //If there's no data, return null. + if (!rx_bytes) + ret = 0x00; + 250: 80 e0 ldi r24, 0x00 ; 0 + rx_buf_head = rx_buffer; + } + + //Return the byte we received (or didn't). + return ret; +} + 252: 08 95 ret + +00000254 : +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + 254: 90 91 23 01 lds r25, 0x0123 + 258: 90 38 cpi r25, 0x80 ; 128 + 25a: 39 f1 breq .+78 ; 0x2aa + retval = -1; + } + //Otherwise, put the char on the buffer. + else + { + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + 25c: 2f b7 in r18, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + 25e: f8 94 cli + { + *tx_buf_tail = data; + 260: e0 91 20 01 lds r30, 0x0120 + 264: f0 91 21 01 lds r31, 0x0121 + 268: 80 83 st Z, r24 + ++tx_bytes; + 26a: 80 91 23 01 lds r24, 0x0123 + 26e: 8f 5f subi r24, 0xFF ; 255 + 270: 80 93 23 01 sts 0x0123, r24 + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + 274: 80 91 20 01 lds r24, 0x0120 + 278: 90 91 21 01 lds r25, 0x0121 + 27c: 01 96 adiw r24, 0x01 ; 1 + 27e: 90 93 21 01 sts 0x0121, r25 + 282: 80 93 20 01 sts 0x0120, r24 + 286: 32 e0 ldi r19, 0x02 ; 2 + 288: 86 32 cpi r24, 0x26 ; 38 + 28a: 93 07 cpc r25, r19 + 28c: 30 f0 brcs .+12 ; 0x29a + tx_buf_tail = tx_buffer; + 28e: 86 ea ldi r24, 0xA6 ; 166 + 290: 91 e0 ldi r25, 0x01 ; 1 + 292: 90 93 21 01 sts 0x0121, r25 + 296: 80 93 20 01 sts 0x0120, r24 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + 29a: 2f bf out 0x3f, r18 ; 63 + } + retval = 0; + 29c: 80 e0 ldi r24, 0x00 ; 0 + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + 29e: 90 91 c1 00 lds r25, 0x00C1 + 2a2: 90 62 ori r25, 0x20 ; 32 + 2a4: 90 93 c1 00 sts 0x00C1, r25 + + + return retval; +} + 2a8: 08 95 ret + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + { + retval = -1; + 2aa: 8f ef ldi r24, 0xFF ; 255 + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + 2ac: 90 91 c1 00 lds r25, 0x00C1 + 2b0: 90 62 ori r25, 0x20 ; 32 + 2b2: 90 93 c1 00 sts 0x00C1, r25 + + + return retval; +} + 2b6: 08 95 ret + +000002b8 : + +//Transmits a string on the USART. +void USART_putstring(char * string) +{ + 2b8: fc 01 movw r30, r24 + //back in my days we didn't have strings + for(; *string; ++string) + 2ba: 80 81 ld r24, Z + 2bc: 88 23 and r24, r24 + 2be: 49 f1 breq .+82 ; 0x312 + + return retval; +} + +//Transmits a string on the USART. +void USART_putstring(char * string) + 2c0: 31 96 adiw r30, 0x01 ; 1 + { + *tx_buf_tail = data; + ++tx_bytes; + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + 2c2: 26 ea ldi r18, 0xA6 ; 166 + 2c4: 31 e0 ldi r19, 0x01 ; 1 +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + 2c6: 90 91 23 01 lds r25, 0x0123 + 2ca: 90 38 cpi r25, 0x80 ; 128 + 2cc: d1 f0 breq .+52 ; 0x302 + retval = -1; + } + //Otherwise, put the char on the buffer. + else + { + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + 2ce: 4f b7 in r20, 0x3f ; 63 + return 1; +} + +static __inline__ uint8_t __iCliRetVal(void) +{ + cli(); + 2d0: f8 94 cli + { + *tx_buf_tail = data; + 2d2: a0 91 20 01 lds r26, 0x0120 + 2d6: b0 91 21 01 lds r27, 0x0121 + 2da: 8c 93 st X, r24 + ++tx_bytes; + 2dc: 80 91 23 01 lds r24, 0x0123 + 2e0: 8f 5f subi r24, 0xFF ; 255 + 2e2: 80 93 23 01 sts 0x0123, r24 + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + 2e6: 80 91 20 01 lds r24, 0x0120 + 2ea: 90 91 21 01 lds r25, 0x0121 + 2ee: 01 96 adiw r24, 0x01 ; 1 + 2f0: 90 93 21 01 sts 0x0121, r25 + 2f4: 80 93 20 01 sts 0x0120, r24 + 2f8: 52 e0 ldi r21, 0x02 ; 2 + 2fa: 86 32 cpi r24, 0x26 ; 38 + 2fc: 95 07 cpc r25, r21 + 2fe: 50 f4 brcc .+20 ; 0x314 + (void)__s; +} + +static __inline__ void __iRestore(const uint8_t *__s) +{ + SREG = *__s; + 300: 4f bf out 0x3f, r20 ; 63 + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + 302: 80 91 c1 00 lds r24, 0x00C1 + 306: 80 62 ori r24, 0x20 ; 32 + 308: 80 93 c1 00 sts 0x00C1, r24 + +//Transmits a string on the USART. +void USART_putstring(char * string) +{ + //back in my days we didn't have strings + for(; *string; ++string) + 30c: 81 91 ld r24, Z+ + 30e: 88 23 and r24, r24 + 310: d1 f6 brne .-76 ; 0x2c6 + 312: 08 95 ret + *tx_buf_tail = data; + ++tx_bytes; + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + tx_buf_tail = tx_buffer; + 314: 30 93 21 01 sts 0x0121, r19 + 318: 20 93 20 01 sts 0x0120, r18 + 31c: f1 cf rjmp .-30 ; 0x300 + +0000031e : + + +//Call this to attach STDIO to the serial port. +void USART_to_stdio() +{ + fdevopen(serial_stream_out, serial_stream_in); + 31e: 80 e8 ldi r24, 0x80 ; 128 + 320: 90 e0 ldi r25, 0x00 ; 0 + 322: 69 e5 ldi r22, 0x59 ; 89 + 324: 70 e0 ldi r23, 0x00 ; 0 + 326: 0e 94 43 02 call 0x486 ; 0x486 +} + 32a: 08 95 ret + +0000032c <__vector_18>: +} + + +//Whenever a receive is complete: +ISR(USART_RX_vect) +{ + 32c: 1f 92 push r1 + 32e: 0f 92 push r0 + 330: 0f b6 in r0, 0x3f ; 63 + 332: 0f 92 push r0 + 334: 11 24 eor r1, r1 + 336: 2f 93 push r18 + 338: 8f 93 push r24 + 33a: 9f 93 push r25 + 33c: ef 93 push r30 + 33e: ff 93 push r31 + PORTD |= _BV(5); + 340: 5d 9a sbi 0x0b, 5 ; 11 + ++discard; + discard = UDR0; + ++rx_errors; + } + else */ + if (rx_bytes < RX_BUF_SIZE) + 342: 80 91 28 02 lds r24, 0x0228 + 346: 87 fd sbrc r24, 7 + 348: 19 c0 rjmp .+50 ; 0x37c <__vector_18+0x50> + { + *rx_buf_tail = UDR0; //read a byte to the buffer. + 34a: e0 91 24 01 lds r30, 0x0124 + 34e: f0 91 25 01 lds r31, 0x0125 + 352: 80 91 c6 00 lds r24, 0x00C6 + 356: 80 83 st Z, r24 + + if (++rx_buf_tail >= rx_buffer + RX_BUF_SIZE) //Increment the tail, + 358: 80 91 24 01 lds r24, 0x0124 + 35c: 90 91 25 01 lds r25, 0x0125 + 360: 01 96 adiw r24, 0x01 ; 1 + 362: 90 93 25 01 sts 0x0125, r25 + 366: 80 93 24 01 sts 0x0124, r24 + 36a: 21 e0 ldi r18, 0x01 ; 1 + 36c: 86 3a cpi r24, 0xA6 ; 166 + 36e: 92 07 cpc r25, r18 + 370: 80 f4 brcc .+32 ; 0x392 <__vector_18+0x66> + rx_buf_tail = rx_buffer; // looping to beginning of ring if necessary. + + ++rx_bytes; + 372: 80 91 28 02 lds r24, 0x0228 + 376: 8f 5f subi r24, 0xFF ; 255 + 378: 80 93 28 02 sts 0x0228, r24 + //If incrementing brings it above the high-water mark, + //if(++rx_bytes >= RX_WATERMARK) + //*fc_port |= _BV(cts_bit); //raise CTS so we don't receive any more data. + } + PORTD &= ~_BV(5); + 37c: 5d 98 cbi 0x0b, 5 ; 11 + +} + 37e: ff 91 pop r31 + 380: ef 91 pop r30 + 382: 9f 91 pop r25 + 384: 8f 91 pop r24 + 386: 2f 91 pop r18 + 388: 0f 90 pop r0 + 38a: 0f be out 0x3f, r0 ; 63 + 38c: 0f 90 pop r0 + 38e: 1f 90 pop r1 + 390: 18 95 reti + if (rx_bytes < RX_BUF_SIZE) + { + *rx_buf_tail = UDR0; //read a byte to the buffer. + + if (++rx_buf_tail >= rx_buffer + RX_BUF_SIZE) //Increment the tail, + rx_buf_tail = rx_buffer; // looping to beginning of ring if necessary. + 392: 86 e2 ldi r24, 0x26 ; 38 + 394: 91 e0 ldi r25, 0x01 ; 1 + 396: 90 93 25 01 sts 0x0125, r25 + 39a: 80 93 24 01 sts 0x0124, r24 + 39e: e9 cf rjmp .-46 ; 0x372 <__vector_18+0x46> + +000003a0 <__vector_19>: +} + + +//When the transmit buffer is empty: +ISR(USART_UDRE_vect) +{ + 3a0: 1f 92 push r1 + 3a2: 0f 92 push r0 + 3a4: 0f b6 in r0, 0x3f ; 63 + 3a6: 0f 92 push r0 + 3a8: 11 24 eor r1, r1 + 3aa: 8f 93 push r24 + 3ac: 9f 93 push r25 + 3ae: ef 93 push r30 + 3b0: ff 93 push r31 + //If there are bytes to transmit, + if(tx_bytes) + 3b2: 80 91 23 01 lds r24, 0x0123 + 3b6: 88 23 and r24, r24 + 3b8: f1 f0 breq .+60 ; 0x3f6 <__vector_19+0x56> + { + UDR0 = *tx_buf_head; //transmit them. + 3ba: e0 91 1e 01 lds r30, 0x011E + 3be: f0 91 1f 01 lds r31, 0x011F + 3c2: 81 91 ld r24, Z+ + 3c4: 80 93 c6 00 sts 0x00C6, r24 + + if (++tx_buf_head >= tx_buffer + TX_BUF_SIZE) //Also increment the head pointer. + 3c8: f0 93 1f 01 sts 0x011F, r31 + 3cc: e0 93 1e 01 sts 0x011E, r30 + 3d0: 82 e0 ldi r24, 0x02 ; 2 + 3d2: e6 32 cpi r30, 0x26 ; 38 + 3d4: f8 07 cpc r31, r24 + 3d6: 30 f0 brcs .+12 ; 0x3e4 <__vector_19+0x44> + tx_buf_head = tx_buffer; + 3d8: 86 ea ldi r24, 0xA6 ; 166 + 3da: 91 e0 ldi r25, 0x01 ; 1 + 3dc: 90 93 1f 01 sts 0x011F, r25 + 3e0: 80 93 1e 01 sts 0x011E, r24 + + if(!(--tx_bytes)) //Decrement the number of bytes waiting. + 3e4: 80 91 23 01 lds r24, 0x0123 + 3e8: 81 50 subi r24, 0x01 ; 1 + 3ea: 80 93 23 01 sts 0x0123, r24 + 3ee: 80 91 23 01 lds r24, 0x0123 + 3f2: 88 23 and r24, r24 + 3f4: 29 f4 brne .+10 ; 0x400 <__vector_19+0x60> + UCSR0B &= ~_BV(UDRIE0); //If there aren't any more, disable this interrupt. + } + else //Otherwise, disable this interrupt until we want to transmit again. + UCSR0B &= ~_BV(UDRIE0); //We shouldn't ever reach this case, but let's handle it anyway. + 3f6: 80 91 c1 00 lds r24, 0x00C1 + 3fa: 8f 7d andi r24, 0xDF ; 223 + 3fc: 80 93 c1 00 sts 0x00C1, r24 + 400: ff 91 pop r31 + 402: ef 91 pop r30 + 404: 9f 91 pop r25 + 406: 8f 91 pop r24 + 408: 0f 90 pop r0 + 40a: 0f be out 0x3f, r0 ; 63 + 40c: 0f 90 pop r0 + 40e: 1f 90 pop r1 + 410: 18 95 reti + +00000412
: +#include + +char cmd; + +int main(void) +{ + 412: ef 92 push r14 + 414: ff 92 push r15 + 416: 0f 93 push r16 + 418: 1f 93 push r17 + 41a: cf 93 push r28 + 41c: df 93 push r29 + USART_init(&PORTD, &DDRD, 5, 6); + 41e: 8b e2 ldi r24, 0x2B ; 43 + 420: 90 e0 ldi r25, 0x00 ; 0 + 422: 6a e2 ldi r22, 0x2A ; 42 + 424: 70 e0 ldi r23, 0x00 ; 0 + 426: 45 e0 ldi r20, 0x05 ; 5 + 428: 26 e0 ldi r18, 0x06 ; 6 + 42a: 0e 94 b6 00 call 0x16c ; 0x16c + USART_to_stdio(); + 42e: 0e 94 8f 01 call 0x31e ; 0x31e + 432: 80 e0 ldi r24, 0x00 ; 0 + 434: e8 2e mov r14, r24 + 436: 81 e0 ldi r24, 0x01 ; 1 + 438: f8 2e mov r15, r24 + 43a: 0d e2 ldi r16, 0x2D ; 45 + 43c: 12 e0 ldi r17, 0x02 ; 2 + 43e: c3 e0 ldi r28, 0x03 ; 3 + 440: d1 e0 ldi r29, 0x01 ; 1 + + + while(1) + { + scanf("%c", &cmd); + 442: 00 d0 rcall .+0 ; 0x444 + 444: 00 d0 rcall .+0 ; 0x446 + 446: ad b7 in r26, 0x3d ; 61 + 448: be b7 in r27, 0x3e ; 62 + 44a: 12 96 adiw r26, 0x02 ; 2 + 44c: fc 92 st X, r15 + 44e: ee 92 st -X, r14 + 450: 11 97 sbiw r26, 0x01 ; 1 + 452: 14 96 adiw r26, 0x04 ; 4 + 454: 1c 93 st X, r17 + 456: 0e 93 st -X, r16 + 458: 13 97 sbiw r26, 0x03 ; 3 + 45a: 0e 94 a3 02 call 0x546 ; 0x546 + + printf("Your char was %c\n", cmd); + 45e: ed b7 in r30, 0x3d ; 61 + 460: fe b7 in r31, 0x3e ; 62 + 462: 31 96 adiw r30, 0x01 ; 1 + 464: ad b7 in r26, 0x3d ; 61 + 466: be b7 in r27, 0x3e ; 62 + 468: 12 96 adiw r26, 0x02 ; 2 + 46a: dc 93 st X, r29 + 46c: ce 93 st -X, r28 + 46e: 11 97 sbiw r26, 0x01 ; 1 + 470: 80 91 2d 02 lds r24, 0x022D + 474: 82 83 std Z+2, r24 ; 0x02 + 476: 13 82 std Z+3, r1 ; 0x03 + 478: 0e 94 8e 02 call 0x51c ; 0x51c + 47c: 0f 90 pop r0 + 47e: 0f 90 pop r0 + 480: 0f 90 pop r0 + 482: 0f 90 pop r0 + 484: de cf rjmp .-68 ; 0x442 + +00000486 : + 486: 0f 93 push r16 + 488: 1f 93 push r17 + 48a: cf 93 push r28 + 48c: df 93 push r29 + 48e: 8c 01 movw r16, r24 + 490: eb 01 movw r28, r22 + 492: 00 97 sbiw r24, 0x00 ; 0 + 494: 11 f4 brne .+4 ; 0x49a + 496: 20 97 sbiw r28, 0x00 ; 0 + 498: c9 f1 breq .+114 ; 0x50c + 49a: 81 e0 ldi r24, 0x01 ; 1 + 49c: 90 e0 ldi r25, 0x00 ; 0 + 49e: 6e e0 ldi r22, 0x0E ; 14 + 4a0: 70 e0 ldi r23, 0x00 ; 0 + 4a2: 0e 94 5b 07 call 0xeb6 ; 0xeb6 + 4a6: fc 01 movw r30, r24 + 4a8: 9c 01 movw r18, r24 + 4aa: 00 97 sbiw r24, 0x00 ; 0 + 4ac: 89 f1 breq .+98 ; 0x510 + 4ae: 80 e8 ldi r24, 0x80 ; 128 + 4b0: 83 83 std Z+3, r24 ; 0x03 + 4b2: 20 97 sbiw r28, 0x00 ; 0 + 4b4: 71 f0 breq .+28 ; 0x4d2 + 4b6: d3 87 std Z+11, r29 ; 0x0b + 4b8: c2 87 std Z+10, r28 ; 0x0a + 4ba: 81 e8 ldi r24, 0x81 ; 129 + 4bc: 83 83 std Z+3, r24 ; 0x03 + 4be: 80 91 2e 02 lds r24, 0x022E + 4c2: 90 91 2f 02 lds r25, 0x022F + 4c6: 00 97 sbiw r24, 0x00 ; 0 + 4c8: 21 f4 brne .+8 ; 0x4d2 + 4ca: f0 93 2f 02 sts 0x022F, r31 + 4ce: e0 93 2e 02 sts 0x022E, r30 + 4d2: 01 15 cp r16, r1 + 4d4: 11 05 cpc r17, r1 + 4d6: e1 f0 breq .+56 ; 0x510 + 4d8: 11 87 std Z+9, r17 ; 0x09 + 4da: 00 87 std Z+8, r16 ; 0x08 + 4dc: 83 81 ldd r24, Z+3 ; 0x03 + 4de: 82 60 ori r24, 0x02 ; 2 + 4e0: 83 83 std Z+3, r24 ; 0x03 + 4e2: 80 91 30 02 lds r24, 0x0230 + 4e6: 90 91 31 02 lds r25, 0x0231 + 4ea: 00 97 sbiw r24, 0x00 ; 0 + 4ec: 89 f4 brne .+34 ; 0x510 + 4ee: f0 93 31 02 sts 0x0231, r31 + 4f2: e0 93 30 02 sts 0x0230, r30 + 4f6: 80 91 32 02 lds r24, 0x0232 + 4fa: 90 91 33 02 lds r25, 0x0233 + 4fe: 00 97 sbiw r24, 0x00 ; 0 + 500: 39 f4 brne .+14 ; 0x510 + 502: f0 93 33 02 sts 0x0233, r31 + 506: e0 93 32 02 sts 0x0232, r30 + 50a: 02 c0 rjmp .+4 ; 0x510 + 50c: 20 e0 ldi r18, 0x00 ; 0 + 50e: 30 e0 ldi r19, 0x00 ; 0 + 510: c9 01 movw r24, r18 + 512: df 91 pop r29 + 514: cf 91 pop r28 + 516: 1f 91 pop r17 + 518: 0f 91 pop r16 + 51a: 08 95 ret + +0000051c : + 51c: a0 e0 ldi r26, 0x00 ; 0 + 51e: b0 e0 ldi r27, 0x00 ; 0 + 520: e4 e9 ldi r30, 0x94 ; 148 + 522: f2 e0 ldi r31, 0x02 ; 2 + 524: 0c 94 dc 09 jmp 0x13b8 ; 0x13b8 <__prologue_saves__+0x20> + 528: fe 01 movw r30, r28 + 52a: 35 96 adiw r30, 0x05 ; 5 + 52c: 61 91 ld r22, Z+ + 52e: 71 91 ld r23, Z+ + 530: 80 91 30 02 lds r24, 0x0230 + 534: 90 91 31 02 lds r25, 0x0231 + 538: af 01 movw r20, r30 + 53a: 0e 94 b8 02 call 0x570 ; 0x570 + 53e: 20 96 adiw r28, 0x00 ; 0 + 540: e2 e0 ldi r30, 0x02 ; 2 + 542: 0c 94 f8 09 jmp 0x13f0 ; 0x13f0 <__epilogue_restores__+0x20> + +00000546 : + 546: a0 e0 ldi r26, 0x00 ; 0 + 548: b0 e0 ldi r27, 0x00 ; 0 + 54a: e9 ea ldi r30, 0xA9 ; 169 + 54c: f2 e0 ldi r31, 0x02 ; 2 + 54e: 0c 94 dc 09 jmp 0x13b8 ; 0x13b8 <__prologue_saves__+0x20> + 552: fe 01 movw r30, r28 + 554: 35 96 adiw r30, 0x05 ; 5 + 556: 61 91 ld r22, Z+ + 558: 71 91 ld r23, Z+ + 55a: 80 91 2e 02 lds r24, 0x022E + 55e: 90 91 2f 02 lds r25, 0x022F + 562: af 01 movw r20, r30 + 564: 0e 94 34 06 call 0xc68 ; 0xc68 + 568: 20 96 adiw r28, 0x00 ; 0 + 56a: e2 e0 ldi r30, 0x02 ; 2 + 56c: 0c 94 f8 09 jmp 0x13f0 ; 0x13f0 <__epilogue_restores__+0x20> + +00000570 : + 570: ac e0 ldi r26, 0x0C ; 12 + 572: b0 e0 ldi r27, 0x00 ; 0 + 574: ee eb ldi r30, 0xBE ; 190 + 576: f2 e0 ldi r31, 0x02 ; 2 + 578: 0c 94 cc 09 jmp 0x1398 ; 0x1398 <__prologue_saves__> + 57c: 6c 01 movw r12, r24 + 57e: 1b 01 movw r2, r22 + 580: 8a 01 movw r16, r20 + 582: fc 01 movw r30, r24 + 584: 17 82 std Z+7, r1 ; 0x07 + 586: 16 82 std Z+6, r1 ; 0x06 + 588: 83 81 ldd r24, Z+3 ; 0x03 + 58a: 81 ff sbrs r24, 1 + 58c: d1 c1 rjmp .+930 ; 0x930 <__stack+0x31> + 58e: 2e 01 movw r4, r28 + 590: 08 94 sec + 592: 41 1c adc r4, r1 + 594: 51 1c adc r5, r1 + 596: f6 01 movw r30, r12 + 598: 93 81 ldd r25, Z+3 ; 0x03 + 59a: f1 01 movw r30, r2 + 59c: 93 fd sbrc r25, 3 + 59e: 85 91 lpm r24, Z+ + 5a0: 93 ff sbrs r25, 3 + 5a2: 81 91 ld r24, Z+ + 5a4: 1f 01 movw r2, r30 + 5a6: 88 23 and r24, r24 + 5a8: 09 f4 brne .+2 ; 0x5ac + 5aa: be c1 rjmp .+892 ; 0x928 <__stack+0x29> + 5ac: 85 32 cpi r24, 0x25 ; 37 + 5ae: 39 f4 brne .+14 ; 0x5be + 5b0: 93 fd sbrc r25, 3 + 5b2: 85 91 lpm r24, Z+ + 5b4: 93 ff sbrs r25, 3 + 5b6: 81 91 ld r24, Z+ + 5b8: 1f 01 movw r2, r30 + 5ba: 85 32 cpi r24, 0x25 ; 37 + 5bc: 29 f4 brne .+10 ; 0x5c8 + 5be: 90 e0 ldi r25, 0x00 ; 0 + 5c0: b6 01 movw r22, r12 + 5c2: 0e 94 24 09 call 0x1248 ; 0x1248 + 5c6: e7 cf rjmp .-50 ; 0x596 + 5c8: ee 24 eor r14, r14 + 5ca: ff 24 eor r15, r15 + 5cc: 20 e0 ldi r18, 0x00 ; 0 + 5ce: 20 32 cpi r18, 0x20 ; 32 + 5d0: b0 f4 brcc .+44 ; 0x5fe + 5d2: 8b 32 cpi r24, 0x2B ; 43 + 5d4: 69 f0 breq .+26 ; 0x5f0 + 5d6: 8c 32 cpi r24, 0x2C ; 44 + 5d8: 28 f4 brcc .+10 ; 0x5e4 + 5da: 80 32 cpi r24, 0x20 ; 32 + 5dc: 51 f0 breq .+20 ; 0x5f2 + 5de: 83 32 cpi r24, 0x23 ; 35 + 5e0: 71 f4 brne .+28 ; 0x5fe + 5e2: 0b c0 rjmp .+22 ; 0x5fa + 5e4: 8d 32 cpi r24, 0x2D ; 45 + 5e6: 39 f0 breq .+14 ; 0x5f6 + 5e8: 80 33 cpi r24, 0x30 ; 48 + 5ea: 49 f4 brne .+18 ; 0x5fe + 5ec: 21 60 ori r18, 0x01 ; 1 + 5ee: 2c c0 rjmp .+88 ; 0x648 + 5f0: 22 60 ori r18, 0x02 ; 2 + 5f2: 24 60 ori r18, 0x04 ; 4 + 5f4: 29 c0 rjmp .+82 ; 0x648 + 5f6: 28 60 ori r18, 0x08 ; 8 + 5f8: 27 c0 rjmp .+78 ; 0x648 + 5fa: 20 61 ori r18, 0x10 ; 16 + 5fc: 25 c0 rjmp .+74 ; 0x648 + 5fe: 27 fd sbrc r18, 7 + 600: 2c c0 rjmp .+88 ; 0x65a + 602: 38 2f mov r19, r24 + 604: 30 53 subi r19, 0x30 ; 48 + 606: 3a 30 cpi r19, 0x0A ; 10 + 608: 98 f4 brcc .+38 ; 0x630 + 60a: 26 ff sbrs r18, 6 + 60c: 08 c0 rjmp .+16 ; 0x61e + 60e: 8e 2d mov r24, r14 + 610: 88 0f add r24, r24 + 612: e8 2e mov r14, r24 + 614: ee 0c add r14, r14 + 616: ee 0c add r14, r14 + 618: e8 0e add r14, r24 + 61a: e3 0e add r14, r19 + 61c: 15 c0 rjmp .+42 ; 0x648 + 61e: 8f 2d mov r24, r15 + 620: 88 0f add r24, r24 + 622: f8 2e mov r15, r24 + 624: ff 0c add r15, r15 + 626: ff 0c add r15, r15 + 628: f8 0e add r15, r24 + 62a: f3 0e add r15, r19 + 62c: 20 62 ori r18, 0x20 ; 32 + 62e: 0c c0 rjmp .+24 ; 0x648 + 630: 8e 32 cpi r24, 0x2E ; 46 + 632: 21 f4 brne .+8 ; 0x63c + 634: 26 fd sbrc r18, 6 + 636: 78 c1 rjmp .+752 ; 0x928 <__stack+0x29> + 638: 20 64 ori r18, 0x40 ; 64 + 63a: 06 c0 rjmp .+12 ; 0x648 + 63c: 8c 36 cpi r24, 0x6C ; 108 + 63e: 11 f4 brne .+4 ; 0x644 + 640: 20 68 ori r18, 0x80 ; 128 + 642: 02 c0 rjmp .+4 ; 0x648 + 644: 88 36 cpi r24, 0x68 ; 104 + 646: 49 f4 brne .+18 ; 0x65a + 648: f1 01 movw r30, r2 + 64a: 93 fd sbrc r25, 3 + 64c: 85 91 lpm r24, Z+ + 64e: 93 ff sbrs r25, 3 + 650: 81 91 ld r24, Z+ + 652: 1f 01 movw r2, r30 + 654: 88 23 and r24, r24 + 656: 09 f0 breq .+2 ; 0x65a + 658: ba cf rjmp .-140 ; 0x5ce + 65a: 98 2f mov r25, r24 + 65c: 95 54 subi r25, 0x45 ; 69 + 65e: 93 30 cpi r25, 0x03 ; 3 + 660: 18 f0 brcs .+6 ; 0x668 + 662: 90 52 subi r25, 0x20 ; 32 + 664: 93 30 cpi r25, 0x03 ; 3 + 666: 28 f4 brcc .+10 ; 0x672 + 668: 0c 5f subi r16, 0xFC ; 252 + 66a: 1f 4f sbci r17, 0xFF ; 255 + 66c: ff e3 ldi r31, 0x3F ; 63 + 66e: f9 83 std Y+1, r31 ; 0x01 + 670: 0d c0 rjmp .+26 ; 0x68c + 672: 83 36 cpi r24, 0x63 ; 99 + 674: 31 f0 breq .+12 ; 0x682 + 676: 83 37 cpi r24, 0x73 ; 115 + 678: 71 f0 breq .+28 ; 0x696 + 67a: 83 35 cpi r24, 0x53 ; 83 + 67c: 09 f0 breq .+2 ; 0x680 + 67e: 60 c0 rjmp .+192 ; 0x740 + 680: 22 c0 rjmp .+68 ; 0x6c6 + 682: f8 01 movw r30, r16 + 684: 80 81 ld r24, Z + 686: 89 83 std Y+1, r24 ; 0x01 + 688: 0e 5f subi r16, 0xFE ; 254 + 68a: 1f 4f sbci r17, 0xFF ; 255 + 68c: 42 01 movw r8, r4 + 68e: 71 e0 ldi r23, 0x01 ; 1 + 690: a7 2e mov r10, r23 + 692: b1 2c mov r11, r1 + 694: 16 c0 rjmp .+44 ; 0x6c2 + 696: 62 e0 ldi r22, 0x02 ; 2 + 698: 66 2e mov r6, r22 + 69a: 71 2c mov r7, r1 + 69c: 60 0e add r6, r16 + 69e: 71 1e adc r7, r17 + 6a0: f8 01 movw r30, r16 + 6a2: 80 80 ld r8, Z + 6a4: 91 80 ldd r9, Z+1 ; 0x01 + 6a6: 26 ff sbrs r18, 6 + 6a8: 03 c0 rjmp .+6 ; 0x6b0 + 6aa: 6e 2d mov r22, r14 + 6ac: 70 e0 ldi r23, 0x00 ; 0 + 6ae: 02 c0 rjmp .+4 ; 0x6b4 + 6b0: 6f ef ldi r22, 0xFF ; 255 + 6b2: 7f ef ldi r23, 0xFF ; 255 + 6b4: c4 01 movw r24, r8 + 6b6: 2c 87 std Y+12, r18 ; 0x0c + 6b8: 0e 94 d7 08 call 0x11ae ; 0x11ae + 6bc: 5c 01 movw r10, r24 + 6be: 83 01 movw r16, r6 + 6c0: 2c 85 ldd r18, Y+12 ; 0x0c + 6c2: 2f 77 andi r18, 0x7F ; 127 + 6c4: 17 c0 rjmp .+46 ; 0x6f4 + 6c6: 52 e0 ldi r21, 0x02 ; 2 + 6c8: 65 2e mov r6, r21 + 6ca: 71 2c mov r7, r1 + 6cc: 60 0e add r6, r16 + 6ce: 71 1e adc r7, r17 + 6d0: f8 01 movw r30, r16 + 6d2: 80 80 ld r8, Z + 6d4: 91 80 ldd r9, Z+1 ; 0x01 + 6d6: 26 ff sbrs r18, 6 + 6d8: 03 c0 rjmp .+6 ; 0x6e0 + 6da: 6e 2d mov r22, r14 + 6dc: 70 e0 ldi r23, 0x00 ; 0 + 6de: 02 c0 rjmp .+4 ; 0x6e4 + 6e0: 6f ef ldi r22, 0xFF ; 255 + 6e2: 7f ef ldi r23, 0xFF ; 255 + 6e4: c4 01 movw r24, r8 + 6e6: 2c 87 std Y+12, r18 ; 0x0c + 6e8: 0e 94 c5 08 call 0x118a ; 0x118a + 6ec: 5c 01 movw r10, r24 + 6ee: 2c 85 ldd r18, Y+12 ; 0x0c + 6f0: 20 68 ori r18, 0x80 ; 128 + 6f2: 83 01 movw r16, r6 + 6f4: 23 fd sbrc r18, 3 + 6f6: 20 c0 rjmp .+64 ; 0x738 + 6f8: 08 c0 rjmp .+16 ; 0x70a + 6fa: 80 e2 ldi r24, 0x20 ; 32 + 6fc: 90 e0 ldi r25, 0x00 ; 0 + 6fe: b6 01 movw r22, r12 + 700: 2c 87 std Y+12, r18 ; 0x0c + 702: 0e 94 24 09 call 0x1248 ; 0x1248 + 706: fa 94 dec r15 + 708: 2c 85 ldd r18, Y+12 ; 0x0c + 70a: 8f 2d mov r24, r15 + 70c: 90 e0 ldi r25, 0x00 ; 0 + 70e: a8 16 cp r10, r24 + 710: b9 06 cpc r11, r25 + 712: 98 f3 brcs .-26 ; 0x6fa + 714: 11 c0 rjmp .+34 ; 0x738 + 716: f4 01 movw r30, r8 + 718: 27 fd sbrc r18, 7 + 71a: 85 91 lpm r24, Z+ + 71c: 27 ff sbrs r18, 7 + 71e: 81 91 ld r24, Z+ + 720: 4f 01 movw r8, r30 + 722: 90 e0 ldi r25, 0x00 ; 0 + 724: b6 01 movw r22, r12 + 726: 2c 87 std Y+12, r18 ; 0x0c + 728: 0e 94 24 09 call 0x1248 ; 0x1248 + 72c: 2c 85 ldd r18, Y+12 ; 0x0c + 72e: f1 10 cpse r15, r1 + 730: fa 94 dec r15 + 732: 08 94 sec + 734: a1 08 sbc r10, r1 + 736: b1 08 sbc r11, r1 + 738: a1 14 cp r10, r1 + 73a: b1 04 cpc r11, r1 + 73c: 61 f7 brne .-40 ; 0x716 + 73e: f1 c0 rjmp .+482 ; 0x922 <__stack+0x23> + 740: 84 36 cpi r24, 0x64 ; 100 + 742: 11 f0 breq .+4 ; 0x748 + 744: 89 36 cpi r24, 0x69 ; 105 + 746: 49 f5 brne .+82 ; 0x79a + 748: 27 ff sbrs r18, 7 + 74a: 08 c0 rjmp .+16 ; 0x75c + 74c: f8 01 movw r30, r16 + 74e: 60 81 ld r22, Z + 750: 71 81 ldd r23, Z+1 ; 0x01 + 752: 82 81 ldd r24, Z+2 ; 0x02 + 754: 93 81 ldd r25, Z+3 ; 0x03 + 756: 0c 5f subi r16, 0xFC ; 252 + 758: 1f 4f sbci r17, 0xFF ; 255 + 75a: 09 c0 rjmp .+18 ; 0x76e + 75c: f8 01 movw r30, r16 + 75e: 60 81 ld r22, Z + 760: 71 81 ldd r23, Z+1 ; 0x01 + 762: 88 27 eor r24, r24 + 764: 77 fd sbrc r23, 7 + 766: 80 95 com r24 + 768: 98 2f mov r25, r24 + 76a: 0e 5f subi r16, 0xFE ; 254 + 76c: 1f 4f sbci r17, 0xFF ; 255 + 76e: 4f e6 ldi r20, 0x6F ; 111 + 770: b4 2e mov r11, r20 + 772: b2 22 and r11, r18 + 774: 97 ff sbrs r25, 7 + 776: 09 c0 rjmp .+18 ; 0x78a + 778: 90 95 com r25 + 77a: 80 95 com r24 + 77c: 70 95 com r23 + 77e: 61 95 neg r22 + 780: 7f 4f sbci r23, 0xFF ; 255 + 782: 8f 4f sbci r24, 0xFF ; 255 + 784: 9f 4f sbci r25, 0xFF ; 255 + 786: f0 e8 ldi r31, 0x80 ; 128 + 788: bf 2a or r11, r31 + 78a: a2 01 movw r20, r4 + 78c: 2a e0 ldi r18, 0x0A ; 10 + 78e: 30 e0 ldi r19, 0x00 ; 0 + 790: 0e 94 6b 09 call 0x12d6 ; 0x12d6 <__ultoa_invert> + 794: 78 2e mov r7, r24 + 796: 74 18 sub r7, r4 + 798: 45 c0 rjmp .+138 ; 0x824 + 79a: 85 37 cpi r24, 0x75 ; 117 + 79c: 31 f4 brne .+12 ; 0x7aa + 79e: 3f ee ldi r19, 0xEF ; 239 + 7a0: b3 2e mov r11, r19 + 7a2: b2 22 and r11, r18 + 7a4: 2a e0 ldi r18, 0x0A ; 10 + 7a6: 30 e0 ldi r19, 0x00 ; 0 + 7a8: 25 c0 rjmp .+74 ; 0x7f4 + 7aa: 99 ef ldi r25, 0xF9 ; 249 + 7ac: b9 2e mov r11, r25 + 7ae: b2 22 and r11, r18 + 7b0: 8f 36 cpi r24, 0x6F ; 111 + 7b2: c1 f0 breq .+48 ; 0x7e4 + 7b4: 80 37 cpi r24, 0x70 ; 112 + 7b6: 20 f4 brcc .+8 ; 0x7c0 + 7b8: 88 35 cpi r24, 0x58 ; 88 + 7ba: 09 f0 breq .+2 ; 0x7be + 7bc: b5 c0 rjmp .+362 ; 0x928 <__stack+0x29> + 7be: 0d c0 rjmp .+26 ; 0x7da + 7c0: 80 37 cpi r24, 0x70 ; 112 + 7c2: 21 f0 breq .+8 ; 0x7cc + 7c4: 88 37 cpi r24, 0x78 ; 120 + 7c6: 09 f0 breq .+2 ; 0x7ca + 7c8: af c0 rjmp .+350 ; 0x928 <__stack+0x29> + 7ca: 02 c0 rjmp .+4 ; 0x7d0 + 7cc: 20 e1 ldi r18, 0x10 ; 16 + 7ce: b2 2a or r11, r18 + 7d0: b4 fe sbrs r11, 4 + 7d2: 0b c0 rjmp .+22 ; 0x7ea + 7d4: 84 e0 ldi r24, 0x04 ; 4 + 7d6: b8 2a or r11, r24 + 7d8: 08 c0 rjmp .+16 ; 0x7ea + 7da: b4 fe sbrs r11, 4 + 7dc: 09 c0 rjmp .+18 ; 0x7f0 + 7de: e6 e0 ldi r30, 0x06 ; 6 + 7e0: be 2a or r11, r30 + 7e2: 06 c0 rjmp .+12 ; 0x7f0 + 7e4: 28 e0 ldi r18, 0x08 ; 8 + 7e6: 30 e0 ldi r19, 0x00 ; 0 + 7e8: 05 c0 rjmp .+10 ; 0x7f4 + 7ea: 20 e1 ldi r18, 0x10 ; 16 + 7ec: 30 e0 ldi r19, 0x00 ; 0 + 7ee: 02 c0 rjmp .+4 ; 0x7f4 + 7f0: 20 e1 ldi r18, 0x10 ; 16 + 7f2: 32 e0 ldi r19, 0x02 ; 2 + 7f4: b7 fe sbrs r11, 7 + 7f6: 08 c0 rjmp .+16 ; 0x808 + 7f8: f8 01 movw r30, r16 + 7fa: 60 81 ld r22, Z + 7fc: 71 81 ldd r23, Z+1 ; 0x01 + 7fe: 82 81 ldd r24, Z+2 ; 0x02 + 800: 93 81 ldd r25, Z+3 ; 0x03 + 802: 0c 5f subi r16, 0xFC ; 252 + 804: 1f 4f sbci r17, 0xFF ; 255 + 806: 07 c0 rjmp .+14 ; 0x816 + 808: f8 01 movw r30, r16 + 80a: 60 81 ld r22, Z + 80c: 71 81 ldd r23, Z+1 ; 0x01 + 80e: 80 e0 ldi r24, 0x00 ; 0 + 810: 90 e0 ldi r25, 0x00 ; 0 + 812: 0e 5f subi r16, 0xFE ; 254 + 814: 1f 4f sbci r17, 0xFF ; 255 + 816: a2 01 movw r20, r4 + 818: 0e 94 6b 09 call 0x12d6 ; 0x12d6 <__ultoa_invert> + 81c: 78 2e mov r7, r24 + 81e: 74 18 sub r7, r4 + 820: ff e7 ldi r31, 0x7F ; 127 + 822: bf 22 and r11, r31 + 824: b6 fe sbrs r11, 6 + 826: 0b c0 rjmp .+22 ; 0x83e + 828: 2e ef ldi r18, 0xFE ; 254 + 82a: b2 22 and r11, r18 + 82c: 7e 14 cp r7, r14 + 82e: 38 f4 brcc .+14 ; 0x83e + 830: b4 fe sbrs r11, 4 + 832: 07 c0 rjmp .+14 ; 0x842 + 834: b2 fc sbrc r11, 2 + 836: 05 c0 rjmp .+10 ; 0x842 + 838: 8f ee ldi r24, 0xEF ; 239 + 83a: b8 22 and r11, r24 + 83c: 02 c0 rjmp .+4 ; 0x842 + 83e: a7 2c mov r10, r7 + 840: 01 c0 rjmp .+2 ; 0x844 + 842: ae 2c mov r10, r14 + 844: 8b 2d mov r24, r11 + 846: 90 e0 ldi r25, 0x00 ; 0 + 848: b4 fe sbrs r11, 4 + 84a: 0d c0 rjmp .+26 ; 0x866 + 84c: fe 01 movw r30, r28 + 84e: e7 0d add r30, r7 + 850: f1 1d adc r31, r1 + 852: 20 81 ld r18, Z + 854: 20 33 cpi r18, 0x30 ; 48 + 856: 19 f4 brne .+6 ; 0x85e + 858: e9 ee ldi r30, 0xE9 ; 233 + 85a: be 22 and r11, r30 + 85c: 09 c0 rjmp .+18 ; 0x870 + 85e: a3 94 inc r10 + 860: b2 fe sbrs r11, 2 + 862: 06 c0 rjmp .+12 ; 0x870 + 864: 04 c0 rjmp .+8 ; 0x86e + 866: 86 78 andi r24, 0x86 ; 134 + 868: 90 70 andi r25, 0x00 ; 0 + 86a: 00 97 sbiw r24, 0x00 ; 0 + 86c: 09 f0 breq .+2 ; 0x870 + 86e: a3 94 inc r10 + 870: 8b 2c mov r8, r11 + 872: 99 24 eor r9, r9 + 874: b3 fc sbrc r11, 3 + 876: 14 c0 rjmp .+40 ; 0x8a0 + 878: b0 fe sbrs r11, 0 + 87a: 0f c0 rjmp .+30 ; 0x89a + 87c: af 14 cp r10, r15 + 87e: 28 f4 brcc .+10 ; 0x88a + 880: e7 2c mov r14, r7 + 882: ef 0c add r14, r15 + 884: ea 18 sub r14, r10 + 886: af 2c mov r10, r15 + 888: 08 c0 rjmp .+16 ; 0x89a + 88a: e7 2c mov r14, r7 + 88c: 06 c0 rjmp .+12 ; 0x89a + 88e: 80 e2 ldi r24, 0x20 ; 32 + 890: 90 e0 ldi r25, 0x00 ; 0 + 892: b6 01 movw r22, r12 + 894: 0e 94 24 09 call 0x1248 ; 0x1248 + 898: a3 94 inc r10 + 89a: af 14 cp r10, r15 + 89c: c0 f3 brcs .-16 ; 0x88e + 89e: 04 c0 rjmp .+8 ; 0x8a8 + 8a0: af 14 cp r10, r15 + 8a2: 10 f4 brcc .+4 ; 0x8a8 + 8a4: fa 18 sub r15, r10 + 8a6: 01 c0 rjmp .+2 ; 0x8aa + 8a8: ff 24 eor r15, r15 + 8aa: 84 fe sbrs r8, 4 + 8ac: 0f c0 rjmp .+30 ; 0x8cc + 8ae: 80 e3 ldi r24, 0x30 ; 48 + 8b0: 90 e0 ldi r25, 0x00 ; 0 + 8b2: b6 01 movw r22, r12 + 8b4: 0e 94 24 09 call 0x1248 ; 0x1248 + 8b8: 82 fe sbrs r8, 2 + 8ba: 1f c0 rjmp .+62 ; 0x8fa + 8bc: 81 fe sbrs r8, 1 + 8be: 03 c0 rjmp .+6 ; 0x8c6 + 8c0: 88 e5 ldi r24, 0x58 ; 88 + 8c2: 90 e0 ldi r25, 0x00 ; 0 + 8c4: 10 c0 rjmp .+32 ; 0x8e6 + 8c6: 88 e7 ldi r24, 0x78 ; 120 + 8c8: 90 e0 ldi r25, 0x00 ; 0 + 8ca: 0d c0 rjmp .+26 ; 0x8e6 + 8cc: c4 01 movw r24, r8 + 8ce: 86 78 andi r24, 0x86 ; 134 + 8d0: 90 70 andi r25, 0x00 ; 0 + 8d2: 00 97 sbiw r24, 0x00 ; 0 + 8d4: 91 f0 breq .+36 ; 0x8fa + 8d6: 81 fc sbrc r8, 1 + 8d8: 02 c0 rjmp .+4 ; 0x8de + 8da: 80 e2 ldi r24, 0x20 ; 32 + 8dc: 01 c0 rjmp .+2 ; 0x8e0 + 8de: 8b e2 ldi r24, 0x2B ; 43 + 8e0: b7 fc sbrc r11, 7 + 8e2: 8d e2 ldi r24, 0x2D ; 45 + 8e4: 90 e0 ldi r25, 0x00 ; 0 + 8e6: b6 01 movw r22, r12 + 8e8: 0e 94 24 09 call 0x1248 ; 0x1248 + 8ec: 06 c0 rjmp .+12 ; 0x8fa + 8ee: 80 e3 ldi r24, 0x30 ; 48 + 8f0: 90 e0 ldi r25, 0x00 ; 0 + 8f2: b6 01 movw r22, r12 + 8f4: 0e 94 24 09 call 0x1248 ; 0x1248 + 8f8: ea 94 dec r14 + 8fa: 7e 14 cp r7, r14 + 8fc: c0 f3 brcs .-16 ; 0x8ee + 8fe: 7a 94 dec r7 + 900: f2 01 movw r30, r4 + 902: e7 0d add r30, r7 + 904: f1 1d adc r31, r1 + 906: 80 81 ld r24, Z + 908: 90 e0 ldi r25, 0x00 ; 0 + 90a: b6 01 movw r22, r12 + 90c: 0e 94 24 09 call 0x1248 ; 0x1248 + 910: 77 20 and r7, r7 + 912: a9 f7 brne .-22 ; 0x8fe + 914: 06 c0 rjmp .+12 ; 0x922 <__stack+0x23> + 916: 80 e2 ldi r24, 0x20 ; 32 + 918: 90 e0 ldi r25, 0x00 ; 0 + 91a: b6 01 movw r22, r12 + 91c: 0e 94 24 09 call 0x1248 ; 0x1248 + 920: fa 94 dec r15 + 922: ff 20 and r15, r15 + 924: c1 f7 brne .-16 ; 0x916 <__stack+0x17> + 926: 37 ce rjmp .-914 ; 0x596 + 928: f6 01 movw r30, r12 + 92a: 26 81 ldd r18, Z+6 ; 0x06 + 92c: 37 81 ldd r19, Z+7 ; 0x07 + 92e: 02 c0 rjmp .+4 ; 0x934 <__stack+0x35> + 930: 2f ef ldi r18, 0xFF ; 255 + 932: 3f ef ldi r19, 0xFF ; 255 + 934: c9 01 movw r24, r18 + 936: 2c 96 adiw r28, 0x0c ; 12 + 938: e2 e1 ldi r30, 0x12 ; 18 + 93a: 0c 94 e8 09 jmp 0x13d0 ; 0x13d0 <__epilogue_restores__> + +0000093e : + 93e: 20 fd sbrc r18, 0 + 940: 09 c0 rjmp .+18 ; 0x954 + 942: fc 01 movw r30, r24 + 944: 23 fd sbrc r18, 3 + 946: 05 c0 rjmp .+10 ; 0x952 + 948: 22 ff sbrs r18, 2 + 94a: 02 c0 rjmp .+4 ; 0x950 + 94c: 73 83 std Z+3, r23 ; 0x03 + 94e: 62 83 std Z+2, r22 ; 0x02 + 950: 51 83 std Z+1, r21 ; 0x01 + 952: 40 83 st Z, r20 + 954: 08 95 ret + +00000956 : + 956: ef 92 push r14 + 958: ff 92 push r15 + 95a: 0f 93 push r16 + 95c: 1f 93 push r17 + 95e: 44 fd sbrc r20, 4 + 960: 10 c0 rjmp .+32 ; 0x982 + 962: 46 fd sbrc r20, 6 + 964: 10 c0 rjmp .+32 ; 0x986 + 966: db 01 movw r26, r22 + 968: fc 01 movw r30, r24 + 96a: aa 0f add r26, r26 + 96c: bb 1f adc r27, r27 + 96e: ee 1f adc r30, r30 + 970: ff 1f adc r31, r31 + 972: 10 94 com r1 + 974: d1 f7 brne .-12 ; 0x96a + 976: 6a 0f add r22, r26 + 978: 7b 1f adc r23, r27 + 97a: 8e 1f adc r24, r30 + 97c: 9f 1f adc r25, r31 + 97e: 31 e0 ldi r19, 0x01 ; 1 + 980: 03 c0 rjmp .+6 ; 0x988 + 982: 33 e0 ldi r19, 0x03 ; 3 + 984: 01 c0 rjmp .+2 ; 0x988 + 986: 34 e0 ldi r19, 0x04 ; 4 + 988: 66 0f add r22, r22 + 98a: 77 1f adc r23, r23 + 98c: 88 1f adc r24, r24 + 98e: 99 1f adc r25, r25 + 990: 31 50 subi r19, 0x01 ; 1 + 992: d1 f7 brne .-12 ; 0x988 + 994: 7b 01 movw r14, r22 + 996: 8c 01 movw r16, r24 + 998: e2 0e add r14, r18 + 99a: f1 1c adc r15, r1 + 99c: 01 1d adc r16, r1 + 99e: 11 1d adc r17, r1 + 9a0: a8 01 movw r20, r16 + 9a2: 97 01 movw r18, r14 + 9a4: b7 01 movw r22, r14 + 9a6: ca 01 movw r24, r20 + 9a8: 1f 91 pop r17 + 9aa: 0f 91 pop r16 + 9ac: ff 90 pop r15 + 9ae: ef 90 pop r14 + 9b0: 08 95 ret + +000009b2 : + 9b2: ef 92 push r14 + 9b4: ff 92 push r15 + 9b6: 0f 93 push r16 + 9b8: 1f 93 push r17 + 9ba: cf 93 push r28 + 9bc: df 93 push r29 + 9be: 8c 01 movw r16, r24 + 9c0: c8 01 movw r24, r16 + 9c2: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + 9c6: ec 01 movw r28, r24 + 9c8: 97 fd sbrc r25, 7 + 9ca: 09 c0 rjmp .+18 ; 0x9de + 9cc: 0e 94 b2 08 call 0x1164 ; 0x1164 + 9d0: 00 97 sbiw r24, 0x00 ; 0 + 9d2: b1 f7 brne .-20 ; 0x9c0 + 9d4: 7e 01 movw r14, r28 + 9d6: ce 01 movw r24, r28 + 9d8: b8 01 movw r22, r16 + 9da: 0e 94 50 09 call 0x12a0 ; 0x12a0 + 9de: ce 01 movw r24, r28 + 9e0: cd b7 in r28, 0x3d ; 61 + 9e2: de b7 in r29, 0x3e ; 62 + 9e4: e6 e0 ldi r30, 0x06 ; 6 + 9e6: 0c 94 f4 09 jmp 0x13e8 ; 0x13e8 <__epilogue_restores__+0x18> + +000009ea : + 9ea: 8f 92 push r8 + 9ec: 9f 92 push r9 + 9ee: af 92 push r10 + 9f0: bf 92 push r11 + 9f2: df 92 push r13 + 9f4: ef 92 push r14 + 9f6: ff 92 push r15 + 9f8: 0f 93 push r16 + 9fa: 1f 93 push r17 + 9fc: df 93 push r29 + 9fe: cf 93 push r28 + a00: 0f 92 push r0 + a02: cd b7 in r28, 0x3d ; 61 + a04: de b7 in r29, 0x3e ; 62 + a06: 4c 01 movw r8, r24 + a08: d6 2e mov r13, r22 + a0a: 5a 01 movw r10, r20 + a0c: 12 2f mov r17, r18 + a0e: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + a12: 8b 32 cpi r24, 0x2B ; 43 + a14: 19 f0 breq .+6 ; 0xa1c + a16: 8d 32 cpi r24, 0x2D ; 45 + a18: 49 f4 brne .+18 ; 0xa2c + a1a: 10 68 ori r17, 0x80 ; 128 + a1c: da 94 dec r13 + a1e: 09 f4 brne .+2 ; 0xa22 + a20: 6c c0 rjmp .+216 ; 0xafa + a22: c4 01 movw r24, r8 + a24: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + a28: 97 fd sbrc r25, 7 + a2a: 67 c0 rjmp .+206 ; 0xafa + a2c: 31 2f mov r19, r17 + a2e: 3d 7f andi r19, 0xFD ; 253 + a30: 23 2f mov r18, r19 + a32: 20 73 andi r18, 0x30 ; 48 + a34: f1 f4 brne .+60 ; 0xa72 + a36: 80 33 cpi r24, 0x30 ; 48 + a38: e1 f4 brne .+56 ; 0xa72 + a3a: da 94 dec r13 + a3c: 09 f4 brne .+2 ; 0xa40 + a3e: 48 c0 rjmp .+144 ; 0xad0 + a40: c4 01 movw r24, r8 + a42: 39 83 std Y+1, r19 ; 0x01 + a44: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + a48: 39 81 ldd r19, Y+1 ; 0x01 + a4a: 97 fd sbrc r25, 7 + a4c: 41 c0 rjmp .+130 ; 0xad0 + a4e: 32 60 ori r19, 0x02 ; 2 + a50: 88 37 cpi r24, 0x78 ; 120 + a52: 11 f0 breq .+4 ; 0xa58 + a54: 88 35 cpi r24, 0x58 ; 88 + a56: 59 f4 brne .+22 ; 0xa6e + a58: 30 64 ori r19, 0x40 ; 64 + a5a: da 94 dec r13 + a5c: c9 f1 breq .+114 ; 0xad0 + a5e: c4 01 movw r24, r8 + a60: 39 83 std Y+1, r19 ; 0x01 + a62: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + a66: 39 81 ldd r19, Y+1 ; 0x01 + a68: 97 ff sbrs r25, 7 + a6a: 03 c0 rjmp .+6 ; 0xa72 + a6c: 31 c0 rjmp .+98 ; 0xad0 + a6e: 36 ff sbrs r19, 6 + a70: 30 61 ori r19, 0x10 ; 16 + a72: ee 24 eor r14, r14 + a74: ff 24 eor r15, r15 + a76: 87 01 movw r16, r14 + a78: 28 2f mov r18, r24 + a7a: 20 53 subi r18, 0x30 ; 48 + a7c: 28 30 cpi r18, 0x08 ; 8 + a7e: 90 f0 brcs .+36 ; 0xaa4 + a80: 34 fd sbrc r19, 4 + a82: 09 c0 rjmp .+18 ; 0xa96 + a84: 2a 30 cpi r18, 0x0A ; 10 + a86: 70 f0 brcs .+28 ; 0xaa4 + a88: 36 ff sbrs r19, 6 + a8a: 05 c0 rjmp .+10 ; 0xa96 + a8c: 2f 7d andi r18, 0xDF ; 223 + a8e: 42 2f mov r20, r18 + a90: 41 51 subi r20, 0x11 ; 17 + a92: 46 30 cpi r20, 0x06 ; 6 + a94: 30 f0 brcs .+12 ; 0xaa2 + a96: b4 01 movw r22, r8 + a98: 39 83 std Y+1, r19 ; 0x01 + a9a: 0e 94 50 09 call 0x12a0 ; 0x12a0 + a9e: 39 81 ldd r19, Y+1 ; 0x01 + aa0: 14 c0 rjmp .+40 ; 0xaca + aa2: 27 50 subi r18, 0x07 ; 7 + aa4: c8 01 movw r24, r16 + aa6: b7 01 movw r22, r14 + aa8: 43 2f mov r20, r19 + aaa: 39 83 std Y+1, r19 ; 0x01 + aac: 0e 94 ab 04 call 0x956 ; 0x956 + ab0: 7b 01 movw r14, r22 + ab2: 8c 01 movw r16, r24 + ab4: 39 81 ldd r19, Y+1 ; 0x01 + ab6: 32 60 ori r19, 0x02 ; 2 + ab8: da 94 dec r13 + aba: 69 f0 breq .+26 ; 0xad6 + abc: c4 01 movw r24, r8 + abe: 39 83 std Y+1, r19 ; 0x01 + ac0: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + ac4: 39 81 ldd r19, Y+1 ; 0x01 + ac6: 97 ff sbrs r25, 7 + ac8: d7 cf rjmp .-82 ; 0xa78 + aca: 31 fd sbrc r19, 1 + acc: 04 c0 rjmp .+8 ; 0xad6 + ace: 15 c0 rjmp .+42 ; 0xafa + ad0: ee 24 eor r14, r14 + ad2: ff 24 eor r15, r15 + ad4: 87 01 movw r16, r14 + ad6: 37 ff sbrs r19, 7 + ad8: 08 c0 rjmp .+16 ; 0xaea + ada: 10 95 com r17 + adc: 00 95 com r16 + ade: f0 94 com r15 + ae0: e0 94 com r14 + ae2: e1 1c adc r14, r1 + ae4: f1 1c adc r15, r1 + ae6: 01 1d adc r16, r1 + ae8: 11 1d adc r17, r1 + aea: c5 01 movw r24, r10 + aec: b8 01 movw r22, r16 + aee: a7 01 movw r20, r14 + af0: 23 2f mov r18, r19 + af2: 0e 94 9f 04 call 0x93e ; 0x93e + af6: 81 e0 ldi r24, 0x01 ; 1 + af8: 01 c0 rjmp .+2 ; 0xafc + afa: 80 e0 ldi r24, 0x00 ; 0 + afc: 0f 90 pop r0 + afe: cf 91 pop r28 + b00: df 91 pop r29 + b02: 1f 91 pop r17 + b04: 0f 91 pop r16 + b06: ff 90 pop r15 + b08: ef 90 pop r14 + b0a: df 90 pop r13 + b0c: bf 90 pop r11 + b0e: af 90 pop r10 + b10: 9f 90 pop r9 + b12: 8f 90 pop r8 + b14: 08 95 ret + +00000b16 : + b16: a1 e2 ldi r26, 0x21 ; 33 + b18: b0 e0 ldi r27, 0x00 ; 0 + b1a: e1 e9 ldi r30, 0x91 ; 145 + b1c: f5 e0 ldi r31, 0x05 ; 5 + b1e: 0c 94 d0 09 jmp 0x13a0 ; 0x13a0 <__prologue_saves__+0x8> + b22: 6c 01 movw r12, r24 + b24: 8a 01 movw r16, r20 + b26: 79 01 movw r14, r18 + b28: 9e 01 movw r18, r28 + b2a: 2f 5f subi r18, 0xFF ; 255 + b2c: 3f 4f sbci r19, 0xFF ; 255 + b2e: 80 e2 ldi r24, 0x20 ; 32 + b30: f9 01 movw r30, r18 + b32: 11 92 st Z+, r1 + b34: 8a 95 dec r24 + b36: e9 f7 brne .-6 ; 0xb32 + b38: f6 01 movw r30, r12 + b3a: 83 80 ldd r8, Z+3 ; 0x03 + b3c: 80 e0 ldi r24, 0x00 ; 0 + b3e: 90 e0 ldi r25, 0x00 ; 0 + b40: bb 24 eor r11, r11 + b42: 99 24 eor r9, r9 + b44: 70 e0 ldi r23, 0x00 ; 0 + b46: a1 e0 ldi r26, 0x01 ; 1 + b48: b0 e0 ldi r27, 0x00 ; 0 + b4a: f7 01 movw r30, r14 + b4c: 83 fc sbrc r8, 3 + b4e: a5 90 lpm r10, Z+ + b50: 83 fe sbrs r8, 3 + b52: a1 90 ld r10, Z+ + b54: 7f 01 movw r14, r30 + b56: aa 20 and r10, r10 + b58: 09 f4 brne .+2 ; 0xb5c + b5a: 7f c0 rjmp .+254 ; 0xc5a + b5c: fa 2d mov r31, r10 + b5e: fe 35 cpi r31, 0x5E ; 94 + b60: 11 f4 brne .+4 ; 0xb66 + b62: 00 97 sbiw r24, 0x00 ; 0 + b64: 51 f1 breq .+84 ; 0xbba + b66: 47 2f mov r20, r23 + b68: 50 e0 ldi r21, 0x00 ; 0 + b6a: 48 17 cp r20, r24 + b6c: 59 07 cpc r21, r25 + b6e: 44 f4 brge .+16 ; 0xb80 + b70: 4a 2d mov r20, r10 + b72: 4d 35 cpi r20, 0x5D ; 93 + b74: 51 f1 breq .+84 ; 0xbca + b76: 4d 32 cpi r20, 0x2D ; 45 + b78: 19 f4 brne .+6 ; 0xb80 + b7a: 99 20 and r9, r9 + b7c: 01 f1 breq .+64 ; 0xbbe + b7e: 03 c0 rjmp .+6 ; 0xb86 + b80: 99 20 and r9, r9 + b82: 09 f4 brne .+2 ; 0xb86 + b84: ba 2c mov r11, r10 + b86: 4a 2d mov r20, r10 + b88: 46 95 lsr r20 + b8a: 46 95 lsr r20 + b8c: 46 95 lsr r20 + b8e: f9 01 movw r30, r18 + b90: e4 0f add r30, r20 + b92: f1 1d adc r31, r1 + b94: 4a 2d mov r20, r10 + b96: 47 70 andi r20, 0x07 ; 7 + b98: 3d 01 movw r6, r26 + b9a: 02 c0 rjmp .+4 ; 0xba0 + b9c: 66 0c add r6, r6 + b9e: 77 1c adc r7, r7 + ba0: 4a 95 dec r20 + ba2: e2 f7 brpl .-8 ; 0xb9c + ba4: 90 80 ld r9, Z + ba6: 96 28 or r9, r6 + ba8: 90 82 st Z, r9 + baa: ab 14 cp r10, r11 + bac: 59 f0 breq .+22 ; 0xbc4 + bae: ab 14 cp r10, r11 + bb0: 10 f4 brcc .+4 ; 0xbb6 + bb2: a3 94 inc r10 + bb4: e8 cf rjmp .-48 ; 0xb86 + bb6: aa 94 dec r10 + bb8: e6 cf rjmp .-52 ; 0xb86 + bba: 71 e0 ldi r23, 0x01 ; 1 + bbc: 04 c0 rjmp .+8 ; 0xbc6 + bbe: 99 24 eor r9, r9 + bc0: 93 94 inc r9 + bc2: 01 c0 rjmp .+2 ; 0xbc6 + bc4: 99 24 eor r9, r9 + bc6: 01 96 adiw r24, 0x01 ; 1 + bc8: c0 cf rjmp .-128 ; 0xb4a + bca: 99 20 and r9, r9 + bcc: 19 f0 breq .+6 ; 0xbd4 + bce: 8e 81 ldd r24, Y+6 ; 0x06 + bd0: 80 62 ori r24, 0x20 ; 32 + bd2: 8e 83 std Y+6, r24 ; 0x06 + bd4: 77 23 and r23, r23 + bd6: 51 f0 breq .+20 ; 0xbec + bd8: ce 01 movw r24, r28 + bda: 81 96 adiw r24, 0x21 ; 33 + bdc: fe 01 movw r30, r28 + bde: 31 96 adiw r30, 0x01 ; 1 + be0: 20 81 ld r18, Z + be2: 20 95 com r18 + be4: 21 93 st Z+, r18 + be6: e8 17 cp r30, r24 + be8: f9 07 cpc r31, r25 + bea: d1 f7 brne .-12 ; 0xbe0 + bec: bb 24 eor r11, r11 + bee: b3 94 inc r11 + bf0: 4e 01 movw r8, r28 + bf2: 08 94 sec + bf4: 81 1c adc r8, r1 + bf6: 91 1c adc r9, r1 + bf8: 01 c0 rjmp .+2 ; 0xbfc + bfa: bb 24 eor r11, r11 + bfc: c6 01 movw r24, r12 + bfe: 69 a3 std Y+33, r22 ; 0x21 + c00: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + c04: 69 a1 ldd r22, Y+33 ; 0x21 + c06: 97 fd sbrc r25, 7 + c08: 20 c0 rjmp .+64 ; 0xc4a + c0a: 28 2f mov r18, r24 + c0c: 26 95 lsr r18 + c0e: 26 95 lsr r18 + c10: 26 95 lsr r18 + c12: f4 01 movw r30, r8 + c14: e2 0f add r30, r18 + c16: f1 1d adc r31, r1 + c18: 20 81 ld r18, Z + c1a: 30 e0 ldi r19, 0x00 ; 0 + c1c: ac 01 movw r20, r24 + c1e: 47 70 andi r20, 0x07 ; 7 + c20: 50 70 andi r21, 0x00 ; 0 + c22: 02 c0 rjmp .+4 ; 0xc28 + c24: 35 95 asr r19 + c26: 27 95 ror r18 + c28: 4a 95 dec r20 + c2a: e2 f7 brpl .-8 ; 0xc24 + c2c: 20 fd sbrc r18, 0 + c2e: 04 c0 rjmp .+8 ; 0xc38 + c30: b6 01 movw r22, r12 + c32: 0e 94 50 09 call 0x12a0 ; 0x12a0 + c36: 09 c0 rjmp .+18 ; 0xc4a + c38: 01 15 cp r16, r1 + c3a: 11 05 cpc r17, r1 + c3c: 19 f0 breq .+6 ; 0xc44 + c3e: f8 01 movw r30, r16 + c40: 81 93 st Z+, r24 + c42: 8f 01 movw r16, r30 + c44: 61 50 subi r22, 0x01 ; 1 + c46: c9 f6 brne .-78 ; 0xbfa + c48: 02 c0 rjmp .+4 ; 0xc4e + c4a: bb 20 and r11, r11 + c4c: 31 f4 brne .+12 ; 0xc5a + c4e: 01 15 cp r16, r1 + c50: 11 05 cpc r17, r1 + c52: 29 f0 breq .+10 ; 0xc5e + c54: f8 01 movw r30, r16 + c56: 10 82 st Z, r1 + c58: 02 c0 rjmp .+4 ; 0xc5e + c5a: ee 24 eor r14, r14 + c5c: ff 24 eor r15, r15 + c5e: c7 01 movw r24, r14 + c60: a1 96 adiw r28, 0x21 ; 33 + c62: ee e0 ldi r30, 0x0E ; 14 + c64: 0c 94 ec 09 jmp 0x13d8 ; 0x13d8 <__epilogue_restores__+0x8> + +00000c68 : + c68: 4f 92 push r4 + c6a: 5f 92 push r5 + c6c: 6f 92 push r6 + c6e: 7f 92 push r7 + c70: 9f 92 push r9 + c72: af 92 push r10 + c74: bf 92 push r11 + c76: cf 92 push r12 + c78: df 92 push r13 + c7a: ef 92 push r14 + c7c: ff 92 push r15 + c7e: 0f 93 push r16 + c80: 1f 93 push r17 + c82: df 93 push r29 + c84: cf 93 push r28 + c86: 0f 92 push r0 + c88: cd b7 in r28, 0x3d ; 61 + c8a: de b7 in r29, 0x3e ; 62 + c8c: 7c 01 movw r14, r24 + c8e: 6b 01 movw r12, r22 + c90: 3a 01 movw r6, r20 + c92: fc 01 movw r30, r24 + c94: 17 82 std Z+7, r1 ; 0x07 + c96: 16 82 std Z+6, r1 ; 0x06 + c98: 00 e0 ldi r16, 0x00 ; 0 + c9a: e9 c0 rjmp .+466 ; 0xe6e + c9c: 81 2f mov r24, r17 + c9e: 90 e0 ldi r25, 0x00 ; 0 + ca0: 0e 94 b2 08 call 0x1164 ; 0x1164 + ca4: 00 97 sbiw r24, 0x00 ; 0 + ca6: 21 f0 breq .+8 ; 0xcb0 + ca8: c7 01 movw r24, r14 + caa: 0e 94 d9 04 call 0x9b2 ; 0x9b2 + cae: df c0 rjmp .+446 ; 0xe6e + cb0: 15 32 cpi r17, 0x25 ; 37 + cb2: 41 f4 brne .+16 ; 0xcc4 + cb4: f6 01 movw r30, r12 + cb6: a3 fc sbrc r10, 3 + cb8: 35 91 lpm r19, Z+ + cba: a3 fe sbrs r10, 3 + cbc: 31 91 ld r19, Z+ + cbe: 6f 01 movw r12, r30 + cc0: 35 32 cpi r19, 0x25 ; 37 + cc2: 61 f4 brne .+24 ; 0xcdc + cc4: c7 01 movw r24, r14 + cc6: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + cca: 97 fd sbrc r25, 7 + ccc: dc c0 rjmp .+440 ; 0xe86 + cce: 18 17 cp r17, r24 + cd0: 09 f4 brne .+2 ; 0xcd4 + cd2: cd c0 rjmp .+410 ; 0xe6e + cd4: b7 01 movw r22, r14 + cd6: 0e 94 50 09 call 0x12a0 ; 0x12a0 + cda: d7 c0 rjmp .+430 ; 0xe8a + cdc: 3a 32 cpi r19, 0x2A ; 42 + cde: 39 f4 brne .+14 ; 0xcee + ce0: a3 fc sbrc r10, 3 + ce2: 35 91 lpm r19, Z+ + ce4: a3 fe sbrs r10, 3 + ce6: 31 91 ld r19, Z+ + ce8: 6f 01 movw r12, r30 + cea: 11 e0 ldi r17, 0x01 ; 1 + cec: 01 c0 rjmp .+2 ; 0xcf0 + cee: 10 e0 ldi r17, 0x00 ; 0 + cf0: 99 24 eor r9, r9 + cf2: 0f c0 rjmp .+30 ; 0xd12 + cf4: 12 60 ori r17, 0x02 ; 2 + cf6: 69 2d mov r22, r9 + cf8: 70 e0 ldi r23, 0x00 ; 0 + cfa: 80 e0 ldi r24, 0x00 ; 0 + cfc: 90 e0 ldi r25, 0x00 ; 0 + cfe: 40 e2 ldi r20, 0x20 ; 32 + d00: 0e 94 ab 04 call 0x956 ; 0x956 + d04: 96 2e mov r9, r22 + d06: f6 01 movw r30, r12 + d08: a3 fc sbrc r10, 3 + d0a: 35 91 lpm r19, Z+ + d0c: a3 fe sbrs r10, 3 + d0e: 31 91 ld r19, Z+ + d10: 6f 01 movw r12, r30 + d12: 23 2f mov r18, r19 + d14: 20 53 subi r18, 0x30 ; 48 + d16: 2a 30 cpi r18, 0x0A ; 10 + d18: 68 f3 brcs .-38 ; 0xcf4 + d1a: 11 ff sbrs r17, 1 + d1c: 03 c0 rjmp .+6 ; 0xd24 + d1e: 99 20 and r9, r9 + d20: 19 f4 brne .+6 ; 0xd28 + d22: b3 c0 rjmp .+358 ; 0xe8a + d24: 99 24 eor r9, r9 + d26: 9a 94 dec r9 + d28: 38 36 cpi r19, 0x68 ; 104 + d2a: 19 f0 breq .+6 ; 0xd32 + d2c: 3c 36 cpi r19, 0x6C ; 108 + d2e: 89 f4 brne .+34 ; 0xd52 + d30: 09 c0 rjmp .+18 ; 0xd44 + d32: f6 01 movw r30, r12 + d34: a3 fc sbrc r10, 3 + d36: 35 91 lpm r19, Z+ + d38: a3 fe sbrs r10, 3 + d3a: 31 91 ld r19, Z+ + d3c: 6f 01 movw r12, r30 + d3e: 38 36 cpi r19, 0x68 ; 104 + d40: 41 f4 brne .+16 ; 0xd52 + d42: 18 60 ori r17, 0x08 ; 8 + d44: 14 60 ori r17, 0x04 ; 4 + d46: f6 01 movw r30, r12 + d48: a3 fc sbrc r10, 3 + d4a: 35 91 lpm r19, Z+ + d4c: a3 fe sbrs r10, 3 + d4e: 31 91 ld r19, Z+ + d50: 6f 01 movw r12, r30 + d52: 33 23 and r19, r19 + d54: 09 f4 brne .+2 ; 0xd58 + d56: 99 c0 rjmp .+306 ; 0xe8a + d58: 88 e6 ldi r24, 0x68 ; 104 + d5a: 90 e0 ldi r25, 0x00 ; 0 + d5c: 63 2f mov r22, r19 + d5e: 70 e0 ldi r23, 0x00 ; 0 + d60: 39 83 std Y+1, r19 ; 0x01 + d62: 0e 94 ba 08 call 0x1174 ; 0x1174 + d66: 39 81 ldd r19, Y+1 ; 0x01 + d68: 00 97 sbiw r24, 0x00 ; 0 + d6a: 09 f4 brne .+2 ; 0xd6e + d6c: 8e c0 rjmp .+284 ; 0xe8a + d6e: 81 2f mov r24, r17 + d70: 10 fd sbrc r17, 0 + d72: 08 c0 rjmp .+16 ; 0xd84 + d74: f3 01 movw r30, r6 + d76: 40 80 ld r4, Z + d78: 51 80 ldd r5, Z+1 ; 0x01 + d7a: 42 e0 ldi r20, 0x02 ; 2 + d7c: 50 e0 ldi r21, 0x00 ; 0 + d7e: 64 0e add r6, r20 + d80: 75 1e adc r7, r21 + d82: 02 c0 rjmp .+4 ; 0xd88 + d84: 44 24 eor r4, r4 + d86: 55 24 eor r5, r5 + d88: 3e 36 cpi r19, 0x6E ; 110 + d8a: 51 f4 brne .+20 ; 0xda0 + d8c: f7 01 movw r30, r14 + d8e: 46 81 ldd r20, Z+6 ; 0x06 + d90: 57 81 ldd r21, Z+7 ; 0x07 + d92: 60 e0 ldi r22, 0x00 ; 0 + d94: 70 e0 ldi r23, 0x00 ; 0 + d96: c2 01 movw r24, r4 + d98: 21 2f mov r18, r17 + d9a: 0e 94 9f 04 call 0x93e ; 0x93e + d9e: 67 c0 rjmp .+206 ; 0xe6e + da0: 33 36 cpi r19, 0x63 ; 99 + da2: 91 f4 brne .+36 ; 0xdc8 + da4: 81 fd sbrc r24, 1 + da6: 02 c0 rjmp .+4 ; 0xdac + da8: 99 24 eor r9, r9 + daa: 93 94 inc r9 + dac: c7 01 movw r24, r14 + dae: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + db2: 97 fd sbrc r25, 7 + db4: 68 c0 rjmp .+208 ; 0xe86 + db6: 41 14 cp r4, r1 + db8: 51 04 cpc r5, r1 + dba: 19 f0 breq .+6 ; 0xdc2 + dbc: f2 01 movw r30, r4 + dbe: 81 93 st Z+, r24 + dc0: 2f 01 movw r4, r30 + dc2: 9a 94 dec r9 + dc4: 99 f7 brne .-26 ; 0xdac + dc6: 51 c0 rjmp .+162 ; 0xe6a + dc8: 3b 35 cpi r19, 0x5B ; 91 + dca: 59 f4 brne .+22 ; 0xde2 + dcc: c7 01 movw r24, r14 + dce: 69 2d mov r22, r9 + dd0: a2 01 movw r20, r4 + dd2: 96 01 movw r18, r12 + dd4: 0e 94 8b 05 call 0xb16 ; 0xb16 + dd8: 6c 01 movw r12, r24 + dda: 00 97 sbiw r24, 0x00 ; 0 + ddc: 09 f0 breq .+2 ; 0xde0 + dde: 45 c0 rjmp .+138 ; 0xe6a + de0: 3f c0 rjmp .+126 ; 0xe60 + de2: c7 01 movw r24, r14 + de4: 39 83 std Y+1, r19 ; 0x01 + de6: 0e 94 d9 04 call 0x9b2 ; 0x9b2 + dea: 39 81 ldd r19, Y+1 ; 0x01 + dec: 97 fd sbrc r25, 7 + dee: 4b c0 rjmp .+150 ; 0xe86 + df0: 3f 36 cpi r19, 0x6F ; 111 + df2: 59 f1 breq .+86 ; 0xe4a + df4: 30 37 cpi r19, 0x70 ; 112 + df6: 28 f4 brcc .+10 ; 0xe02 + df8: 34 36 cpi r19, 0x64 ; 100 + dfa: 29 f1 breq .+74 ; 0xe46 + dfc: 39 36 cpi r19, 0x69 ; 105 + dfe: 39 f5 brne .+78 ; 0xe4e + e00: 27 c0 rjmp .+78 ; 0xe50 + e02: 33 37 cpi r19, 0x73 ; 115 + e04: 19 f0 breq .+6 ; 0xe0c + e06: 35 37 cpi r19, 0x75 ; 117 + e08: 11 f5 brne .+68 ; 0xe4e + e0a: 1d c0 rjmp .+58 ; 0xe46 + e0c: c7 01 movw r24, r14 + e0e: 0e 94 e2 08 call 0x11c4 ; 0x11c4 + e12: 5c 01 movw r10, r24 + e14: 97 fd sbrc r25, 7 + e16: 11 c0 rjmp .+34 ; 0xe3a + e18: 0e 94 b2 08 call 0x1164 ; 0x1164 + e1c: 00 97 sbiw r24, 0x00 ; 0 + e1e: 29 f0 breq .+10 ; 0xe2a + e20: c5 01 movw r24, r10 + e22: b7 01 movw r22, r14 + e24: 0e 94 50 09 call 0x12a0 ; 0x12a0 + e28: 08 c0 rjmp .+16 ; 0xe3a + e2a: 41 14 cp r4, r1 + e2c: 51 04 cpc r5, r1 + e2e: 19 f0 breq .+6 ; 0xe36 + e30: f2 01 movw r30, r4 + e32: a1 92 st Z+, r10 + e34: 2f 01 movw r4, r30 + e36: 9a 94 dec r9 + e38: 49 f7 brne .-46 ; 0xe0c + e3a: 41 14 cp r4, r1 + e3c: 51 04 cpc r5, r1 + e3e: a9 f0 breq .+42 ; 0xe6a + e40: f2 01 movw r30, r4 + e42: 10 82 st Z, r1 + e44: 12 c0 rjmp .+36 ; 0xe6a + e46: 10 62 ori r17, 0x20 ; 32 + e48: 03 c0 rjmp .+6 ; 0xe50 + e4a: 10 61 ori r17, 0x10 ; 16 + e4c: 01 c0 rjmp .+2 ; 0xe50 + e4e: 10 64 ori r17, 0x40 ; 64 + e50: c7 01 movw r24, r14 + e52: 69 2d mov r22, r9 + e54: a2 01 movw r20, r4 + e56: 21 2f mov r18, r17 + e58: 0e 94 f5 04 call 0x9ea ; 0x9ea + e5c: 88 23 and r24, r24 + e5e: 29 f4 brne .+10 ; 0xe6a + e60: f7 01 movw r30, r14 + e62: 83 81 ldd r24, Z+3 ; 0x03 + e64: 80 73 andi r24, 0x30 ; 48 + e66: 79 f4 brne .+30 ; 0xe86 + e68: 10 c0 rjmp .+32 ; 0xe8a + e6a: 10 ff sbrs r17, 0 + e6c: 0f 5f subi r16, 0xFF ; 255 + e6e: f7 01 movw r30, r14 + e70: a3 80 ldd r10, Z+3 ; 0x03 + e72: f6 01 movw r30, r12 + e74: a3 fc sbrc r10, 3 + e76: 15 91 lpm r17, Z+ + e78: a3 fe sbrs r10, 3 + e7a: 11 91 ld r17, Z+ + e7c: 6f 01 movw r12, r30 + e7e: 11 23 and r17, r17 + e80: 09 f0 breq .+2 ; 0xe84 + e82: 0c cf rjmp .-488 ; 0xc9c + e84: 02 c0 rjmp .+4 ; 0xe8a + e86: 00 23 and r16, r16 + e88: 11 f0 breq .+4 ; 0xe8e + e8a: 10 e0 ldi r17, 0x00 ; 0 + e8c: 02 c0 rjmp .+4 ; 0xe92 + e8e: 0f ef ldi r16, 0xFF ; 255 + e90: 1f ef ldi r17, 0xFF ; 255 + e92: c8 01 movw r24, r16 + e94: 0f 90 pop r0 + e96: cf 91 pop r28 + e98: df 91 pop r29 + e9a: 1f 91 pop r17 + e9c: 0f 91 pop r16 + e9e: ff 90 pop r15 + ea0: ef 90 pop r14 + ea2: df 90 pop r13 + ea4: cf 90 pop r12 + ea6: bf 90 pop r11 + ea8: af 90 pop r10 + eaa: 9f 90 pop r9 + eac: 7f 90 pop r7 + eae: 6f 90 pop r6 + eb0: 5f 90 pop r5 + eb2: 4f 90 pop r4 + eb4: 08 95 ret + +00000eb6 : + eb6: ef 92 push r14 + eb8: ff 92 push r15 + eba: 0f 93 push r16 + ebc: 1f 93 push r17 + ebe: cf 93 push r28 + ec0: df 93 push r29 + ec2: 68 9f mul r22, r24 + ec4: 80 01 movw r16, r0 + ec6: 69 9f mul r22, r25 + ec8: 10 0d add r17, r0 + eca: 78 9f mul r23, r24 + ecc: 10 0d add r17, r0 + ece: 11 24 eor r1, r1 + ed0: c8 01 movw r24, r16 + ed2: 0e 94 7f 07 call 0xefe ; 0xefe + ed6: e8 2e mov r14, r24 + ed8: e7 01 movw r28, r14 + eda: 7e 01 movw r14, r28 + edc: f9 2e mov r15, r25 + ede: e7 01 movw r28, r14 + ee0: 20 97 sbiw r28, 0x00 ; 0 + ee2: 31 f0 breq .+12 ; 0xef0 + ee4: 8e 2d mov r24, r14 + ee6: 60 e0 ldi r22, 0x00 ; 0 + ee8: 70 e0 ldi r23, 0x00 ; 0 + eea: a8 01 movw r20, r16 + eec: 0e 94 d0 08 call 0x11a0 ; 0x11a0 + ef0: 7e 01 movw r14, r28 + ef2: ce 01 movw r24, r28 + ef4: cd b7 in r28, 0x3d ; 61 + ef6: de b7 in r29, 0x3e ; 62 + ef8: e6 e0 ldi r30, 0x06 ; 6 + efa: 0c 94 f4 09 jmp 0x13e8 ; 0x13e8 <__epilogue_restores__+0x18> + +00000efe : + efe: cf 93 push r28 + f00: df 93 push r29 + f02: 82 30 cpi r24, 0x02 ; 2 + f04: 91 05 cpc r25, r1 + f06: 10 f4 brcc .+4 ; 0xf0c + f08: 82 e0 ldi r24, 0x02 ; 2 + f0a: 90 e0 ldi r25, 0x00 ; 0 + f0c: e0 91 36 02 lds r30, 0x0236 + f10: f0 91 37 02 lds r31, 0x0237 + f14: 40 e0 ldi r20, 0x00 ; 0 + f16: 50 e0 ldi r21, 0x00 ; 0 + f18: 20 e0 ldi r18, 0x00 ; 0 + f1a: 30 e0 ldi r19, 0x00 ; 0 + f1c: 26 c0 rjmp .+76 ; 0xf6a + f1e: 60 81 ld r22, Z + f20: 71 81 ldd r23, Z+1 ; 0x01 + f22: 68 17 cp r22, r24 + f24: 79 07 cpc r23, r25 + f26: e0 f0 brcs .+56 ; 0xf60 + f28: 68 17 cp r22, r24 + f2a: 79 07 cpc r23, r25 + f2c: 81 f4 brne .+32 ; 0xf4e + f2e: 82 81 ldd r24, Z+2 ; 0x02 + f30: 93 81 ldd r25, Z+3 ; 0x03 + f32: 21 15 cp r18, r1 + f34: 31 05 cpc r19, r1 + f36: 31 f0 breq .+12 ; 0xf44 + f38: d9 01 movw r26, r18 + f3a: 13 96 adiw r26, 0x03 ; 3 + f3c: 9c 93 st X, r25 + f3e: 8e 93 st -X, r24 + f40: 12 97 sbiw r26, 0x02 ; 2 + f42: 2b c0 rjmp .+86 ; 0xf9a + f44: 90 93 37 02 sts 0x0237, r25 + f48: 80 93 36 02 sts 0x0236, r24 + f4c: 26 c0 rjmp .+76 ; 0xf9a + f4e: 41 15 cp r20, r1 + f50: 51 05 cpc r21, r1 + f52: 19 f0 breq .+6 ; 0xf5a + f54: 64 17 cp r22, r20 + f56: 75 07 cpc r23, r21 + f58: 18 f4 brcc .+6 ; 0xf60 + f5a: ab 01 movw r20, r22 + f5c: e9 01 movw r28, r18 + f5e: df 01 movw r26, r30 + f60: 9f 01 movw r18, r30 + f62: 72 81 ldd r23, Z+2 ; 0x02 + f64: 63 81 ldd r22, Z+3 ; 0x03 + f66: e7 2f mov r30, r23 + f68: f6 2f mov r31, r22 + f6a: 30 97 sbiw r30, 0x00 ; 0 + f6c: c1 f6 brne .-80 ; 0xf1e + f6e: 41 15 cp r20, r1 + f70: 51 05 cpc r21, r1 + f72: 01 f1 breq .+64 ; 0xfb4 + f74: 48 1b sub r20, r24 + f76: 59 0b sbc r21, r25 + f78: 44 30 cpi r20, 0x04 ; 4 + f7a: 51 05 cpc r21, r1 + f7c: 80 f4 brcc .+32 ; 0xf9e + f7e: 12 96 adiw r26, 0x02 ; 2 + f80: 8d 91 ld r24, X+ + f82: 9c 91 ld r25, X + f84: 13 97 sbiw r26, 0x03 ; 3 + f86: 20 97 sbiw r28, 0x00 ; 0 + f88: 19 f0 breq .+6 ; 0xf90 + f8a: 9b 83 std Y+3, r25 ; 0x03 + f8c: 8a 83 std Y+2, r24 ; 0x02 + f8e: 04 c0 rjmp .+8 ; 0xf98 + f90: 90 93 37 02 sts 0x0237, r25 + f94: 80 93 36 02 sts 0x0236, r24 + f98: fd 01 movw r30, r26 + f9a: 32 96 adiw r30, 0x02 ; 2 + f9c: 46 c0 rjmp .+140 ; 0x102a + f9e: fd 01 movw r30, r26 + fa0: e4 0f add r30, r20 + fa2: f5 1f adc r31, r21 + fa4: 81 93 st Z+, r24 + fa6: 91 93 st Z+, r25 + fa8: 42 50 subi r20, 0x02 ; 2 + faa: 50 40 sbci r21, 0x00 ; 0 + fac: 11 96 adiw r26, 0x01 ; 1 + fae: 5c 93 st X, r21 + fb0: 4e 93 st -X, r20 + fb2: 3b c0 rjmp .+118 ; 0x102a + fb4: 20 91 34 02 lds r18, 0x0234 + fb8: 30 91 35 02 lds r19, 0x0235 + fbc: 21 15 cp r18, r1 + fbe: 31 05 cpc r19, r1 + fc0: 41 f4 brne .+16 ; 0xfd2 + fc2: 20 91 17 01 lds r18, 0x0117 + fc6: 30 91 18 01 lds r19, 0x0118 + fca: 30 93 35 02 sts 0x0235, r19 + fce: 20 93 34 02 sts 0x0234, r18 + fd2: 20 91 19 01 lds r18, 0x0119 + fd6: 30 91 1a 01 lds r19, 0x011A + fda: 21 15 cp r18, r1 + fdc: 31 05 cpc r19, r1 + fde: 41 f4 brne .+16 ; 0xff0 + fe0: 2d b7 in r18, 0x3d ; 61 + fe2: 3e b7 in r19, 0x3e ; 62 + fe4: 40 91 15 01 lds r20, 0x0115 + fe8: 50 91 16 01 lds r21, 0x0116 + fec: 24 1b sub r18, r20 + fee: 35 0b sbc r19, r21 + ff0: e0 91 34 02 lds r30, 0x0234 + ff4: f0 91 35 02 lds r31, 0x0235 + ff8: e2 17 cp r30, r18 + ffa: f3 07 cpc r31, r19 + ffc: a0 f4 brcc .+40 ; 0x1026 + ffe: 2e 1b sub r18, r30 + 1000: 3f 0b sbc r19, r31 + 1002: 28 17 cp r18, r24 + 1004: 39 07 cpc r19, r25 + 1006: 78 f0 brcs .+30 ; 0x1026 + 1008: ac 01 movw r20, r24 + 100a: 4e 5f subi r20, 0xFE ; 254 + 100c: 5f 4f sbci r21, 0xFF ; 255 + 100e: 24 17 cp r18, r20 + 1010: 35 07 cpc r19, r21 + 1012: 48 f0 brcs .+18 ; 0x1026 + 1014: 4e 0f add r20, r30 + 1016: 5f 1f adc r21, r31 + 1018: 50 93 35 02 sts 0x0235, r21 + 101c: 40 93 34 02 sts 0x0234, r20 + 1020: 81 93 st Z+, r24 + 1022: 91 93 st Z+, r25 + 1024: 02 c0 rjmp .+4 ; 0x102a + 1026: e0 e0 ldi r30, 0x00 ; 0 + 1028: f0 e0 ldi r31, 0x00 ; 0 + 102a: cf 01 movw r24, r30 + 102c: df 91 pop r29 + 102e: cf 91 pop r28 + 1030: 08 95 ret + +00001032 : + 1032: cf 93 push r28 + 1034: df 93 push r29 + 1036: 00 97 sbiw r24, 0x00 ; 0 + 1038: 09 f4 brne .+2 ; 0x103c + 103a: 91 c0 rjmp .+290 ; 0x115e + 103c: fc 01 movw r30, r24 + 103e: 32 97 sbiw r30, 0x02 ; 2 + 1040: 13 82 std Z+3, r1 ; 0x03 + 1042: 12 82 std Z+2, r1 ; 0x02 + 1044: 60 91 36 02 lds r22, 0x0236 + 1048: 70 91 37 02 lds r23, 0x0237 + 104c: 61 15 cp r22, r1 + 104e: 71 05 cpc r23, r1 + 1050: 81 f4 brne .+32 ; 0x1072 + 1052: 20 81 ld r18, Z + 1054: 31 81 ldd r19, Z+1 ; 0x01 + 1056: 28 0f add r18, r24 + 1058: 39 1f adc r19, r25 + 105a: 80 91 34 02 lds r24, 0x0234 + 105e: 90 91 35 02 lds r25, 0x0235 + 1062: 82 17 cp r24, r18 + 1064: 93 07 cpc r25, r19 + 1066: 99 f5 brne .+102 ; 0x10ce + 1068: f0 93 35 02 sts 0x0235, r31 + 106c: e0 93 34 02 sts 0x0234, r30 + 1070: 76 c0 rjmp .+236 ; 0x115e + 1072: db 01 movw r26, r22 + 1074: 80 e0 ldi r24, 0x00 ; 0 + 1076: 90 e0 ldi r25, 0x00 ; 0 + 1078: 02 c0 rjmp .+4 ; 0x107e + 107a: cd 01 movw r24, r26 + 107c: d9 01 movw r26, r18 + 107e: ae 17 cp r26, r30 + 1080: bf 07 cpc r27, r31 + 1082: 48 f4 brcc .+18 ; 0x1096 + 1084: 12 96 adiw r26, 0x02 ; 2 + 1086: 2d 91 ld r18, X+ + 1088: 3c 91 ld r19, X + 108a: 13 97 sbiw r26, 0x03 ; 3 + 108c: 21 15 cp r18, r1 + 108e: 31 05 cpc r19, r1 + 1090: a1 f7 brne .-24 ; 0x107a + 1092: cd 01 movw r24, r26 + 1094: 21 c0 rjmp .+66 ; 0x10d8 + 1096: b3 83 std Z+3, r27 ; 0x03 + 1098: a2 83 std Z+2, r26 ; 0x02 + 109a: ef 01 movw r28, r30 + 109c: 49 91 ld r20, Y+ + 109e: 59 91 ld r21, Y+ + 10a0: 9e 01 movw r18, r28 + 10a2: 24 0f add r18, r20 + 10a4: 35 1f adc r19, r21 + 10a6: a2 17 cp r26, r18 + 10a8: b3 07 cpc r27, r19 + 10aa: 79 f4 brne .+30 ; 0x10ca + 10ac: 2d 91 ld r18, X+ + 10ae: 3c 91 ld r19, X + 10b0: 11 97 sbiw r26, 0x01 ; 1 + 10b2: 24 0f add r18, r20 + 10b4: 35 1f adc r19, r21 + 10b6: 2e 5f subi r18, 0xFE ; 254 + 10b8: 3f 4f sbci r19, 0xFF ; 255 + 10ba: 31 83 std Z+1, r19 ; 0x01 + 10bc: 20 83 st Z, r18 + 10be: 12 96 adiw r26, 0x02 ; 2 + 10c0: 2d 91 ld r18, X+ + 10c2: 3c 91 ld r19, X + 10c4: 13 97 sbiw r26, 0x03 ; 3 + 10c6: 33 83 std Z+3, r19 ; 0x03 + 10c8: 22 83 std Z+2, r18 ; 0x02 + 10ca: 00 97 sbiw r24, 0x00 ; 0 + 10cc: 29 f4 brne .+10 ; 0x10d8 + 10ce: f0 93 37 02 sts 0x0237, r31 + 10d2: e0 93 36 02 sts 0x0236, r30 + 10d6: 43 c0 rjmp .+134 ; 0x115e + 10d8: dc 01 movw r26, r24 + 10da: 13 96 adiw r26, 0x03 ; 3 + 10dc: fc 93 st X, r31 + 10de: ee 93 st -X, r30 + 10e0: 12 97 sbiw r26, 0x02 ; 2 + 10e2: 4d 91 ld r20, X+ + 10e4: 5d 91 ld r21, X+ + 10e6: a4 0f add r26, r20 + 10e8: b5 1f adc r27, r21 + 10ea: ea 17 cp r30, r26 + 10ec: fb 07 cpc r31, r27 + 10ee: 69 f4 brne .+26 ; 0x110a + 10f0: 20 81 ld r18, Z + 10f2: 31 81 ldd r19, Z+1 ; 0x01 + 10f4: 24 0f add r18, r20 + 10f6: 35 1f adc r19, r21 + 10f8: 2e 5f subi r18, 0xFE ; 254 + 10fa: 3f 4f sbci r19, 0xFF ; 255 + 10fc: ec 01 movw r28, r24 + 10fe: 39 83 std Y+1, r19 ; 0x01 + 1100: 28 83 st Y, r18 + 1102: 22 81 ldd r18, Z+2 ; 0x02 + 1104: 33 81 ldd r19, Z+3 ; 0x03 + 1106: 3b 83 std Y+3, r19 ; 0x03 + 1108: 2a 83 std Y+2, r18 ; 0x02 + 110a: e0 e0 ldi r30, 0x00 ; 0 + 110c: f0 e0 ldi r31, 0x00 ; 0 + 110e: 02 c0 rjmp .+4 ; 0x1114 + 1110: fb 01 movw r30, r22 + 1112: bc 01 movw r22, r24 + 1114: db 01 movw r26, r22 + 1116: 12 96 adiw r26, 0x02 ; 2 + 1118: 8d 91 ld r24, X+ + 111a: 9c 91 ld r25, X + 111c: 13 97 sbiw r26, 0x03 ; 3 + 111e: 00 97 sbiw r24, 0x00 ; 0 + 1120: b9 f7 brne .-18 ; 0x1110 + 1122: 9b 01 movw r18, r22 + 1124: 2e 5f subi r18, 0xFE ; 254 + 1126: 3f 4f sbci r19, 0xFF ; 255 + 1128: 8d 91 ld r24, X+ + 112a: 9c 91 ld r25, X + 112c: 11 97 sbiw r26, 0x01 ; 1 + 112e: 82 0f add r24, r18 + 1130: 93 1f adc r25, r19 + 1132: 40 91 34 02 lds r20, 0x0234 + 1136: 50 91 35 02 lds r21, 0x0235 + 113a: 48 17 cp r20, r24 + 113c: 59 07 cpc r21, r25 + 113e: 79 f4 brne .+30 ; 0x115e + 1140: 30 97 sbiw r30, 0x00 ; 0 + 1142: 29 f4 brne .+10 ; 0x114e + 1144: 10 92 37 02 sts 0x0237, r1 + 1148: 10 92 36 02 sts 0x0236, r1 + 114c: 02 c0 rjmp .+4 ; 0x1152 + 114e: 13 82 std Z+3, r1 ; 0x03 + 1150: 12 82 std Z+2, r1 ; 0x02 + 1152: 22 50 subi r18, 0x02 ; 2 + 1154: 30 40 sbci r19, 0x00 ; 0 + 1156: 30 93 35 02 sts 0x0235, r19 + 115a: 20 93 34 02 sts 0x0234, r18 + 115e: df 91 pop r29 + 1160: cf 91 pop r28 + 1162: 08 95 ret + +00001164 : + 1164: 91 11 cpse r25, r1 + 1166: 15 c1 rjmp .+554 ; 0x1392 <__ctype_isfalse> + 1168: 80 32 cpi r24, 0x20 ; 32 + 116a: 19 f0 breq .+6 ; 0x1172 + 116c: 89 50 subi r24, 0x09 ; 9 + 116e: 85 50 subi r24, 0x05 ; 5 + 1170: d0 f7 brcc .-12 ; 0x1166 + 1172: 08 95 ret + +00001174 : + 1174: fc 01 movw r30, r24 + 1176: 05 90 lpm r0, Z+ + 1178: 06 16 cp r0, r22 + 117a: 21 f0 breq .+8 ; 0x1184 + 117c: 00 20 and r0, r0 + 117e: d9 f7 brne .-10 ; 0x1176 + 1180: c0 01 movw r24, r0 + 1182: 08 95 ret + 1184: 31 97 sbiw r30, 0x01 ; 1 + 1186: cf 01 movw r24, r30 + 1188: 08 95 ret + +0000118a : + 118a: fc 01 movw r30, r24 + 118c: 05 90 lpm r0, Z+ + 118e: 61 50 subi r22, 0x01 ; 1 + 1190: 70 40 sbci r23, 0x00 ; 0 + 1192: 01 10 cpse r0, r1 + 1194: d8 f7 brcc .-10 ; 0x118c + 1196: 80 95 com r24 + 1198: 90 95 com r25 + 119a: 8e 0f add r24, r30 + 119c: 9f 1f adc r25, r31 + 119e: 08 95 ret + +000011a0 : + 11a0: dc 01 movw r26, r24 + 11a2: 01 c0 rjmp .+2 ; 0x11a6 + 11a4: 6d 93 st X+, r22 + 11a6: 41 50 subi r20, 0x01 ; 1 + 11a8: 50 40 sbci r21, 0x00 ; 0 + 11aa: e0 f7 brcc .-8 ; 0x11a4 + 11ac: 08 95 ret + +000011ae : + 11ae: fc 01 movw r30, r24 + 11b0: 61 50 subi r22, 0x01 ; 1 + 11b2: 70 40 sbci r23, 0x00 ; 0 + 11b4: 01 90 ld r0, Z+ + 11b6: 01 10 cpse r0, r1 + 11b8: d8 f7 brcc .-10 ; 0x11b0 + 11ba: 80 95 com r24 + 11bc: 90 95 com r25 + 11be: 8e 0f add r24, r30 + 11c0: 9f 1f adc r25, r31 + 11c2: 08 95 ret + +000011c4 : + 11c4: cf 93 push r28 + 11c6: df 93 push r29 + 11c8: ec 01 movw r28, r24 + 11ca: 3b 81 ldd r19, Y+3 ; 0x03 + 11cc: 30 ff sbrs r19, 0 + 11ce: 36 c0 rjmp .+108 ; 0x123c + 11d0: 36 ff sbrs r19, 6 + 11d2: 09 c0 rjmp .+18 ; 0x11e6 + 11d4: 3f 7b andi r19, 0xBF ; 191 + 11d6: 3b 83 std Y+3, r19 ; 0x03 + 11d8: 8e 81 ldd r24, Y+6 ; 0x06 + 11da: 9f 81 ldd r25, Y+7 ; 0x07 + 11dc: 01 96 adiw r24, 0x01 ; 1 + 11de: 9f 83 std Y+7, r25 ; 0x07 + 11e0: 8e 83 std Y+6, r24 ; 0x06 + 11e2: 2a 81 ldd r18, Y+2 ; 0x02 + 11e4: 29 c0 rjmp .+82 ; 0x1238 + 11e6: 32 ff sbrs r19, 2 + 11e8: 0f c0 rjmp .+30 ; 0x1208 + 11ea: e8 81 ld r30, Y + 11ec: f9 81 ldd r31, Y+1 ; 0x01 + 11ee: 80 81 ld r24, Z + 11f0: 99 27 eor r25, r25 + 11f2: 87 fd sbrc r24, 7 + 11f4: 90 95 com r25 + 11f6: 00 97 sbiw r24, 0x00 ; 0 + 11f8: 19 f4 brne .+6 ; 0x1200 + 11fa: 30 62 ori r19, 0x20 ; 32 + 11fc: 3b 83 std Y+3, r19 ; 0x03 + 11fe: 1e c0 rjmp .+60 ; 0x123c + 1200: 31 96 adiw r30, 0x01 ; 1 + 1202: f9 83 std Y+1, r31 ; 0x01 + 1204: e8 83 st Y, r30 + 1206: 11 c0 rjmp .+34 ; 0x122a + 1208: ea 85 ldd r30, Y+10 ; 0x0a + 120a: fb 85 ldd r31, Y+11 ; 0x0b + 120c: ce 01 movw r24, r28 + 120e: 09 95 icall + 1210: 97 ff sbrs r25, 7 + 1212: 0b c0 rjmp .+22 ; 0x122a + 1214: 2b 81 ldd r18, Y+3 ; 0x03 + 1216: 3f ef ldi r19, 0xFF ; 255 + 1218: 8f 3f cpi r24, 0xFF ; 255 + 121a: 93 07 cpc r25, r19 + 121c: 11 f4 brne .+4 ; 0x1222 + 121e: 80 e1 ldi r24, 0x10 ; 16 + 1220: 01 c0 rjmp .+2 ; 0x1224 + 1222: 80 e2 ldi r24, 0x20 ; 32 + 1224: 82 2b or r24, r18 + 1226: 8b 83 std Y+3, r24 ; 0x03 + 1228: 09 c0 rjmp .+18 ; 0x123c + 122a: 2e 81 ldd r18, Y+6 ; 0x06 + 122c: 3f 81 ldd r19, Y+7 ; 0x07 + 122e: 2f 5f subi r18, 0xFF ; 255 + 1230: 3f 4f sbci r19, 0xFF ; 255 + 1232: 3f 83 std Y+7, r19 ; 0x07 + 1234: 2e 83 std Y+6, r18 ; 0x06 + 1236: 28 2f mov r18, r24 + 1238: 30 e0 ldi r19, 0x00 ; 0 + 123a: 02 c0 rjmp .+4 ; 0x1240 + 123c: 2f ef ldi r18, 0xFF ; 255 + 123e: 3f ef ldi r19, 0xFF ; 255 + 1240: c9 01 movw r24, r18 + 1242: df 91 pop r29 + 1244: cf 91 pop r28 + 1246: 08 95 ret + +00001248 : + 1248: 0f 93 push r16 + 124a: 1f 93 push r17 + 124c: cf 93 push r28 + 124e: df 93 push r29 + 1250: 8c 01 movw r16, r24 + 1252: eb 01 movw r28, r22 + 1254: 8b 81 ldd r24, Y+3 ; 0x03 + 1256: 81 ff sbrs r24, 1 + 1258: 1b c0 rjmp .+54 ; 0x1290 + 125a: 82 ff sbrs r24, 2 + 125c: 0d c0 rjmp .+26 ; 0x1278 + 125e: 2e 81 ldd r18, Y+6 ; 0x06 + 1260: 3f 81 ldd r19, Y+7 ; 0x07 + 1262: 8c 81 ldd r24, Y+4 ; 0x04 + 1264: 9d 81 ldd r25, Y+5 ; 0x05 + 1266: 28 17 cp r18, r24 + 1268: 39 07 cpc r19, r25 + 126a: 64 f4 brge .+24 ; 0x1284 + 126c: e8 81 ld r30, Y + 126e: f9 81 ldd r31, Y+1 ; 0x01 + 1270: 01 93 st Z+, r16 + 1272: f9 83 std Y+1, r31 ; 0x01 + 1274: e8 83 st Y, r30 + 1276: 06 c0 rjmp .+12 ; 0x1284 + 1278: e8 85 ldd r30, Y+8 ; 0x08 + 127a: f9 85 ldd r31, Y+9 ; 0x09 + 127c: 80 2f mov r24, r16 + 127e: 09 95 icall + 1280: 00 97 sbiw r24, 0x00 ; 0 + 1282: 31 f4 brne .+12 ; 0x1290 + 1284: 8e 81 ldd r24, Y+6 ; 0x06 + 1286: 9f 81 ldd r25, Y+7 ; 0x07 + 1288: 01 96 adiw r24, 0x01 ; 1 + 128a: 9f 83 std Y+7, r25 ; 0x07 + 128c: 8e 83 std Y+6, r24 ; 0x06 + 128e: 02 c0 rjmp .+4 ; 0x1294 + 1290: 0f ef ldi r16, 0xFF ; 255 + 1292: 1f ef ldi r17, 0xFF ; 255 + 1294: c8 01 movw r24, r16 + 1296: df 91 pop r29 + 1298: cf 91 pop r28 + 129a: 1f 91 pop r17 + 129c: 0f 91 pop r16 + 129e: 08 95 ret + +000012a0 : + 12a0: fb 01 movw r30, r22 + 12a2: 23 81 ldd r18, Z+3 ; 0x03 + 12a4: 20 ff sbrs r18, 0 + 12a6: 13 c0 rjmp .+38 ; 0x12ce + 12a8: 26 fd sbrc r18, 6 + 12aa: 11 c0 rjmp .+34 ; 0x12ce + 12ac: 3f ef ldi r19, 0xFF ; 255 + 12ae: 8f 3f cpi r24, 0xFF ; 255 + 12b0: 93 07 cpc r25, r19 + 12b2: 69 f0 breq .+26 ; 0x12ce + 12b4: 82 83 std Z+2, r24 ; 0x02 + 12b6: 20 64 ori r18, 0x40 ; 64 + 12b8: 2f 7d andi r18, 0xDF ; 223 + 12ba: 23 83 std Z+3, r18 ; 0x03 + 12bc: 26 81 ldd r18, Z+6 ; 0x06 + 12be: 37 81 ldd r19, Z+7 ; 0x07 + 12c0: 21 50 subi r18, 0x01 ; 1 + 12c2: 30 40 sbci r19, 0x00 ; 0 + 12c4: 37 83 std Z+7, r19 ; 0x07 + 12c6: 26 83 std Z+6, r18 ; 0x06 + 12c8: 28 2f mov r18, r24 + 12ca: 30 e0 ldi r19, 0x00 ; 0 + 12cc: 02 c0 rjmp .+4 ; 0x12d2 + 12ce: 2f ef ldi r18, 0xFF ; 255 + 12d0: 3f ef ldi r19, 0xFF ; 255 + 12d2: c9 01 movw r24, r18 + 12d4: 08 95 ret + +000012d6 <__ultoa_invert>: + 12d6: fa 01 movw r30, r20 + 12d8: aa 27 eor r26, r26 + 12da: 28 30 cpi r18, 0x08 ; 8 + 12dc: 51 f1 breq .+84 ; 0x1332 <__ultoa_invert+0x5c> + 12de: 20 31 cpi r18, 0x10 ; 16 + 12e0: 81 f1 breq .+96 ; 0x1342 <__ultoa_invert+0x6c> + 12e2: e8 94 clt + 12e4: 6f 93 push r22 + 12e6: 6e 7f andi r22, 0xFE ; 254 + 12e8: 6e 5f subi r22, 0xFE ; 254 + 12ea: 7f 4f sbci r23, 0xFF ; 255 + 12ec: 8f 4f sbci r24, 0xFF ; 255 + 12ee: 9f 4f sbci r25, 0xFF ; 255 + 12f0: af 4f sbci r26, 0xFF ; 255 + 12f2: b1 e0 ldi r27, 0x01 ; 1 + 12f4: 3e d0 rcall .+124 ; 0x1372 <__ultoa_invert+0x9c> + 12f6: b4 e0 ldi r27, 0x04 ; 4 + 12f8: 3c d0 rcall .+120 ; 0x1372 <__ultoa_invert+0x9c> + 12fa: 67 0f add r22, r23 + 12fc: 78 1f adc r23, r24 + 12fe: 89 1f adc r24, r25 + 1300: 9a 1f adc r25, r26 + 1302: a1 1d adc r26, r1 + 1304: 68 0f add r22, r24 + 1306: 79 1f adc r23, r25 + 1308: 8a 1f adc r24, r26 + 130a: 91 1d adc r25, r1 + 130c: a1 1d adc r26, r1 + 130e: 6a 0f add r22, r26 + 1310: 71 1d adc r23, r1 + 1312: 81 1d adc r24, r1 + 1314: 91 1d adc r25, r1 + 1316: a1 1d adc r26, r1 + 1318: 20 d0 rcall .+64 ; 0x135a <__ultoa_invert+0x84> + 131a: 09 f4 brne .+2 ; 0x131e <__ultoa_invert+0x48> + 131c: 68 94 set + 131e: 3f 91 pop r19 + 1320: 2a e0 ldi r18, 0x0A ; 10 + 1322: 26 9f mul r18, r22 + 1324: 11 24 eor r1, r1 + 1326: 30 19 sub r19, r0 + 1328: 30 5d subi r19, 0xD0 ; 208 + 132a: 31 93 st Z+, r19 + 132c: de f6 brtc .-74 ; 0x12e4 <__ultoa_invert+0xe> + 132e: cf 01 movw r24, r30 + 1330: 08 95 ret + 1332: 46 2f mov r20, r22 + 1334: 47 70 andi r20, 0x07 ; 7 + 1336: 40 5d subi r20, 0xD0 ; 208 + 1338: 41 93 st Z+, r20 + 133a: b3 e0 ldi r27, 0x03 ; 3 + 133c: 0f d0 rcall .+30 ; 0x135c <__ultoa_invert+0x86> + 133e: c9 f7 brne .-14 ; 0x1332 <__ultoa_invert+0x5c> + 1340: f6 cf rjmp .-20 ; 0x132e <__ultoa_invert+0x58> + 1342: 46 2f mov r20, r22 + 1344: 4f 70 andi r20, 0x0F ; 15 + 1346: 40 5d subi r20, 0xD0 ; 208 + 1348: 4a 33 cpi r20, 0x3A ; 58 + 134a: 18 f0 brcs .+6 ; 0x1352 <__ultoa_invert+0x7c> + 134c: 49 5d subi r20, 0xD9 ; 217 + 134e: 31 fd sbrc r19, 1 + 1350: 40 52 subi r20, 0x20 ; 32 + 1352: 41 93 st Z+, r20 + 1354: 02 d0 rcall .+4 ; 0x135a <__ultoa_invert+0x84> + 1356: a9 f7 brne .-22 ; 0x1342 <__ultoa_invert+0x6c> + 1358: ea cf rjmp .-44 ; 0x132e <__ultoa_invert+0x58> + 135a: b4 e0 ldi r27, 0x04 ; 4 + 135c: a6 95 lsr r26 + 135e: 97 95 ror r25 + 1360: 87 95 ror r24 + 1362: 77 95 ror r23 + 1364: 67 95 ror r22 + 1366: ba 95 dec r27 + 1368: c9 f7 brne .-14 ; 0x135c <__ultoa_invert+0x86> + 136a: 00 97 sbiw r24, 0x00 ; 0 + 136c: 61 05 cpc r22, r1 + 136e: 71 05 cpc r23, r1 + 1370: 08 95 ret + 1372: 9b 01 movw r18, r22 + 1374: ac 01 movw r20, r24 + 1376: 0a 2e mov r0, r26 + 1378: 06 94 lsr r0 + 137a: 57 95 ror r21 + 137c: 47 95 ror r20 + 137e: 37 95 ror r19 + 1380: 27 95 ror r18 + 1382: ba 95 dec r27 + 1384: c9 f7 brne .-14 ; 0x1378 <__ultoa_invert+0xa2> + 1386: 62 0f add r22, r18 + 1388: 73 1f adc r23, r19 + 138a: 84 1f adc r24, r20 + 138c: 95 1f adc r25, r21 + 138e: a0 1d adc r26, r0 + 1390: 08 95 ret + +00001392 <__ctype_isfalse>: + 1392: 99 27 eor r25, r25 + 1394: 88 27 eor r24, r24 + +00001396 <__ctype_istrue>: + 1396: 08 95 ret + +00001398 <__prologue_saves__>: + 1398: 2f 92 push r2 + 139a: 3f 92 push r3 + 139c: 4f 92 push r4 + 139e: 5f 92 push r5 + 13a0: 6f 92 push r6 + 13a2: 7f 92 push r7 + 13a4: 8f 92 push r8 + 13a6: 9f 92 push r9 + 13a8: af 92 push r10 + 13aa: bf 92 push r11 + 13ac: cf 92 push r12 + 13ae: df 92 push r13 + 13b0: ef 92 push r14 + 13b2: ff 92 push r15 + 13b4: 0f 93 push r16 + 13b6: 1f 93 push r17 + 13b8: cf 93 push r28 + 13ba: df 93 push r29 + 13bc: cd b7 in r28, 0x3d ; 61 + 13be: de b7 in r29, 0x3e ; 62 + 13c0: ca 1b sub r28, r26 + 13c2: db 0b sbc r29, r27 + 13c4: 0f b6 in r0, 0x3f ; 63 + 13c6: f8 94 cli + 13c8: de bf out 0x3e, r29 ; 62 + 13ca: 0f be out 0x3f, r0 ; 63 + 13cc: cd bf out 0x3d, r28 ; 61 + 13ce: 09 94 ijmp + +000013d0 <__epilogue_restores__>: + 13d0: 2a 88 ldd r2, Y+18 ; 0x12 + 13d2: 39 88 ldd r3, Y+17 ; 0x11 + 13d4: 48 88 ldd r4, Y+16 ; 0x10 + 13d6: 5f 84 ldd r5, Y+15 ; 0x0f + 13d8: 6e 84 ldd r6, Y+14 ; 0x0e + 13da: 7d 84 ldd r7, Y+13 ; 0x0d + 13dc: 8c 84 ldd r8, Y+12 ; 0x0c + 13de: 9b 84 ldd r9, Y+11 ; 0x0b + 13e0: aa 84 ldd r10, Y+10 ; 0x0a + 13e2: b9 84 ldd r11, Y+9 ; 0x09 + 13e4: c8 84 ldd r12, Y+8 ; 0x08 + 13e6: df 80 ldd r13, Y+7 ; 0x07 + 13e8: ee 80 ldd r14, Y+6 ; 0x06 + 13ea: fd 80 ldd r15, Y+5 ; 0x05 + 13ec: 0c 81 ldd r16, Y+4 ; 0x04 + 13ee: 1b 81 ldd r17, Y+3 ; 0x03 + 13f0: aa 81 ldd r26, Y+2 ; 0x02 + 13f2: b9 81 ldd r27, Y+1 ; 0x01 + 13f4: ce 0f add r28, r30 + 13f6: d1 1d adc r29, r1 + 13f8: 0f b6 in r0, 0x3f ; 63 + 13fa: f8 94 cli + 13fc: de bf out 0x3e, r29 ; 62 + 13fe: 0f be out 0x3f, r0 ; 63 + 1400: cd bf out 0x3d, r28 ; 61 + 1402: ed 01 movw r28, r26 + 1404: 08 95 ret + +00001406 <_exit>: + 1406: f8 94 cli + +00001408 <__stop_program>: + 1408: ff cf rjmp .-2 ; 0x1408 <__stop_program> diff --git a/test_fpga/serial/serial_test/serial_test/Debug/serial_test.map b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.map new file mode 100755 index 0000000..c00c402 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Debug/serial_test.map @@ -0,0 +1,762 @@ +Archive member included because of file (symbol) + +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o (exit) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + serial_test.o (__do_copy_data) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + serial.o (__do_clear_bss) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + serial.o (fdevopen) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) (__iob) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + serial_test.o (printf) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + serial_test.o (scanf) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) (vfprintf) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) (vfscanf) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) (calloc) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) (malloc) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) (isspace) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) (strchr_P) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) (strnlen_P) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) (memset) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) (strnlen) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) (fgetc) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) (fputc) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) (ungetc) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) (__ultoa_invert) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) (__ctype_isfalse) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_prologue.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) (__prologue_saves__) +c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_epilogue.o) + c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) (__epilogue_restores__) + +Allocating common symbols +Common symbol size file + +rx_buf_head 0x2 serial.o +tx_buf_head 0x2 serial.o +tx_buf_tail 0x2 serial.o +cts_bit 0x1 serial.o +__brkval 0x2 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) +tx_bytes 0x1 serial.o +rx_buf_tail 0x2 serial.o +rx_buffer 0x80 serial.o +tx_buffer 0x80 serial.o +rx_errors 0x2 serial.o +rx_bytes 0x1 serial.o +fc_port 0x2 serial.o +__flp 0x2 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) +__iob 0x6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) +discard 0x2 serial.o +cmd 0x1 serial_test.o + +Memory Configuration + +Name Origin Length Attributes +text 0x00000000 0x00020000 xr +data 0x00800060 0x0000ffa0 rw !x +eeprom 0x00810000 0x00010000 rw !x +fuse 0x00820000 0x00000400 rw !x +lock 0x00830000 0x00000400 rw !x +signature 0x00840000 0x00000400 rw !x +*default* 0x00000000 0xffffffff + +Linker script and memory map + +Address of section .data set to 0x800100 +LOAD c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o +LOAD serial.o +LOAD serial_test.o +LOAD c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a +LOAD c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a +LOAD c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a + +.hash + *(.hash) + +.dynsym + *(.dynsym) + +.dynstr + *(.dynstr) + +.gnu.version + *(.gnu.version) + +.gnu.version_d + *(.gnu.version_d) + +.gnu.version_r + *(.gnu.version_r) + +.rel.init + *(.rel.init) + +.rela.init + *(.rela.init) + +.rel.text + *(.rel.text) + *(.rel.text.*) + *(.rel.gnu.linkonce.t*) + +.rela.text + *(.rela.text) + *(.rela.text.*) + *(.rela.gnu.linkonce.t*) + +.rel.fini + *(.rel.fini) + +.rela.fini + *(.rela.fini) + +.rel.rodata + *(.rel.rodata) + *(.rel.rodata.*) + *(.rel.gnu.linkonce.r*) + +.rela.rodata + *(.rela.rodata) + *(.rela.rodata.*) + *(.rela.gnu.linkonce.r*) + +.rel.data + *(.rel.data) + *(.rel.data.*) + *(.rel.gnu.linkonce.d*) + +.rela.data + *(.rela.data) + *(.rela.data.*) + *(.rela.gnu.linkonce.d*) + +.rel.ctors + *(.rel.ctors) + +.rela.ctors + *(.rela.ctors) + +.rel.dtors + *(.rel.dtors) + +.rela.dtors + *(.rela.dtors) + +.rel.got + *(.rel.got) + +.rela.got + *(.rela.got) + +.rel.bss + *(.rel.bss) + +.rela.bss + *(.rela.bss) + +.rel.plt + *(.rel.plt) + +.rela.plt + *(.rela.plt) + +.text 0x00000000 0x140a + *(.vectors) + .vectors 0x00000000 0x68 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + 0x00000000 __vector_default + 0x00000000 __vectors + *(.vectors) + *(.progmem.gcc*) + *(.progmem*) + .progmem.data 0x00000068 0xc c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + 0x00000074 . = ALIGN (0x2) + 0x00000074 __trampolines_start = . + *(.trampolines) + .trampolines 0x00000074 0x0 linker stubs + *(.trampolines*) + 0x00000074 __trampolines_end = . + *(.jumptables) + *(.jumptables*) + *(.lowtext) + *(.lowtext*) + 0x00000074 __ctors_start = . + *(.ctors) + 0x00000074 __ctors_end = . + 0x00000074 __dtors_start = . + *(.dtors) + 0x00000074 __dtors_end = . + SORT(*)(.ctors) + SORT(*)(.dtors) + *(.init0) + .init0 0x00000074 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + 0x00000074 __init + *(.init0) + *(.init1) + *(.init1) + *(.init2) + .init2 0x00000074 0xc c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + *(.init2) + *(.init3) + *(.init3) + *(.init4) + .init4 0x00000080 0x16 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + 0x00000080 __do_copy_data + .init4 0x00000096 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + 0x00000096 __do_clear_bss + *(.init4) + *(.init5) + *(.init5) + *(.init6) + *(.init6) + *(.init7) + *(.init7) + *(.init8) + *(.init8) + *(.init9) + .init9 0x000000a6 0x8 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + *(.init9) + *(.text) + .text 0x000000ae 0x4 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + 0x000000ae __vector_22 + 0x000000ae __vector_1 + 0x000000ae __vector_24 + 0x000000ae __vector_12 + 0x000000ae __bad_interrupt + 0x000000ae __vector_6 + 0x000000ae __vector_3 + 0x000000ae __vector_23 + 0x000000ae __vector_25 + 0x000000ae __vector_11 + 0x000000ae __vector_13 + 0x000000ae __vector_17 + 0x000000ae __vector_7 + 0x000000ae __vector_5 + 0x000000ae __vector_4 + 0x000000ae __vector_9 + 0x000000ae __vector_2 + 0x000000ae __vector_21 + 0x000000ae __vector_15 + 0x000000ae __vector_8 + 0x000000ae __vector_14 + 0x000000ae __vector_10 + 0x000000ae __vector_16 + 0x000000ae __vector_20 + .text 0x000000b2 0x360 serial.o + 0x000000b2 serial_stream_in + 0x00000100 serial_stream_out + 0x0000016c USART_init + 0x000001b8 USART_blocking_getchar + 0x00000204 USART_getchar + 0x00000254 USART_putchar + 0x000002b8 USART_putstring + 0x0000031e USART_to_stdio + 0x0000032c __vector_18 + 0x000003a0 __vector_19 + .text 0x00000412 0x74 serial_test.o + 0x00000412 main + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_prologue.o) + .text 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_epilogue.o) + 0x00000486 . = ALIGN (0x2) + *(.text.*) + .text.libgcc 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + .text.libgcc 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + .text.libgcc 0x00000486 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + .text.avr-libc + 0x00000486 0x96 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + 0x00000486 fdevopen + .text.avr-libc + 0x0000051c 0x2a c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + 0x0000051c printf + .text.avr-libc + 0x00000546 0x2a c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + 0x00000546 scanf + .text.avr-libc + 0x00000570 0x3ce c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + 0x00000570 vfprintf + .text.avr-libc + 0x0000093e 0x578 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + 0x00000c68 vfscanf + .text.avr-libc + 0x00000eb6 0x48 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + 0x00000eb6 calloc + .text.avr-libc + 0x00000efe 0x266 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + 0x00000efe malloc + 0x00001032 free + .text.avr-libc + 0x00001164 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + 0x00001164 isspace + .text.avr-libc + 0x00001174 0x16 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + 0x00001174 strchr_P + .text.avr-libc + 0x0000118a 0x16 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + 0x0000118a strnlen_P + .text.avr-libc + 0x000011a0 0xe c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + 0x000011a0 memset + .text.avr-libc + 0x000011ae 0x16 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + 0x000011ae strnlen + .text.avr-libc + 0x000011c4 0x84 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + 0x000011c4 fgetc + .text.avr-libc + 0x00001248 0x58 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + 0x00001248 fputc + .text.avr-libc + 0x000012a0 0x36 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + 0x000012a0 ungetc + .text.avr-libc + 0x000012d6 0xbc c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + 0x000012d6 __ultoa_invert + .text.avr-libc + 0x00001392 0x6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + 0x00001392 __ctype_isfalse + 0x00001396 __ctype_istrue + .text.libgcc 0x00001398 0x38 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_prologue.o) + 0x00001398 __prologue_saves__ + .text.libgcc 0x000013d0 0x36 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_epilogue.o) + 0x000013d0 __epilogue_restores__ + 0x00001406 . = ALIGN (0x2) + *(.fini9) + .fini9 0x00001406 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + 0x00001406 _exit + 0x00001406 exit + *(.fini9) + *(.fini8) + *(.fini8) + *(.fini7) + *(.fini7) + *(.fini6) + *(.fini6) + *(.fini5) + *(.fini5) + *(.fini4) + *(.fini4) + *(.fini3) + *(.fini3) + *(.fini2) + *(.fini2) + *(.fini1) + *(.fini1) + *(.fini0) + .fini0 0x00001406 0x4 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + *(.fini0) + 0x0000140a _etext = . + +.data 0x00800100 0x1c load address 0x0000140a + 0x00800100 PROVIDE (__data_start, .) + *(.data) + .data 0x00800100 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + .data 0x00800100 0x0 serial.o + .data 0x00800100 0x15 serial_test.o + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .data 0x00800115 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .data 0x00800115 0x6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + 0x00800115 __malloc_margin + 0x00800117 __malloc_heap_start + 0x00800119 __malloc_heap_end + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_prologue.o) + .data 0x0080011b 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_epilogue.o) + *(.data*) + *(.rodata) + *(.rodata*) + *(.gnu.linkonce.d*) + 0x0080011c . = ALIGN (0x2) + *fill* 0x0080011b 0x1 00 + 0x0080011c _edata = . + 0x0080011c PROVIDE (__data_end, .) + +.bss 0x0080011c 0x11c + 0x0080011c PROVIDE (__bss_start, .) + *(.bss) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + .bss 0x0080011c 0x0 serial.o + .bss 0x0080011c 0x0 serial_test.o + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_exit.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_copy_data.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_clear_bss.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_prologue.o) + .bss 0x0080011c 0x0 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/avr5\libgcc.a(_epilogue.o) + *(.bss*) + *(COMMON) + COMMON 0x0080011c 0x111 serial.o + 0x0080011c rx_buf_head + 0x0080011e tx_buf_head + 0x00800120 tx_buf_tail + 0x00800122 cts_bit + 0x00800123 tx_bytes + 0x00800124 rx_buf_tail + 0x00800126 rx_buffer + 0x008001a6 tx_buffer + 0x00800226 rx_errors + 0x00800228 rx_bytes + 0x00800229 fc_port + 0x0080022b discard + COMMON 0x0080022d 0x1 serial_test.o + 0x0080022d cmd + COMMON 0x0080022e 0x6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + 0x0080022e __iob + COMMON 0x00800234 0x4 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + 0x00800234 __brkval + 0x00800236 __flp + 0x00800238 PROVIDE (__bss_end, .) + 0x0000140a __data_load_start = LOADADDR (.data) + 0x00001426 __data_load_end = (__data_load_start + SIZEOF (.data)) + +.noinit 0x00800238 0x0 + 0x00800238 PROVIDE (__noinit_start, .) + *(.noinit*) + 0x00800238 PROVIDE (__noinit_end, .) + 0x00800238 _end = . + 0x00800238 PROVIDE (__heap_start, .) + +.eeprom 0x00810000 0x0 + *(.eeprom*) + 0x00810000 __eeprom_end = . + +.fuse + *(.fuse) + *(.lfuse) + *(.hfuse) + *(.efuse) + +.lock + *(.lock*) + +.signature + *(.signature*) + +.stab 0x00000000 0xe88 + *(.stab) + .stab 0x00000000 0x6cc c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + .stab 0x000006cc 0x9c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(isspace.o) + 0xa8 (size before relaxing) + .stab 0x00000768 0x9c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strchr_P.o) + 0xa8 (size before relaxing) + .stab 0x00000804 0x9c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen_P.o) + 0xa8 (size before relaxing) + .stab 0x000008a0 0x6c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(memset.o) + 0x78 (size before relaxing) + .stab 0x0000090c 0x9c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(strnlen.o) + 0xa8 (size before relaxing) + .stab 0x000009a8 0x480 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ultoa_invert.o) + 0x48c (size before relaxing) + .stab 0x00000e28 0x60 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(cty_isfalse.o) + 0x6c (size before relaxing) + +.stabstr 0x00000000 0x30d + *(.stabstr) + .stabstr 0x00000000 0x30d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5/crtm328.o + +.stab.excl + *(.stab.excl) + +.stab.exclstr + *(.stab.exclstr) + +.stab.index + *(.stab.index) + +.stab.indexstr + *(.stab.indexstr) + +.comment + *(.comment) + +.debug + *(.debug) + +.line + *(.line) + +.debug_srcinfo + *(.debug_srcinfo) + +.debug_sfnames + *(.debug_sfnames) + +.debug_aranges 0x00000000 0x1b0 + *(.debug_aranges) + .debug_aranges + 0x00000000 0x20 serial.o + .debug_aranges + 0x00000020 0x20 serial_test.o + .debug_aranges + 0x00000040 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_aranges + 0x00000060 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_aranges + 0x00000080 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_aranges + 0x000000a0 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_aranges + 0x000000c0 0x48 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_aranges + 0x00000108 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_aranges + 0x00000128 0x28 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_aranges + 0x00000150 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_aranges + 0x00000170 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_aranges + 0x00000190 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_pubnames + 0x00000000 0x33f + *(.debug_pubnames) + .debug_pubnames + 0x00000000 0x17a serial.o + .debug_pubnames + 0x0000017a 0x23 serial_test.o + .debug_pubnames + 0x0000019d 0x1f c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_pubnames + 0x000001bc 0x1c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .debug_pubnames + 0x000001d8 0x1d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_pubnames + 0x000001f5 0x1c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_pubnames + 0x00000211 0x1f c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_pubnames + 0x00000230 0x1e c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_pubnames + 0x0000024e 0x1d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_pubnames + 0x0000026b 0x7f c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_pubnames + 0x000002ea 0x1c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_pubnames + 0x00000306 0x1c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_pubnames + 0x00000322 0x1d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_info 0x00000000 0x1e2d + *(.debug_info) + .debug_info 0x00000000 0x6bb serial.o + .debug_info 0x000006bb 0xa8 serial_test.o + .debug_info 0x00000763 0x1a1 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_info 0x00000904 0x14f c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .debug_info 0x00000a53 0x1c6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_info 0x00000c19 0x1c6 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_info 0x00000ddf 0x350 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_info 0x0000112f 0x59e c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_info 0x000016cd 0x91 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_info 0x0000175e 0x296 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_info 0x000019f4 0x168 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_info 0x00001b5c 0x167 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_info 0x00001cc3 0x16a c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + *(.gnu.linkonce.wi.*) + +.debug_abbrev 0x00000000 0xe33 + *(.debug_abbrev) + .debug_abbrev 0x00000000 0x284 serial.o + .debug_abbrev 0x00000284 0x70 serial_test.o + .debug_abbrev 0x000002f4 0xfa c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_abbrev 0x000003ee 0xc5 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .debug_abbrev 0x000004b3 0x11c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_abbrev 0x000005cf 0x11c c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_abbrev 0x000006eb 0x15f c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_abbrev 0x0000084a 0x1f4 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_abbrev 0x00000a3e 0x75 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_abbrev 0x00000ab3 0xf5 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_abbrev 0x00000ba8 0xd9 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_abbrev 0x00000c81 0xd9 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_abbrev 0x00000d5a 0xd9 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_line 0x00000000 0x229b + *(.debug_line) + .debug_line 0x00000000 0x546 serial.o + .debug_line 0x00000546 0xf3 serial_test.o + .debug_line 0x00000639 0x194 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_line 0x000007cd 0xeb c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .debug_line 0x000008b8 0x186 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_line 0x00000a3e 0x185 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_line 0x00000bc3 0x64d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_line 0x00001210 0x768 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_line 0x00001978 0x133 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_line 0x00001aab 0x3c8 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_line 0x00001e73 0x191 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_line 0x00002004 0x147 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_line 0x0000214b 0x150 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_frame 0x00000000 0x270 + *(.debug_frame) + .debug_frame 0x00000000 0xb0 serial.o + .debug_frame 0x000000b0 0x20 serial_test.o + .debug_frame 0x000000d0 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_frame 0x000000f0 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_frame 0x00000110 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_frame 0x00000130 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_frame 0x00000150 0x70 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_frame 0x000001c0 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_frame 0x000001e0 0x30 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_frame 0x00000210 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_frame 0x00000230 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_frame 0x00000250 0x20 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_str 0x00000000 0x74f + *(.debug_str) + .debug_str 0x00000000 0x21c serial.o + 0x26e (size before relaxing) + .debug_str 0x0000021c 0x18 serial_test.o + 0xed (size before relaxing) + .debug_str 0x00000234 0x62 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + 0x100 (size before relaxing) + .debug_str 0x00000296 0x4e c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + 0xf2 (size before relaxing) + .debug_str 0x000002e4 0x72 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + 0x125 (size before relaxing) + .debug_str 0x00000356 0x50 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + 0x123 (size before relaxing) + .debug_str 0x000003a6 0xa4 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + 0x177 (size before relaxing) + .debug_str 0x0000044a 0xb8 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + 0x19d (size before relaxing) + .debug_str 0x00000502 0x5e c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + 0x8c (size before relaxing) + .debug_str 0x00000560 0xeb c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + 0x16a (size before relaxing) + .debug_str 0x0000064b 0x56 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + 0xfb (size before relaxing) + .debug_str 0x000006a1 0x56 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + 0xfb (size before relaxing) + .debug_str 0x000006f7 0x58 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + 0xfd (size before relaxing) + +.debug_loc 0x00000000 0x19ec + *(.debug_loc) + .debug_loc 0x00000000 0x2cf serial.o + .debug_loc 0x000002cf 0x78 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_loc 0x00000347 0x6a1 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_loc 0x000009e8 0xaab c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_loc 0x00001493 0x68 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_loc 0x000014fb 0x429 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_loc 0x00001924 0x60 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_loc 0x00001984 0x50 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_loc 0x000019d4 0x18 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_macinfo + *(.debug_macinfo) +OUTPUT(serial_test.elf elf32-avr) +LOAD linker stubs + +.debug_pubtypes + 0x00000000 0x2ac + .debug_pubtypes + 0x00000000 0x34 serial.o + .debug_pubtypes + 0x00000034 0x1e serial_test.o + .debug_pubtypes + 0x00000052 0x29 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_pubtypes + 0x0000007b 0x29 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(iob.o) + .debug_pubtypes + 0x000000a4 0x48 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_pubtypes + 0x000000ec 0x48 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_pubtypes + 0x00000134 0x53 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_pubtypes + 0x00000187 0x54 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_pubtypes + 0x000001db 0x1d c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_pubtypes + 0x000001f8 0x39 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_pubtypes + 0x00000231 0x29 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_pubtypes + 0x0000025a 0x29 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_pubtypes + 0x00000283 0x29 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) + +.debug_ranges 0x00000000 0x1b8 + .debug_ranges 0x00000000 0x80 serial.o + .debug_ranges 0x00000080 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fdevopen.o) + .debug_ranges 0x00000090 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(printf.o) + .debug_ranges 0x000000a0 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(scanf.o) + .debug_ranges 0x000000b0 0x28 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfprintf_std.o) + .debug_ranges 0x000000d8 0x88 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(vfscanf_std.o) + .debug_ranges 0x00000160 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(calloc.o) + .debug_ranges 0x00000170 0x18 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(malloc.o) + .debug_ranges 0x00000188 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fgetc.o) + .debug_ranges 0x00000198 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(fputc.o) + .debug_ranges 0x000001a8 0x10 c:/program files (x86)/atmel/avr studio 5.0/avr toolchain/bin/../lib/gcc/avr/4.5.1/../../../../avr/lib/avr5\libc.a(ungetc.o) diff --git a/test_fpga/serial/serial_test/serial_test/Makefile b/test_fpga/serial/serial_test/serial_test/Makefile new file mode 100755 index 0000000..32f9e78 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/Makefile @@ -0,0 +1,72 @@ + +SHELL := cmd.exe +RM := rm -rf +TOOLCHAIN_PATH :=C:/Program Files (x86)/Atmel/AVR Studio 5.0/AVR ToolChain/bin/ +COMMA :=, + +DEVICE := atmega328 +DEFS:= F_CPU=16000000 + + +PROJ_NAME := serial_test +C_DEPS := +LIBS := serial +LIB_DIR :=../../.. + +export C_OPTS := -O3 -funsigned-char -funsigned-bitfields -fpack-struct -fshort-enums -g2 -Wall -std=gnu99 -mmcu=$(DEVICE) + + + +SOURCES := $(PROJ_NAME).c +SOURCES += $(addsuffix .c,$(C_DEPS)) + +OBJS := $(SOURCES:%.c=%.o) + +export DEFSTRING := $(addprefix -D,$(DEFS)) +LIB_PATHS := $(foreach lib,$(LIBS),$(LIB_DIR)/$(lib)) +INC_PATHS := $(addprefix -I,$(LIB_PATHS)) +LINK_LIB_PATHS := $(addprefix -Wl$(COMMA)-L,$(LIB_PATHS)) +LINK_LIBS := $(addprefix -Wl$(COMMA)-l,$(LIBS)) + + + +.PHONY: all libs $(LIB_PATHS) clean + + +all: $(PROJ_NAME).elf $(PROJ_NAME).hex size + + +$(PROJ_NAME).elf: $(OBJS) + @echo Building target: $@ + $(TOOLCHAIN_PATH)avr-gcc.exe $(LINK_LIB_PATHS) -mmcu=$(DEVICE) -o $(PROJ_NAME).elf $(OBJS) $(LINK_LIBS) + @echo Finished building target: $@ + + +$(PROJ_NAME).hex: $(PROJ_NAME).elf + @echo Building target: $@ + $(TOOLCHAIN_PATH)avr-objcopy.exe -O ihex -R .eeprom -R .fuse -R .lock -R .signature $< $@ + @echo Finished building target: $@ + + +size: $(PROJ_NAME).elf + @$(TOOLCHAIN_PATH)avr-size.exe -C --mcu=$(DEVICE) $(PROJ_NAME).elf + + +%.o: %.c libs + @echo Building file: $< + "$(TOOLCHAIN_PATH)avr-gcc.exe" $(C_OPTS) $(DEFSTRING) $(INC_PATHS) -o $@ -c $< + @echo Finished building: $< + + + +libs: $(LIB_PATHS) + @echo Building libs. + +$(LIB_PATHS): + "$(TOOLCHAIN_PATH)make.exe" -C $@ -f "Makefile" all + + + +clean: + -$(RM) *.o $(PROJ_NAME).hex $(PROJ_NAME).elf + diff --git a/test_fpga/serial/serial_test/serial_test/serial.c b/test_fpga/serial/serial_test/serial_test/serial.c new file mode 100755 index 0000000..3119961 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial.c @@ -0,0 +1,277 @@ +/* + * serial.c + * + * Library to interface with the USART on the ATMEGA328. + * + * Created: 6/30/2012 1:00:56 AM + * Author: jenn + */ + + +#include "serial.h" + + +#define BAUD 9600 +#define BAUD_PRESCALER (((F_CPU / (BAUD * 16UL))) - 1) + + +#ifdef __AVR_ATtiny2313__ + + #define UDR0 UDR + #define UCSR0A UCSRA + #define UCSR0B UCSRB + #define UCSR0C UCSRC + #define UBRR0H UBRRH + #define UBRR0L UBRRL + #define RXC0 RXC + #define RXEN0 RXEN + #define TXEN0 TXEN + #define UCSZ00 UCSZ0 + #define UDRE0 UDRE + + +#endif + +uint8_t cts_bit; +//uint8_t rts_bit; +volatile uint8_t * fc_port; + +//RX and TX ring buffers. +char rx_buffer[RX_BUF_SIZE]; +char tx_buffer[TX_BUF_SIZE]; + +//Pointers to head and tail of RX and TX buffers. +volatile char * rx_buf_head; +volatile char * tx_buf_head; +volatile char * rx_buf_tail; +volatile char * tx_buf_tail; + +//How many bytes are currently used in the TX and RX buffers. +volatile uint8_t rx_bytes; +volatile uint8_t tx_bytes; + +unsigned int rx_errors; +int discard; + + + +void USART_init(volatile uint8_t * flow_port, volatile uint8_t * flow_ddr, uint8_t cts, uint8_t rts) +{ + //Save FC port and CTS and RTS pins. + //cts_bit = cts; + //rts_bit = rts; + //fc_port = flow_port; + + //Set baud rate. + UBRR0H = (uint8_t)(BAUD_PRESCALER>>8); + UBRR0L = (uint8_t)(BAUD_PRESCALER); + + //Set 8 data bits, 1 stop bit, even parity + //UCSR0C = 0x26; + UCSR0C = (3 << UCSZ00); + + //Set up buffer variables. + rx_buf_head = rx_buffer; + rx_buf_tail = rx_buffer; + tx_buf_head = tx_buffer; + tx_buf_tail = tx_buffer; + rx_bytes = 0; + tx_bytes = 0; + + DDRD &= ~_BV(5); + + + //Enable RX, TX, and the RXC interrupt. + UCSR0B = _BV(RXCIE0) | _BV(RXEN0) | _BV(TXEN0); + + + //Set data direction for CTS and RTS. + //*flow_ddr |= _BV(cts); + //*flow_ddr &= ~_BV(rts); + + //Start with CTS low. + //*flow_port &= ~_BV(cts); + + + sei(); + +} + + + + +//Gets a character from the USART, blocking if needed. +char USART_blocking_getchar(void) +{ + char ret; + + //If there's no data, block. + while(!rx_bytes); + + + //Then pull a byte and adjust the shared count. + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + ret = *rx_buf_head; + + --rx_bytes; + //If the buffer was brought below the watermark, lower CTS so we can receive some more. + //if(--rx_bytes == RX_WATERMARK) + // *fc_port &= ~_BV(cts_bit); + } + + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + rx_buf_head = rx_buffer; + + + //Return the byte we received. + return ret; +} + + +//Gets a character from the USART, or return null if buffer is empty. +char USART_getchar(void) +{ + char ret; + + //If there's no data, return null. + if (!rx_bytes) + ret = 0x00; + //Otherwise, pull a byte and adjust the shared count. + else + { + //Make sure we aren't interrupted. + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + ret = *rx_buf_head; + + --rx_bytes; + //If the buffer was brought below the watermark, lower CTS so we can receive some more. + //if(--rx_bytes == RX_WATERMARK) + // *fc_port &= ~_BV(cts_bit); + } + + //Adjust the head pointer. + if(++rx_buf_head >= rx_buffer + RX_BUF_SIZE) + rx_buf_head = rx_buffer; + } + + //Return the byte we received (or didn't). + return ret; +} + + + +//Transmits a character on the USART. Discards input if the buffer is full and returns -1. +int8_t USART_putchar(char data) +{ + int8_t retval; + + //If the buffer is full, bail. + if (tx_bytes == TX_BUF_SIZE) + { + retval = -1; + } + //Otherwise, put the char on the buffer. + else + { + ATOMIC_BLOCK(ATOMIC_RESTORESTATE) + { + *tx_buf_tail = data; + ++tx_bytes; + + //Adjust the tail pointer. + if(++tx_buf_tail >= tx_buffer + TX_BUF_SIZE) + tx_buf_tail = tx_buffer; + } + retval = 0; + } + + //Enable the UDRE interrupt, regardless-- we want to transmit. + UCSR0B |= _BV(UDRIE0); + + + return retval; +} + +//Transmits a string on the USART. +void USART_putstring(char * string) +{ + //back in my days we didn't have strings + for(; *string; ++string) + USART_putchar(*string); +} + + +//Call this to attach STDIO to the serial port. +void USART_to_stdio() +{ + fdevopen(serial_stream_out, serial_stream_in); +} + + +//This special function is called by the compiler's standard output/error routines; +//once for each character send to the stream. +int serial_stream_out(char c, FILE * stream) +{ + //Simply pass the character on to our virtual serial port. + return USART_putchar(c); +} + +//This function parallels usb_stream_out, except is is designed to handle reads from the standard input. +int serial_stream_in(FILE * stream) +{ + return USART_blocking_getchar(); +} + + +//Whenever a receive is complete: +ISR(USART_RX_vect) +{ + PORTD |= _BV(5); + //Discard byte if there are errors or we run out of space. + /* + if ((UCSR0A & 0x1C) || (rx_bytes == RX_BUF_SIZE)) + { + ++discard; + discard = UDR0; + ++rx_errors; + } + else */ + if (rx_bytes < RX_BUF_SIZE) + { + *rx_buf_tail = UDR0; //read a byte to the buffer. + + if (++rx_buf_tail >= rx_buffer + RX_BUF_SIZE) //Increment the tail, + rx_buf_tail = rx_buffer; // looping to beginning of ring if necessary. + + ++rx_bytes; + //If incrementing brings it above the high-water mark, + //if(++rx_bytes >= RX_WATERMARK) + //*fc_port |= _BV(cts_bit); //raise CTS so we don't receive any more data. + } + PORTD &= ~_BV(5); + +} + + +//When the transmit buffer is empty: +ISR(USART_UDRE_vect) +{ + //If there are bytes to transmit, + if(tx_bytes) + { + UDR0 = *tx_buf_head; //transmit them. + + if (++tx_buf_head >= tx_buffer + TX_BUF_SIZE) //Also increment the head pointer. + tx_buf_head = tx_buffer; + + if(!(--tx_bytes)) //Decrement the number of bytes waiting. + UCSR0B &= ~_BV(UDRIE0); //If there aren't any more, disable this interrupt. + } + else //Otherwise, disable this interrupt until we want to transmit again. + UCSR0B &= ~_BV(UDRIE0); //We shouldn't ever reach this case, but let's handle it anyway. +} \ No newline at end of file diff --git a/test_fpga/serial/serial_test/serial_test/serial.h b/test_fpga/serial/serial_test/serial_test/serial.h new file mode 100755 index 0000000..35149e3 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial.h @@ -0,0 +1,33 @@ +/* + * serial.h + * + * Created: 6/30/2012 3:34:22 PM + * Author: jenn + */ + +#ifndef SERIAL_H_ +#define SERIAL_H_ + +#include +#include +#include +#include +#include + + +#define RX_BUF_SIZE 128 +#define RX_WATERMARK RX_BUF_SIZE - 8 +#define TX_BUF_SIZE 128 + +void USART_init(volatile uint8_t * flow_port, volatile uint8_t * flow_ddr, uint8_t cts, uint8_t rts); +char USART_blocking_getchar(); +char USART_getchar(); +int8_t USART_putchar(char data); +void USART_putstring(char * string); +void USART_to_stdio(); +int serial_stream_out(char c, FILE * stream); +int serial_stream_in(FILE * stream); + + + +#endif /* SERIAL_H_ */ \ No newline at end of file diff --git a/test_fpga/serial/serial_test/serial_test/serial_test.avrgccproj b/test_fpga/serial/serial_test/serial_test/serial_test.avrgccproj new file mode 100755 index 0000000..8c7f5d7 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial_test.avrgccproj @@ -0,0 +1,93 @@ + + + + 2.0 + 5.0 + {b4e69a3b-3d95-4eed-8609-ad7a1f7b3f73} + ATmega328 + none + Executable + C + $(MSBuildProjectName).elf + $(MSBuildProjectDirectory)\$(Configuration) + True + + + + + C:\Program Files (x86)\Atmel\AVR Studio 5.0\AVR ToolChain\bin + + + serial_test + serial_test + serial_test + + + + + True + True + Optimize for size (-Os) + True + True + None + True + + + + + True + True + True + True + + + + + True + True + + + F_CPU=16000000 + + + Optimize most (-O3) + True + True + Default (-g2) + True + Default (-g2) + + + + + +
0x800
+
+
+
+ True + True + True + True + False + C:\Users\jenn\Documents\GitHub\arduimu\carduimu\serial\serial_test\serial_test\Makefile +
+ + + compile + + + compile + + + compile + + + + + compile + + + +
\ No newline at end of file diff --git a/test_fpga/serial/serial_test/serial_test/serial_test.c b/test_fpga/serial/serial_test/serial_test/serial_test.c new file mode 100755 index 0000000..ec94eef --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial_test.c @@ -0,0 +1,28 @@ +/* + * serial_test.c + * + * Created: 7/31/2012 5:30:58 PM + * Author: jenn + */ + +#define F_CPU 16000000 + +#include +#include "serial.h" +#include + +char cmd; + +int main(void) +{ + USART_init(&PORTD, &DDRD, 5, 6); + USART_to_stdio(); + + + while(1) + { + scanf("%c", &cmd); + + printf("Your char was %c\n", cmd); + } +} \ No newline at end of file diff --git a/test_fpga/serial/serial_test/serial_test/serial_test.elf b/test_fpga/serial/serial_test/serial_test/serial_test.elf new file mode 100755 index 0000000..a93efa1 Binary files /dev/null and b/test_fpga/serial/serial_test/serial_test/serial_test.elf differ diff --git a/test_fpga/serial/serial_test/serial_test/serial_test.hex b/test_fpga/serial/serial_test/serial_test/serial_test.hex new file mode 100755 index 0000000..15bad35 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial_test.hex @@ -0,0 +1,324 @@ +:100000000C943A000C9457000C9457000C94570031 +:100010000C9457000C9457000C9457000C94570004 +:100020000C9457000C9457000C9457000C945700F4 +:100030000C9457000C9457000C9457000C945700E4 +:100040000C9457000C9457000C9496010C94D0011A +:100050000C9457000C9457000C9457000C945700C4 +:100060000C9457000C9457006364696E6F7073753D +:1000700078585B0011241FBECFEFD8E0DEBFCDBFA4 +:1000800011E0A0E0B1E0EAE0F4E102C005900D92D9 +:10009000AC31B107D9F712E0ACE1B1E001C01D927B +:1000A000A833B107E1F70E9409020C94030A0C94EB +:1000B0000000809128028823E1F32FB7F894E091A3 +:1000C0001C01F0911D018081909128029150909324 +:1000D00028022FBF20911C0130911D012F5F3F4F3F +:1000E00030931D0120931C0191E0263A390730F02E +:1000F00026E231E030931D0120931C0190E0089529 +:1001000090912301903849F12FB7F894E0912001A4 +:10011000F09121018083809123018F5F80932301DF +:10012000809120019091210101969093210180936B +:10013000200132E08632930730F086EA91E0909316 +:100140002101809320012FBF20E030E08091C10089 +:1001500080628093C100C90108952FEF3FEF809125 +:10016000C10080628093C100C90108951092C5004A +:1001700087E68093C40086E08093C20086E291E027 +:1001800090931D0180931C0190932501809324017D +:1001900086EA91E090931F0180931E0190932101C4 +:1001A000809320011092280210922301559888E92B +:1001B0008093C10078940895809128028823E1F308 +:1001C0002FB7F894E0911C01F0911D01808190916E +:1001D00028029150909328022FBF20911C0130914A +:1001E0001D012F5F3F4F30931D0120931C0191E0B3 +:1001F000263A390730F026E231E030931D01209392 +:100200001C01089580912802882311F12FB7F894DA +:10021000E0911C01F0911D01808190912802915084 +:10022000909328022FBF20911C0130911D012F5F58 +:100230003F4F30931D0120931C0191E0263A39076E +:1002400040F026E231E030931D0120931C01089517 +:1002500080E0089590912301903839F12FB7F894F8 +:10026000E0912001F09121018083809123018F5F33 +:100270008093230180912001909121010196909318 +:1002800021018093200132E08632930730F086EA24 +:1002900091E090932101809320012FBF80E0909105 +:1002A000C10090629093C10008958FEF9091C100BA +:1002B00090629093C1000895FC018081882349F1E8 +:1002C000319626EA31E0909123019038D1F04FB772 +:1002D000F894A0912001B09121018C938091230189 +:1002E0008F5F8093230180912001909121010196DD +:1002F000909321018093200152E08632950750F4BB +:100300004FBF8091C10080628093C100819188239A +:10031000D1F608953093210120932001F1CF80E898 +:1003200090E069E570E00E94430208951F920F92E9 +:100330000FB60F9211242F938F939F93EF93FF93F8 +:100340005D9A8091280287FD19C0E0912401F09107 +:1003500025018091C6008083809124019091250120 +:100360000196909325018093240121E0863A92071B +:1003700080F4809128028F5F809328025D98FF911E +:10038000EF919F918F912F910F900FBE0F901F9023 +:10039000189586E291E09093250180932401E9CF9E +:1003A0001F920F920FB60F9211248F939F93EF938A +:1003B000FF93809123018823F1F0E0911E01F091D9 +:1003C0001F0181918093C600F0931F01E0931E01ED +:1003D00082E0E632F80730F086EA91E090931F0160 +:1003E00080931E018091230181508093230180918D +:1003F0002301882329F48091C1008F7D8093C1005F +:10040000FF91EF919F918F910F900FBE0F901F90D2 +:100410001895EF92FF920F931F93CF93DF938BE288 +:1004200090E06AE270E045E026E00E94B6000E949B +:100430008F0180E0E82E81E0F82E0DE212E0C3E0AB +:10044000D1E000D000D0ADB7BEB71296FC92EE92CC +:10045000119714961C930E9313970E94A302EDB765 +:10046000FEB73196ADB7BEB71296DC93CE93119717 +:1004700080912D02828313820E948E020F900F9032 +:100480000F900F90DECF0F931F93CF93DF938C01CC +:10049000EB01009711F42097C9F181E090E06EE044 +:1004A00070E00E945B07FC019C01009789F180E8E5 +:1004B0008383209771F0D387C28781E883838091FB +:1004C0002E0290912F02009721F4F0932F02E093D7 +:1004D0002E0201151105E1F01187008783818260EA +:1004E00083838091300290913102009789F4F093D8 +:1004F0003102E093300280913202909133020097F2 +:1005000039F4F0933302E093320202C020E030E08D +:10051000C901DF91CF911F910F910895A0E0B0E044 +:10052000E4E9F2E00C94DC09FE01359661917191E9 +:100530008091300290913102AF010E94B802209662 +:10054000E2E00C94F809A0E0B0E0E9EAF2E00C94F3 +:10055000DC09FE0135966191719180912E02909196 +:100560002F02AF010E9434062096E2E00C94F809B5 +:10057000ACE0B0E0EEEBF2E00C94CC096C011B01B6 +:100580008A01FC0117821682838181FFD1C12E016D +:100590000894411C511CF6019381F10193FD859152 +:1005A00093FF81911F01882309F4BEC1853239F47C +:1005B00093FD859193FF81911F01853229F490E08D +:1005C000B6010E942409E7CFEE24FF2420E0203268 +:1005D000B0F48B3269F08C3228F4803251F08332DF +:1005E00071F40BC08D3239F0803349F421602CC096 +:1005F0002260246029C0286027C0206125C027FD13 +:100600002CC0382F30533A3098F426FF08C08E2D76 +:10061000880FE82EEE0CEE0CE80EE30E15C08F2DC1 +:10062000880FF82EFF0CFF0CF80EF30E20620CC0A2 +:100630008E3221F426FD78C1206406C08C3611F478 +:10064000206802C0883649F4F10193FD859193FF3B +:1006500081911F01882309F0BACF982F95549330C8 +:1006600018F09052933028F40C5F1F4FFFE3F9838A +:100670000DC0833631F0833771F0833509F060C0E7 +:1006800022C0F801808189830E5F1F4F420171E013 +:10069000A72EB12C16C062E0662E712C600E711E62 +:1006A000F8018080918026FF03C06E2D70E002C0AB +:1006B0006FEF7FEFC4012C870E94D7085C01830194 +:1006C0002C852F7717C052E0652E712C600E711E9D +:1006D000F8018080918026FF03C06E2D70E002C07B +:1006E0006FEF7FEFC4012C870E94C5085C012C8549 +:1006F0002068830123FD20C008C080E290E0B6019D +:100700002C870E942409FA942C858F2D90E0A8163E +:10071000B90698F311C0F40127FD859127FF819157 +:100720004F0190E0B6012C870E9424092C85F1101E +:10073000FA940894A108B108A114B10461F7F1C0BA +:10074000843611F0893649F527FF08C0F801608129 +:100750007181828193810C5F1F4F09C0F801608114 +:100760007181882777FD8095982F0E5F1F4F4FE688 +:10077000B42EB22297FF09C090958095709561952F +:100780007F4F8F4F9F4FF0E8BF2AA2012AE030E051 +:100790000E946B09782E741845C0853731F43FEEFE +:1007A000B32EB2222AE030E025C099EFB92EB22252 +:1007B0008F36C1F0803720F4883509F0B5C00DC000 +:1007C000803721F0883709F0AFC002C020E1B22A9B +:1007D000B4FE0BC084E0B82A08C0B4FE09C0E6E04D +:1007E000BE2A06C028E030E005C020E130E002C0AB +:1007F00020E132E0B7FE08C0F8016081718182819A +:1008000093810C5F1F4F07C0F8016081718180E008 +:1008100090E00E5F1F4FA2010E946B09782E7418A2 +:10082000FFE7BF22B6FE0BC02EEFB2227E1438F4D3 +:10083000B4FE07C0B2FC05C08FEEB82202C0A72CE0 +:1008400001C0AE2C8B2D90E0B4FE0DC0FE01E70D73 +:10085000F11D2081203319F4E9EEBE2209C0A394D2 +:10086000B2FE06C004C086789070009709F0A39489 +:100870008B2C9924B3FC14C0B0FE0FC0AF1428F425 +:10088000E72CEF0CEA18AF2C08C0E72C06C080E27A +:1008900090E0B6010E942409A394AF14C0F304C0F1 +:1008A000AF1410F4FA1801C0FF2484FE0FC080E3D7 +:1008B00090E0B6010E94240982FE1FC081FE03C0A1 +:1008C00088E590E010C088E790E00DC0C40186780C +:1008D0009070009791F081FC02C080E201C08BE231 +:1008E000B7FC8DE290E0B6010E94240906C080E3C7 +:1008F00090E0B6010E942409EA947E14C0F37A9431 +:10090000F201E70DF11D808190E0B6010E942409FB +:100910007720A9F706C080E290E0B6010E94240982 +:10092000FA94FF20C1F737CEF6012681378102C045 +:100930002FEF3FEFC9012C96E2E10C94E80920FD6E +:1009400009C0FC0123FD05C022FF02C0738362833E +:10095000518340830895EF92FF920F931F9344FDBC +:1009600010C046FD10C0DB01FC01AA0FBB1FEE1F2B +:10097000FF1F1094D1F76A0F7B1F8E1F9F1F31E05E +:1009800003C033E001C034E0660F771F881F991F52 +:100990003150D1F77B018C01E20EF11C011D111DBC +:1009A000A8019701B701CA011F910F91FF90EF9025 +:1009B0000895EF92FF920F931F93CF93DF938C01D3 +:1009C000C8010E94E208EC0197FD09C00E94B2082C +:1009D0000097B1F77E01CE01B8010E945009CE0107 +:1009E000CDB7DEB7E6E00C94F4098F929F92AF92F8 +:1009F000BF92DF92EF92FF920F931F93DF93CF93FB +:100A00000F92CDB7DEB74C01D62E5A01122F0E949D +:100A1000E2088B3219F08D3249F41068DA9409F447 +:100A20006CC0C4010E94E20897FD67C0312F3D7F72 +:100A3000232F2073F1F48033E1F4DA9409F448C0F1 +:100A4000C40139830E94E208398197FD41C03260B8 +:100A5000883711F0883559F43064DA94C9F1C4014B +:100A600039830E94E208398197FF03C031C036FF05 +:100A70003061EE24FF248701282F2053283090F086 +:100A800034FD09C02A3070F036FF05C02F7D422F9B +:100A90004151463030F0B40139830E945009398108 +:100AA00014C02750C801B701432F39830E94AB04FB +:100AB0007B018C0139813260DA9469F0C401398399 +:100AC0000E94E208398197FFD7CF31FD04C015C0DD +:100AD000EE24FF24870137FF08C010950095F0949D +:100AE000E094E11CF11C011D111DC501B801A70115 +:100AF000232F0E949F0481E001C080E00F90CF91DE +:100B0000DF911F910F91FF90EF90DF90BF90AF901A +:100B10009F908F900895A1E2B0E0E1E9F5E00C9498 +:100B2000D0096C018A0179019E012F5F3F4F80E25D +:100B3000F90111928A95E9F7F601838080E090E04F +:100B4000BB24992470E0A1E0B0E0F70183FCA590FC +:100B500083FEA1907F01AA2009F47FC0FA2DFE3503 +:100B600011F4009751F1472F50E04817590744F40A +:100B70004A2D4D3551F14D3219F4992001F103C040 +:100B8000992009F4BA2C4A2D469546954695F901C7 +:100B9000E40FF11D4A2D47703D0102C0660C771C21 +:100BA0004A95E2F7908096289082AB1459F0AB14E6 +:100BB00010F4A394E8CFAA94E6CF71E004C099247E +:100BC000939401C099240196C0CF992019F08E8189 +:100BD00080628E83772351F0CE018196FE0131969B +:100BE000208120952193E817F907D1F7BB24B3940E +:100BF0004E010894811C911C01C0BB24C60169A34D +:100C00000E94E20869A197FD20C0282F269526950D +:100C10002695F401E20FF11D208130E0AC01477010 +:100C2000507002C0359527954A95E2F720FD04C023 +:100C3000B6010E94500909C00115110519F0F8010B +:100C400081938F016150C9F602C0BB2031F40115B8 +:100C5000110529F0F801108202C0EE24FF24C7011B +:100C6000A196EEE00C94EC094F925F926F927F9206 +:100C70009F92AF92BF92CF92DF92EF92FF920F932B +:100C80001F93DF93CF930F92CDB7DEB77C016B013B +:100C90003A01FC011782168200E0E9C0812F90E042 +:100CA0000E94B208009721F0C7010E94D904DFC05A +:100CB000153241F4F601A3FC3591A3FE31916F0189 +:100CC000353261F4C7010E94E20897FDDCC01817B5 +:100CD00009F4CDC0B7010E945009D7C03A3239F4A7 +:100CE000A3FC3591A3FE31916F0111E001C010E02A +:100CF00099240FC01260692D70E080E090E040E21E +:100D00000E94AB04962EF601A3FC3591A3FE31910F +:100D10006F01232F20532A3068F311FF03C099205D +:100D200019F4B3C099249A94383619F03C3689F4F2 +:100D300009C0F601A3FC3591A3FE31916F0138364D +:100D400041F418601460F601A3FC3591A3FE3191C3 +:100D50006F01332309F499C088E690E0632F70E0B7 +:100D600039830E94BA083981009709F48EC0812F17 +:100D700010FD08C0F3014080518042E050E0640E55 +:100D8000751E02C0442455243E3651F4F7014681B5 +:100D9000578160E070E0C201212F0E949F0467C06C +:100DA000333691F481FD02C099249394C7010E94C7 +:100DB000E20897FD68C04114510419F0F2018193D3 +:100DC0002F019A9499F751C03B3559F4C701692D09 +:100DD000A20196010E948B056C01009709F045C0A5 +:100DE0003FC0C70139830E94D904398197FD4BC0A8 +:100DF0003F3659F1303728F4343629F1393639F590 +:100E000027C0333719F0353711F51DC0C7010E94CF +:100E1000E2085C0197FD11C00E94B208009729F01A +:100E2000C501B7010E94500908C04114510419F0CE +:100E3000F201A1922F019A9449F741145104A9F0AB +:100E4000F201108212C0106203C0106101C0106470 +:100E5000C701692DA201212F0E94F504882329F4DE +:100E6000F7018381807379F410C010FF0F5FF701E1 +:100E7000A380F601A3FC1591A3FE11916F0111232C +:100E800009F00CCF02C0002311F010E002C00FEFF8 +:100E90001FEFC8010F90CF91DF911F910F91FF902D +:100EA000EF90DF90CF90BF90AF909F907F906F902A +:100EB0005F904F900895EF92FF920F931F93CF93FF +:100EC000DF93689F8001699F100D789F100D11249A +:100ED000C8010E947F07E82EE7017E01F92EE70195 +:100EE000209731F08E2D60E070E0A8010E94D008BC +:100EF0007E01CE01CDB7DEB7E6E00C94F409CF93C6 +:100F0000DF938230910510F482E090E0E0913602A8 +:100F1000F091370240E050E020E030E026C06081F0 +:100F2000718168177907E0F06817790781F4828189 +:100F300093812115310531F0D90113969C938E933D +:100F400012972BC0909337028093360226C041152A +:100F5000510519F06417750718F4AB01E901DF01B9 +:100F60009F0172816381E72FF62F3097C1F64115FB +:100F7000510501F1481B590B4430510580F412967C +:100F80008D919C911397209719F09B838A8304C0BD +:100F90009093370280933602FD01329646C0FD01E0 +:100FA000E40FF51F819391934250504011965C934A +:100FB0004E933BC02091340230913502211531050A +:100FC00041F420911701309118013093350220939C +:100FD00034022091190130911A012115310541F493 +:100FE0002DB73EB74091150150911601241B350BCA +:100FF000E0913402F0913502E217F307A0F42E1BC2 +:101000003F0B2817390778F0AC014E5F5F4F24176C +:10101000350748F04E0F5F1F50933502409334025E +:101020008193919302C0E0E0F0E0CF01DF91CF9196 +:101030000895CF93DF93009709F491C0FC01329794 +:101040001382128260913602709137026115710528 +:1010500081F420813181280F391F809134029091D1 +:1010600035028217930799F5F0933502E093340225 +:1010700076C0DB0180E090E002C0CD01D901AE175F +:10108000BF0748F412962D913C9113972115310515 +:10109000A1F7CD0121C0B383A283EF0149915991FA +:1010A0009E01240F351FA217B30779F42D913C91AF +:1010B0001197240F351F2E5F3F4F318320831296E7 +:1010C0002D913C91139733832283009729F4F09359 +:1010D0003702E093360243C0DC011396FC93EE9393 +:1010E00012974D915D91A40FB51FEA17FB0769F4A4 +:1010F00020813181240F351F2E5F3F4FEC01398352 +:101100002883228133813B832A83E0E0F0E002C020 +:10111000FB01BC01DB0112968D919C911397009706 +:10112000B9F79B012E5F3F4F8D919C911197820FD4 +:10113000931F40913402509135024817590779F4B2 +:10114000309729F4109237021092360202C01382AF +:101150001282225030403093350220933402DF91C6 +:10116000CF910895911115C1803219F089508550A1 +:10117000D0F70895FC010590061621F00020D9F75C +:10118000C00108953197CF010895FC010590615089 +:1011900070400110D8F7809590958E0F9F1F08958D +:1011A000DC0101C06D9341505040E0F70895FC010F +:1011B0006150704001900110D8F7809590958E0F86 +:1011C0009F1F0895CF93DF93EC013B8130FF36C022 +:1011D00036FF09C03F7B3B838E819F8101969F83B1 +:1011E0008E832A8129C032FF0FC0E881F981808176 +:1011F000992787FD9095009719F430623B831EC0B4 +:101200003196F983E88311C0EA85FB85CE01099503 +:1012100097FF0BC02B813FEF8F3F930711F480E1C5 +:1012200001C080E2822B8B8309C02E813F812F5F1A +:101230003F4F3F832E83282F30E002C02FEF3FEF38 +:10124000C901DF91CF9108950F931F93CF93DF933F +:101250008C01EB018B8181FF1BC082FF0DC02E81B1 +:101260003F818C819D812817390764F4E881F981D9 +:101270000193F983E88306C0E885F985802F0995F5 +:10128000009731F48E819F8101969F838E8302C0E7 +:101290000FEF1FEFC801DF91CF911F910F910895BC +:1012A000FB01238120FF13C026FD11C03FEF8F3FBC +:1012B000930769F0828320642F7D23832681378101 +:1012C0002150304037832683282F30E002C02FEF93 +:1012D0003FEFC9010895FA01AA27283051F12031C2 +:1012E00081F1E8946F936E7F6E5F7F4F8F4F9F4FBA +:1012F000AF4FB1E03ED0B4E03CD0670F781F891FFC +:101300009A1FA11D680F791F8A1F911DA11D6A0FC9 +:10131000711D811D911DA11D20D009F468943F917C +:101320002AE0269F11243019305D3193DEF6CF017B +:101330000895462F4770405D4193B3E00FD0C9F741 +:10134000F6CF462F4F70405D4A3318F0495D31FDAE +:101350004052419302D0A9F7EACFB4E0A695979501 +:10136000879577956795BA95C9F7009761057105D7 +:1013700008959B01AC010A2E069457954795379521 +:101380002795BA95C9F7620F731F841F951FA01D7B +:1013900008959927882708952F923F924F925F9240 +:1013A0006F927F928F929F92AF92BF92CF92DF9275 +:1013B000EF92FF920F931F93CF93DF93CDB7DEB7DA +:1013C000CA1BDB0B0FB6F894DEBF0FBECDBF09946E +:1013D0002A88398848885F846E847D848C849B84C5 +:1013E000AA84B984C884DF80EE80FD800C811B81D3 +:1013F000AA81B981CE0FD11D0FB6F894DEBF0FBE02 +:0A140000CDBFED010895F894FFCF71 +:10140A00256300596F757220636861722077617372 +:0C141A002025630A0020003802000000BA +:00000001FF diff --git a/test_fpga/serial/serial_test/serial_test/serial_test_works.hex b/test_fpga/serial/serial_test/serial_test/serial_test_works.hex new file mode 100755 index 0000000..bca4909 --- /dev/null +++ b/test_fpga/serial/serial_test/serial_test/serial_test_works.hex @@ -0,0 +1,257 @@ +:100000000C943A000C9457000C9457000C94570031 +:100010000C9457000C9457000C9457000C94570004 +:100020000C9457000C9457000C9457000C945700F4 +:100030000C9457000C9457000C9457000C945700E4 +:100040000C9457000C9457000C941B020C946602FD +:100050000C9457000C9457000C9457000C945700C4 +:100060000C9457000C9457006364696E6F7073753D +:1000700078585B0011241FBECFEFD8E0DEBFCDBFA4 +:1000800011E0A0E0B1E0E0EFFFE002C005900D92CA +:10009000AA30B107D9F711E0AAE0B1E001C01D9282 +:1000A000A23AB107E1F70E9459000C94F6070C94AC +:1000B00000000F931F93CF93DF9388E290E067E2F5 +:1000C00070E045E024E00E94F8000E94140200E085 +:1000D00011E0CAE0D1E000D000D0EDB7FEB7128346 +:1000E0000183D483C3830E94F1020F900F900F907D +:1000F0000F9080910A0190E00E94EA02ECCF90916B +:100100001201903489F1F894E0910F01F0911001FF +:100110008083809112018F5F809312017894809187 +:100120000F019091100101969093100180930F019F +:1001300021E08539920778F085E591E090931001F0 +:1001400080930F0120E030E08091C10080628093B5 +:10015000C100C901089520E030E08091C1008062B3 +:100160008093C100C90108952FEF3FEF8091C10036 +:1001700080628093C100C901089580919501882310 +:10018000E1F3F894E0910B01F0910C0180819091E2 +:10019000950191509093950190919501983389F430 +:1001A000E0919601F0919701908121E030E000907C +:1001B000110102C0220F331F0A94E2F72095292370 +:1001C0002083789420910B0130910C012F5F3F4FD9 +:1001D00030930C0120930B0191E02535390730F065 +:1001E00025E131E030930C0120930B0190E008955C +:1001F000FC01DB01409311019093970180939601DC +:100200001092C50087E68093C40086E08093C20008 +:1002100085E191E090930C0180930B019093140180 +:100220008093130185E591E090930E0180930D0179 +:100230009093100180930F0110929501109212017A +:1002400088E98093C1002C9181E090E002C0880F82 +:10025000991F4A95E2F7282B2C939081809589234A +:10026000808378940895809195018823E1F3F89430 +:10027000E0910B01F0910C018081909195019150DA +:100280009093950190919501983389F4E0919601AE +:10029000F0919701908121E030E00090110102C0BF +:1002A000220F331F0A94E2F72095292320837894A4 +:1002B00020910B0130910C012F5F3F4F30930C01C7 +:1002C00020930B0191E02535390730F025E131E02D +:1002D00030930C0120930B010895809195018823A0 +:1002E000B9F1F894E0910B01F0910C0180819091AB +:1002F0009501915090939501909195019833B1F0AB +:10030000789420910B0130910C012F5F3F4F309377 +:100310000C0120930B0191E025353907D0F025E140 +:1003200031E030930C0120930B010895E091960188 +:10033000F0919701908121E030E00090110102C01E +:10034000220F331F0A94E2F7209529232083D8CF68 +:1003500080E0089590911201903469F1F894E09151 +:100360000F01F09110018083809112018F5F8093C3 +:100370001201789480910F01909110010196909351 +:10038000100180930F0121E08539920768F085E51F +:1003900091E09093100180930F0180E09091C10053 +:1003A00090629093C100089580E09091C1009062A6 +:1003B0009093C10008958FEF9091C1009062909347 +:1003C000C1000895FC012081222369F1319685E561 +:1003D00091E0309112013034F1F0F894A0910F01C6 +:1003E000B09110012C93209112012F5F20931201E4 +:1003F000789420910F01309110012F5F3F4F30937F +:10040000100120930F0141E02539340720F090932B +:10041000100180930F012091C10020622093C10040 +:1004200021912223B1F608958FE790E06DEB70E003 +:100430000E949F0208951F920F920FB60F921124EF +:100440002F938F939F93EF93FF9380919501803427 +:1004500098F5E0911301F09114018091C60080831A +:10046000809113019091140101969093140180934F +:10047000130121E08535920730F085E191E09093FA +:10048000140180931301809195018F5F80939501F2 +:1004900080919501883380F0E0919601F091970169 +:1004A000208181E090E00090110102C0880F991F27 +:1004B0000A94E2F7282B2083FF91EF919F918F916F +:1004C0002F910F900FBE0F901F9018951F920F92B3 +:1004D0000FB60F9211248F939F93EF93FF93809108 +:1004E00012018823F1F0E0910D01F0910E0181914C +:1004F0008093C600F0930E01E0930D0181E0E53991 +:10050000F80730F085E591E090930E0180930D019E +:1005100080911201815080931201809112018823F1 +:1005200029F48091C1008F7D8093C100FF91EF91EC +:100530009F918F910F900FBE0F901F9018950F9362 +:100540001F93CF93DF938C01EB01009711F4209759 +:10055000C9F181E090E06EE070E00E94C205FC010C +:100560009C01009789F180E88383209771F0D387FD +:10057000C28781E8838380919801909199010097C7 +:1005800021F4F0939901E093980101151105E1F030 +:100590001187008783818260838380919A01909183 +:1005A0009B01009789F4F0939B01E0939A0180915D +:1005B0009C0190919D01009739F4F0939D01E09387 +:1005C0009C0102C020E030E0C901DF91CF911F9172 +:1005D0000F91089560919A0170919B010E94750797 +:1005E0000895A0E0B0E0E7EFF2E00C94CF07FE0141 +:1005F0003596619171918091980190919901AF0127 +:100600000E949B042096E2E00C94EB0720FD09C0B9 +:10061000FC0123FD05C022FF02C073836283518366 +:1006200040830895EF92FF920F931F9344FD10C0F3 +:1006300046FD10C0DB01FC01AA0FBB1FEE1FFF1F10 +:100640001094D1F76A0F7B1F8E1F9F1F31E003C0EC +:1006500033E001C034E0660F771F881F991F3150C7 +:10066000D1F77B018C01E20EF11C011D111DA801C7 +:100670009701B701CA011F910F91FF90EF90089564 +:10068000EF92FF920F931F93CF93DF938C01C801DA +:100690000E943307EC0197FD09C00E9419070097DB +:1006A000B1F77E01CE01B8010E94A107CE01CDB7FE +:1006B000DEB7E6E00C94E7078F929F92AF92BF926D +:1006C000DF92EF92FF920F931F93DF93CF930F92DE +:1006D000CDB7DEB74C01D62E5A01122F0E94330738 +:1006E0008B3219F08D3249F41068DA9409F46CC039 +:1006F000C4010E94330797FD67C0312F3D7F232F30 +:100700002073F1F48033E1F4DA9409F448C0C401B1 +:1007100039830E943307398197FD41C032608837A1 +:1007200011F0883559F43064DA94C9F1C401398381 +:100730000E943307398197FF03C031C036FF306113 +:10074000EE24FF248701282F2053283090F034FD19 +:1007500009C02A3070F036FF05C02F7D422F41516D +:10076000463030F0B40139830E94A107398114C0AA +:100770002750C801B701432F39830E9412037B0120 +:100780008C0139813260DA9469F0C40139830E94A6 +:100790003307398197FFD7CF31FD04C015C0EE2450 +:1007A000FF24870137FF08C010950095F094E0946E +:1007B000E11CF11C011D111DC501B801A701232F6A +:1007C0000E94060381E001C080E00F90CF91DF918D +:1007D0001F910F91FF90EF90DF90BF90AF909F908F +:1007E0008F900895A1E2B0E0E8EFF3E00C94C30726 +:1007F0006C018A0179019E012F5F3F4F80E2F90170 +:1008000011928A95E9F7F601838080E090E0BB249D +:10081000992470E0A1E0B0E0F70183FCA59083FE8D +:10082000A1907F01AA2009F47FC0FA2DFE3511F4B2 +:10083000009751F1472F50E04817590744F44A2DCB +:100840004D3551F14D3219F4992001F103C0992031 +:1008500009F4BA2C4A2D469546954695F901E40FC0 +:10086000F11D4A2D47703D0102C0660C771C4A9568 +:10087000E2F7908096289082AB1459F0AB1410F4F4 +:10088000A394E8CFAA94E6CF71E004C0992493948E +:1008900001C099240196C0CF992019F08E81806201 +:1008A0008E83772351F0CE018196FE01319620810F +:1008B00020952193E817F907D1F7BB24B3944E0193 +:1008C0000894811C911C01C0BB24C60169A30E942D +:1008D000330769A197FD20C0282F269526952695D8 +:1008E000F401E20FF11D208130E0AC01477050703F +:1008F00002C0359527954A95E2F720FD04C0B60160 +:100900000E94A10709C00115110519F0F801819392 +:100910008F016150C9F602C0BB2031F401151105E9 +:1009200029F0F801108202C0EE24FF24C701A1962D +:10093000EEE00C94DF074F925F926F927F929F924E +:10094000AF92BF92CF92DF92EF92FF920F931F93DD +:10095000DF93CF930F92CDB7DEB77C016B013A01E5 +:10096000FC011782168200E0E9C0812F90E00E940E +:100970001907009721F0C7010E944003DFC015321C +:1009800041F4F601A3FC3591A3FE31916F0135329C +:1009900061F4C7010E94330797FDDCC0181709F402 +:1009A000CDC0B7010E94A107D7C03A3239F4A3FCE9 +:1009B0003591A3FE31916F0111E001C010E099243F +:1009C0000FC01260692D70E080E090E040E20E946C +:1009D0001203962EF601A3FC3591A3FE31916F010F +:1009E000232F20532A3068F311FF03C0992019F4F4 +:1009F000B3C099249A94383619F03C3689F409C06A +:100A0000F601A3FC3591A3FE31916F01383641F414 +:100A100018601460F601A3FC3591A3FE31916F01BB +:100A2000332309F499C088E690E0632F70E039839E +:100A30000E9421073981009709F48EC0812F10FD93 +:100A400008C0F3014080518042E050E0640E751E02 +:100A500002C0442455243E3651F4F70146815781A3 +:100A600060E070E0C201212F0E94060367C03336A8 +:100A700091F481FD02C099249394C7010E94330729 +:100A800097FD68C04114510419F0F20181932F01C0 +:100A90009A9499F751C03B3559F4C701692DA201C9 +:100AA00096010E94F2036C01009709F045C03FC017 +:100AB000C70139830E944003398197FD4BC03F36FF +:100AC00059F1303728F4343629F1393639F527C051 +:100AD000333719F0353711F51DC0C7010E943307B0 +:100AE0005C0197FD11C00E941907009729F0C5010C +:100AF000B7010E94A10708C04114510419F0F20186 +:100B0000A1922F019A9449F741145104A9F0F201DE +:100B1000108212C0106203C0106101C01064C701CE +:100B2000692DA201212F0E945C03882329F4F7017B +:100B30008381807379F410C010FF0F5FF701A380E9 +:100B4000F601A3FC1591A3FE11916F01112309F089 +:100B50000CCF02C0002311F010E002C00FEF1FEF16 +:100B6000C8010F90CF91DF911F910F91FF90EF90EF +:100B7000DF90CF90BF90AF909F907F906F905F90ED +:100B80004F900895EF92FF920F931F93CF93DF93AF +:100B9000689F8001699F100D789F100D1124C80176 +:100BA0000E94E605E82EE7017E01F92EE701209775 +:100BB00031F08E2D60E070E0A8010E942C077E01CC +:100BC000CE01CDB7DEB7E6E00C94E707CF93DF9315 +:100BD0008230910510F482E090E0E091A001F09164 +:100BE000A10140E050E020E030E026C0608171814A +:100BF00068177907E0F06817790781F4828193819B +:100C00002115310531F0D90113969C938E931297DB +:100C10002BC09093A1018093A00126C041155105DE +:100C200019F06417750718F4AB01E901DF019F01A2 +:100C300072816381E72FF62F3097C1F64115510578 +:100C400001F1481B590B4430510580F412968D91E7 +:100C50009C911397209719F09B838A8304C09093EB +:100C6000A1018093A001FD01329646C0FD01E40F71 +:100C7000F51F819391934250504011965C934E938F +:100C80003BC020919E0130919F012115310541F417 +:100C9000209105013091060130939F0120939E0120 +:100CA00020910701309108012115310541F42DB73C +:100CB0003EB74091030150910401241B350BE09194 +:100CC0009E01F0919F01E217F307A0F42E1B3F0B4A +:100CD0002817390778F0AC014E5F5F4F24173507AE +:100CE00048F04E0F5F1F50939F0140939E018193E8 +:100CF000919302C0E0E0F0E0CF01DF91CF91089541 +:100D0000CF93DF93009709F491C0FC0132971382CF +:100D100012826091A0017091A1016115710581F4A9 +:100D200020813181280F391F80919E0190919F0170 +:100D30008217930799F5F0939F01E0939E0176C087 +:100D4000DB0180E090E002C0CD01D901AE17BF0702 +:100D500048F412962D913C91139721153105A1F776 +:100D6000CD0121C0B383A283EF01499159919E0126 +:100D7000240F351FA217B30779F42D913C911197D9 +:100D8000240F351F2E5F3F4F3183208312962D9104 +:100D90003C91139733832283009729F4F093A101A8 +:100DA000E093A00143C0DC011396FC93EE931297ED +:100DB0004D915D91A40FB51FEA17FB0769F42081DF +:100DC0003181240F351F2E5F3F4FEC01398328837B +:100DD000228133813B832A83E0E0F0E002C0FB0103 +:100DE000BC01DB0112968D919C9113970097B9F786 +:100DF0009B012E5F3F4F8D919C911197820F931F06 +:100E000040919E0150919F014817590779F43097FE +:100E100029F41092A1011092A00102C01382128243 +:100E20002250304030939F0120939E01DF91CF915B +:100E300008959111A1C0803219F089508550D0F7E2 +:100E40000895FC010590061621F00020D9F7C00195 +:100E500008953197CF010895DC0101C06D93415091 +:100E60005040E0F70895CF93DF93EC013B8130FFD2 +:100E700036C036FF09C03F7B3B838E819F81019640 +:100E80009F838E832A8129C032FF0FC0E881F981B8 +:100E90008081992787FD9095009719F430623B83F4 +:100EA0001EC03196F983E88311C0EA85FB85CE0127 +:100EB000099597FF0BC02B813FEF8F3F930711F4EC +:100EC00080E101C080E2822B8B8309C02E813F81AB +:100ED0002F5F3F4F3F832E83282F30E002C02FEF3C +:100EE0003FEFC901DF91CF9108950F931F93CF93E7 +:100EF000DF938C01EB018B8181FF1BC082FF0DC052 +:100F00002E813F818C819D812817390764F4E88107 +:100F1000F9810193F983E88306C0E885F985802F7C +:100F20000995009731F48E819F8101969F838E836E +:100F300002C00FEF1FEFC801DF91CF911F910F91FA +:100F40000895FB01238120FF13C026FD11C03FEF50 +:100F50008F3F930769F0828320642F7D238326814E +:100F600037812150304037832683282F30E002C05C +:100F70002FEF3FEFC90108959927882708952F92F1 +:100F80003F924F925F926F927F928F929F92AF9219 +:100F9000BF92CF92DF92EF92FF920F931F93CF9366 +:100FA000DF93CDB7DEB7CA1BDB0B0FB6F894DEBFFD +:100FB0000FBECDBF09942A88398848885F846E8423 +:100FC0007D848C849B84AA84B984C884DF80EE806D +:100FD000FD800C811B81AA81B981CE0FD11D0FB676 +:100FE000F894DEBF0FBECDBFED010895F894FFCF9A +:0A0FF0002563002000A201000000AC +:00000001FF diff --git a/test_fpga/serial_test_works.hex b/test_fpga/serial_test_works.hex new file mode 100755 index 0000000..bca4909 --- /dev/null +++ b/test_fpga/serial_test_works.hex @@ -0,0 +1,257 @@ +:100000000C943A000C9457000C9457000C94570031 +:100010000C9457000C9457000C9457000C94570004 +:100020000C9457000C9457000C9457000C945700F4 +:100030000C9457000C9457000C9457000C945700E4 +:100040000C9457000C9457000C941B020C946602FD +:100050000C9457000C9457000C9457000C945700C4 +:100060000C9457000C9457006364696E6F7073753D +:1000700078585B0011241FBECFEFD8E0DEBFCDBFA4 +:1000800011E0A0E0B1E0E0EFFFE002C005900D92CA +:10009000AA30B107D9F711E0AAE0B1E001C01D9282 +:1000A000A23AB107E1F70E9459000C94F6070C94AC +:1000B00000000F931F93CF93DF9388E290E067E2F5 +:1000C00070E045E024E00E94F8000E94140200E085 +:1000D00011E0CAE0D1E000D000D0EDB7FEB7128346 +:1000E0000183D483C3830E94F1020F900F900F907D +:1000F0000F9080910A0190E00E94EA02ECCF90916B +:100100001201903489F1F894E0910F01F0911001FF +:100110008083809112018F5F809312017894809187 +:100120000F019091100101969093100180930F019F +:1001300021E08539920778F085E591E090931001F0 +:1001400080930F0120E030E08091C10080628093B5 +:10015000C100C901089520E030E08091C1008062B3 +:100160008093C100C90108952FEF3FEF8091C10036 +:1001700080628093C100C901089580919501882310 +:10018000E1F3F894E0910B01F0910C0180819091E2 +:10019000950191509093950190919501983389F430 +:1001A000E0919601F0919701908121E030E000907C +:1001B000110102C0220F331F0A94E2F72095292370 +:1001C0002083789420910B0130910C012F5F3F4FD9 +:1001D00030930C0120930B0191E02535390730F065 +:1001E00025E131E030930C0120930B0190E008955C +:1001F000FC01DB01409311019093970180939601DC +:100200001092C50087E68093C40086E08093C20008 +:1002100085E191E090930C0180930B019093140180 +:100220008093130185E591E090930E0180930D0179 +:100230009093100180930F0110929501109212017A +:1002400088E98093C1002C9181E090E002C0880F82 +:10025000991F4A95E2F7282B2C939081809589234A +:10026000808378940895809195018823E1F3F89430 +:10027000E0910B01F0910C018081909195019150DA +:100280009093950190919501983389F4E0919601AE +:10029000F0919701908121E030E00090110102C0BF +:1002A000220F331F0A94E2F72095292320837894A4 +:1002B00020910B0130910C012F5F3F4F30930C01C7 +:1002C00020930B0191E02535390730F025E131E02D +:1002D00030930C0120930B010895809195018823A0 +:1002E000B9F1F894E0910B01F0910C0180819091AB +:1002F0009501915090939501909195019833B1F0AB +:10030000789420910B0130910C012F5F3F4F309377 +:100310000C0120930B0191E025353907D0F025E140 +:1003200031E030930C0120930B010895E091960188 +:10033000F0919701908121E030E00090110102C01E +:10034000220F331F0A94E2F7209529232083D8CF68 +:1003500080E0089590911201903469F1F894E09151 +:100360000F01F09110018083809112018F5F8093C3 +:100370001201789480910F01909110010196909351 +:10038000100180930F0121E08539920768F085E51F +:1003900091E09093100180930F0180E09091C10053 +:1003A00090629093C100089580E09091C1009062A6 +:1003B0009093C10008958FEF9091C1009062909347 +:1003C000C1000895FC012081222369F1319685E561 +:1003D00091E0309112013034F1F0F894A0910F01C6 +:1003E000B09110012C93209112012F5F20931201E4 +:1003F000789420910F01309110012F5F3F4F30937F +:10040000100120930F0141E02539340720F090932B +:10041000100180930F012091C10020622093C10040 +:1004200021912223B1F608958FE790E06DEB70E003 +:100430000E949F0208951F920F920FB60F921124EF +:100440002F938F939F93EF93FF9380919501803427 +:1004500098F5E0911301F09114018091C60080831A +:10046000809113019091140101969093140180934F +:10047000130121E08535920730F085E191E09093FA +:10048000140180931301809195018F5F80939501F2 +:1004900080919501883380F0E0919601F091970169 +:1004A000208181E090E00090110102C0880F991F27 +:1004B0000A94E2F7282B2083FF91EF919F918F916F +:1004C0002F910F900FBE0F901F9018951F920F92B3 +:1004D0000FB60F9211248F939F93EF93FF93809108 +:1004E00012018823F1F0E0910D01F0910E0181914C +:1004F0008093C600F0930E01E0930D0181E0E53991 +:10050000F80730F085E591E090930E0180930D019E +:1005100080911201815080931201809112018823F1 +:1005200029F48091C1008F7D8093C100FF91EF91EC +:100530009F918F910F900FBE0F901F9018950F9362 +:100540001F93CF93DF938C01EB01009711F4209759 +:10055000C9F181E090E06EE070E00E94C205FC010C +:100560009C01009789F180E88383209771F0D387FD +:10057000C28781E8838380919801909199010097C7 +:1005800021F4F0939901E093980101151105E1F030 +:100590001187008783818260838380919A01909183 +:1005A0009B01009789F4F0939B01E0939A0180915D +:1005B0009C0190919D01009739F4F0939D01E09387 +:1005C0009C0102C020E030E0C901DF91CF911F9172 +:1005D0000F91089560919A0170919B010E94750797 +:1005E0000895A0E0B0E0E7EFF2E00C94CF07FE0141 +:1005F0003596619171918091980190919901AF0127 +:100600000E949B042096E2E00C94EB0720FD09C0B9 +:10061000FC0123FD05C022FF02C073836283518366 +:1006200040830895EF92FF920F931F9344FD10C0F3 +:1006300046FD10C0DB01FC01AA0FBB1FEE1FFF1F10 +:100640001094D1F76A0F7B1F8E1F9F1F31E003C0EC +:1006500033E001C034E0660F771F881F991F3150C7 +:10066000D1F77B018C01E20EF11C011D111DA801C7 +:100670009701B701CA011F910F91FF90EF90089564 +:10068000EF92FF920F931F93CF93DF938C01C801DA +:100690000E943307EC0197FD09C00E9419070097DB +:1006A000B1F77E01CE01B8010E94A107CE01CDB7FE +:1006B000DEB7E6E00C94E7078F929F92AF92BF926D +:1006C000DF92EF92FF920F931F93DF93CF930F92DE +:1006D000CDB7DEB74C01D62E5A01122F0E94330738 +:1006E0008B3219F08D3249F41068DA9409F46CC039 +:1006F000C4010E94330797FD67C0312F3D7F232F30 +:100700002073F1F48033E1F4DA9409F448C0C401B1 +:1007100039830E943307398197FD41C032608837A1 +:1007200011F0883559F43064DA94C9F1C401398381 +:100730000E943307398197FF03C031C036FF306113 +:10074000EE24FF248701282F2053283090F034FD19 +:1007500009C02A3070F036FF05C02F7D422F41516D +:10076000463030F0B40139830E94A107398114C0AA +:100770002750C801B701432F39830E9412037B0120 +:100780008C0139813260DA9469F0C40139830E94A6 +:100790003307398197FFD7CF31FD04C015C0EE2450 +:1007A000FF24870137FF08C010950095F094E0946E +:1007B000E11CF11C011D111DC501B801A701232F6A +:1007C0000E94060381E001C080E00F90CF91DF918D +:1007D0001F910F91FF90EF90DF90BF90AF909F908F +:1007E0008F900895A1E2B0E0E8EFF3E00C94C30726 +:1007F0006C018A0179019E012F5F3F4F80E2F90170 +:1008000011928A95E9F7F601838080E090E0BB249D +:10081000992470E0A1E0B0E0F70183FCA59083FE8D +:10082000A1907F01AA2009F47FC0FA2DFE3511F4B2 +:10083000009751F1472F50E04817590744F44A2DCB +:100840004D3551F14D3219F4992001F103C0992031 +:1008500009F4BA2C4A2D469546954695F901E40FC0 +:10086000F11D4A2D47703D0102C0660C771C4A9568 +:10087000E2F7908096289082AB1459F0AB1410F4F4 +:10088000A394E8CFAA94E6CF71E004C0992493948E +:1008900001C099240196C0CF992019F08E81806201 +:1008A0008E83772351F0CE018196FE01319620810F +:1008B00020952193E817F907D1F7BB24B3944E0193 +:1008C0000894811C911C01C0BB24C60169A30E942D +:1008D000330769A197FD20C0282F269526952695D8 +:1008E000F401E20FF11D208130E0AC01477050703F +:1008F00002C0359527954A95E2F720FD04C0B60160 +:100900000E94A10709C00115110519F0F801819392 +:100910008F016150C9F602C0BB2031F401151105E9 +:1009200029F0F801108202C0EE24FF24C701A1962D +:10093000EEE00C94DF074F925F926F927F929F924E +:10094000AF92BF92CF92DF92EF92FF920F931F93DD +:10095000DF93CF930F92CDB7DEB77C016B013A01E5 +:10096000FC011782168200E0E9C0812F90E00E940E +:100970001907009721F0C7010E944003DFC015321C +:1009800041F4F601A3FC3591A3FE31916F0135329C +:1009900061F4C7010E94330797FDDCC0181709F402 +:1009A000CDC0B7010E94A107D7C03A3239F4A3FCE9 +:1009B0003591A3FE31916F0111E001C010E099243F +:1009C0000FC01260692D70E080E090E040E20E946C +:1009D0001203962EF601A3FC3591A3FE31916F010F +:1009E000232F20532A3068F311FF03C0992019F4F4 +:1009F000B3C099249A94383619F03C3689F409C06A +:100A0000F601A3FC3591A3FE31916F01383641F414 +:100A100018601460F601A3FC3591A3FE31916F01BB +:100A2000332309F499C088E690E0632F70E039839E +:100A30000E9421073981009709F48EC0812F10FD93 +:100A400008C0F3014080518042E050E0640E751E02 +:100A500002C0442455243E3651F4F70146815781A3 +:100A600060E070E0C201212F0E94060367C03336A8 +:100A700091F481FD02C099249394C7010E94330729 +:100A800097FD68C04114510419F0F20181932F01C0 +:100A90009A9499F751C03B3559F4C701692DA201C9 +:100AA00096010E94F2036C01009709F045C03FC017 +:100AB000C70139830E944003398197FD4BC03F36FF +:100AC00059F1303728F4343629F1393639F527C051 +:100AD000333719F0353711F51DC0C7010E943307B0 +:100AE0005C0197FD11C00E941907009729F0C5010C +:100AF000B7010E94A10708C04114510419F0F20186 +:100B0000A1922F019A9449F741145104A9F0F201DE +:100B1000108212C0106203C0106101C01064C701CE +:100B2000692DA201212F0E945C03882329F4F7017B +:100B30008381807379F410C010FF0F5FF701A380E9 +:100B4000F601A3FC1591A3FE11916F01112309F089 +:100B50000CCF02C0002311F010E002C00FEF1FEF16 +:100B6000C8010F90CF91DF911F910F91FF90EF90EF +:100B7000DF90CF90BF90AF909F907F906F905F90ED +:100B80004F900895EF92FF920F931F93CF93DF93AF +:100B9000689F8001699F100D789F100D1124C80176 +:100BA0000E94E605E82EE7017E01F92EE701209775 +:100BB00031F08E2D60E070E0A8010E942C077E01CC +:100BC000CE01CDB7DEB7E6E00C94E707CF93DF9315 +:100BD0008230910510F482E090E0E091A001F09164 +:100BE000A10140E050E020E030E026C0608171814A +:100BF00068177907E0F06817790781F4828193819B +:100C00002115310531F0D90113969C938E931297DB +:100C10002BC09093A1018093A00126C041155105DE +:100C200019F06417750718F4AB01E901DF019F01A2 +:100C300072816381E72FF62F3097C1F64115510578 +:100C400001F1481B590B4430510580F412968D91E7 +:100C50009C911397209719F09B838A8304C09093EB +:100C6000A1018093A001FD01329646C0FD01E40F71 +:100C7000F51F819391934250504011965C934E938F +:100C80003BC020919E0130919F012115310541F417 +:100C9000209105013091060130939F0120939E0120 +:100CA00020910701309108012115310541F42DB73C +:100CB0003EB74091030150910401241B350BE09194 +:100CC0009E01F0919F01E217F307A0F42E1B3F0B4A +:100CD0002817390778F0AC014E5F5F4F24173507AE +:100CE00048F04E0F5F1F50939F0140939E018193E8 +:100CF000919302C0E0E0F0E0CF01DF91CF91089541 +:100D0000CF93DF93009709F491C0FC0132971382CF +:100D100012826091A0017091A1016115710581F4A9 +:100D200020813181280F391F80919E0190919F0170 +:100D30008217930799F5F0939F01E0939E0176C087 +:100D4000DB0180E090E002C0CD01D901AE17BF0702 +:100D500048F412962D913C91139721153105A1F776 +:100D6000CD0121C0B383A283EF01499159919E0126 +:100D7000240F351FA217B30779F42D913C911197D9 +:100D8000240F351F2E5F3F4F3183208312962D9104 +:100D90003C91139733832283009729F4F093A101A8 +:100DA000E093A00143C0DC011396FC93EE931297ED +:100DB0004D915D91A40FB51FEA17FB0769F42081DF +:100DC0003181240F351F2E5F3F4FEC01398328837B +:100DD000228133813B832A83E0E0F0E002C0FB0103 +:100DE000BC01DB0112968D919C9113970097B9F786 +:100DF0009B012E5F3F4F8D919C911197820F931F06 +:100E000040919E0150919F014817590779F43097FE +:100E100029F41092A1011092A00102C01382128243 +:100E20002250304030939F0120939E01DF91CF915B +:100E300008959111A1C0803219F089508550D0F7E2 +:100E40000895FC010590061621F00020D9F7C00195 +:100E500008953197CF010895DC0101C06D93415091 +:100E60005040E0F70895CF93DF93EC013B8130FFD2 +:100E700036C036FF09C03F7B3B838E819F81019640 +:100E80009F838E832A8129C032FF0FC0E881F981B8 +:100E90008081992787FD9095009719F430623B83F4 +:100EA0001EC03196F983E88311C0EA85FB85CE0127 +:100EB000099597FF0BC02B813FEF8F3F930711F4EC +:100EC00080E101C080E2822B8B8309C02E813F81AB +:100ED0002F5F3F4F3F832E83282F30E002C02FEF3C +:100EE0003FEFC901DF91CF9108950F931F93CF93E7 +:100EF000DF938C01EB018B8181FF1BC082FF0DC052 +:100F00002E813F818C819D812817390764F4E88107 +:100F1000F9810193F983E88306C0E885F985802F7C +:100F20000995009731F48E819F8101969F838E836E +:100F300002C00FEF1FEFC801DF91CF911F910F91FA +:100F40000895FB01238120FF13C026FD11C03FEF50 +:100F50008F3F930769F0828320642F7D238326814E +:100F600037812150304037832683282F30E002C05C +:100F70002FEF3FEFC90108959927882708952F92F1 +:100F80003F924F925F926F927F928F929F92AF9219 +:100F9000BF92CF92DF92EF92FF920F931F93CF9366 +:100FA000DF93CDB7DEB7CA1BDB0B0FB6F894DEBFFD +:100FB0000FBECDBF09942A88398848885F846E8423 +:100FC0007D848C849B84AA84B984C884DF80EE806D +:100FD000FD800C811B81AA81B981CE0FD11D0FB676 +:100FE000F894DEBF0FBECDBFED010895F894FFCF9A +:0A0FF0002563002000A201000000AC +:00000001FF diff --git a/test_fpga/spi/spi.c b/test_fpga/spi/spi.c new file mode 100644 index 0000000..30100dc --- /dev/null +++ b/test_fpga/spi/spi.c @@ -0,0 +1,49 @@ +/* + * untitled.c + * + * Copyright 2012 Unknown + * + * This program is free software; you can redistribute it and/or modify + * it under the terms of the GNU General Public License as published by + * the Free Software Foundation; either version 2 of the License, or + * (at your option) any later version. + * + * This program is distributed in the hope that it will be useful, + * but WITHOUT ANY WARRANTY; without even the implied warranty of + * MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the + * GNU General Public License for more details. + * + * You should have received a copy of the GNU General Public License + * along with this program; if not, write to the Free Software + * Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, + * MA 02110-1301, USA. + * + * + */ + + +#include "spi.h" + + +#if DEVICE=atmega328 + #define SCK_BIT 5 + #define MISO_BIT 4 + #define MOSI_BIT 3 + #define SS_BIT 2 + #define SPI_DDR DDRB + #define SPI_PORT PORTB + #define SPI_PIN PINB +#endif + + +void spi_init(uint8_t * cs_port, uint8_t * cs_ddr, uint8_t cs_bit) +{ + + //Set up SPI pins + SPI_DDR |= _BV(MOSI_BIT) | _BV(SCK_BIT) | _BV(SS_BIT); + SPI_DDR &= ~(_BV(MISO_BIT)); + + *cs_ddr |= + + +} diff --git a/test_fpga/spi/spi.h b/test_fpga/spi/spi.h new file mode 100644 index 0000000..b7ecf9e --- /dev/null +++ b/test_fpga/spi/spi.h @@ -0,0 +1,11 @@ + + +#ifndef SPI_H_ +#define SPI_H_ + +#include +#include + +void spi_init(uint8_t * cs_port, uint8_t * cs_ddr, uint8_t cs_bit); +uint8_t spi_read(uint8_t * rx_buf, unsigned num_bytes); +uint8_t spi_write(uint8_t * tx_buf, unsigned num_bytes); diff --git a/test_fpga/test_fpga b/test_fpga/test_fpga new file mode 100644 index 0000000..4dafdb6 --- /dev/null +++ b/test_fpga/test_fpga @@ -0,0 +1,41 @@ +[file_prefs] +final_new_line=true +ensure_convert_new_lines=false +strip_trailing_spaces=false +replace_tabs=false + +[indentation] +indent_width=4 +indent_type=1 +indent_hard_tab_width=8 +detect_indent=false +detect_indent_width=false +indent_mode=2 + +[project] +name=test_fpga +base_path=/home/jenn/test_fpga +description= +file_patterns= + +[long line marker] +long_line_behaviour=1 +long_line_column=72 + +[files] +current_page=3 +FILE_NAME_0=2020;C;0;16;1;1;0;%2Fhome%2Fjenn%2Ftest_fpga%2Ffpga_test.c;0;4 +FILE_NAME_1=703;Make;0;16;1;1;0;%2Fhome%2Fjenn%2Ftest_fpga%2FMakefile;0;4 +FILE_NAME_2=4334;C;0;16;1;1;0;%2Fhome%2Fjenn%2Ftest_fpga%2Fserial%2Fserial.c;0;4 +FILE_NAME_3=270;C;0;16;1;1;0;%2Fhome%2Fjenn%2Ftest_fpga%2Fserial%2Fserial.h;0;4 + +[VTE] +last_dir=/home/jenn + +[build-menu] +NF_00_LB=_Make +NF_00_CM=make +NF_00_WD= +NF_02_LB=Make _Object +NF_02_CM=make %e.o +NF_02_WD= diff --git a/toplevel.bgn b/toplevel.bgn index 1b75c1e..ccff468 100644 --- a/toplevel.bgn +++ b/toplevel.bgn @@ -5,7 +5,7 @@ Loading device for application Rf_Device from file '6slx25.nph' in environment "toplevel" is an NCD, version 3.2, device xc6slx25, package ftg256, speed -3 Opened constraints file toplevel.pcf. -Wed Nov 7 12:15:39 2012 +Thu Nov 8 17:50:51 2012 /opt/Xilinx/13.2/ISE_DS/ISE/bin/lin64/unwrapped/bitgen -intstyle ise -w -g DebugBitstream:No -g Binary:no -g CRC:Enable -g Reset_on_err:No -g ConfigRate:10 -g ProgPin:PullUp -g TckPin:PullUp -g TdiPin:PullUp -g TdoPin:PullUp -g TmsPin:PullUp -g UnusedPin:PullDown -g UserID:0xFFFFFFFF -g ExtMasterCclk_en:No -g SPI_buswidth:1 -g TIMER_CFG:0xFFFF -g multipin_wakeup:No -g StartUpClk:CClk -g DONE_cycle:4 -g GTS_cycle:5 -g GWE_cycle:6 -g LCK_cycle:NoWait -g Security:None -g DonePipe:No -g DriveDone:No -g en_sw_gsr:No -g drive_awake:No -g sw_clk:Startupclk -g sw_gwe_cycle:5 -g sw_gts_cycle:4 toplevel.ncd diff --git a/toplevel.bit b/toplevel.bit index f8ced48..e175e98 100644 Binary files a/toplevel.bit and b/toplevel.bit differ diff --git a/toplevel.bld b/toplevel.bld index 1b5ea66..6dbbde7 100644 --- a/toplevel.bld +++ b/toplevel.bld @@ -5,7 +5,7 @@ Command Line: /opt/Xilinx/13.2/ISE_DS/ISE/bin/lin64/unwrapped/ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc toplevel.ucf -p xc6slx25-ftg256-3 toplevel.ngc toplevel.ngd -Reading NGO file "/home/jenn/git/quad_fpga/toplevel.ngc" ... +Reading NGO file "/home/jenn/quad/quad_fpga/toplevel.ngc" ... Loading design module "ipcore_dir/fifo.ngc"... Gathering constraint information from source properties... Done. @@ -41,10 +41,10 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 1 -Total memory usage is 261148 kilobytes +Total memory usage is 261244 kilobytes Writing NGD file "toplevel.ngd" ... -Total REAL time to NGDBUILD completion: 6 sec -Total CPU time to NGDBUILD completion: 4 sec +Total REAL time to NGDBUILD completion: 4 sec +Total CPU time to NGDBUILD completion: 3 sec Writing NGDBUILD log file "toplevel.bld"... diff --git a/toplevel.cmd_log b/toplevel.cmd_log index f936ce4..b053df4 100644 --- a/toplevel.cmd_log +++ b/toplevel.cmd_log @@ -16,3 +16,8 @@ map -intstyle ise -p xc6slx25-ftg256-3 -w -logic_opt off -ol high -xe n -t 1 -xt par -w -intstyle ise -ol high -xe n -mt off toplevel_map.ncd toplevel.ncd toplevel.pcf trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml toplevel.twx toplevel.ncd -o toplevel.twr toplevel.pcf -ucf toplevel.ucf bitgen -intstyle ise -f toplevel.ut toplevel.ncd +ngdbuild -intstyle ise -dd _ngo -sd ipcore_dir -nt timestamp -uc toplevel.ucf -p xc6slx25-ftg256-3 toplevel.ngc toplevel.ngd +map -intstyle ise -p xc6slx25-ftg256-3 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr b -lc off -power off -o toplevel_map.ncd toplevel.ngd toplevel.pcf +par -w -intstyle ise -ol high -xe n -mt off toplevel_map.ncd toplevel.ncd toplevel.pcf +trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml toplevel.twx toplevel.ncd -o toplevel.twr toplevel.pcf -ucf toplevel.ucf +bitgen -intstyle ise -f toplevel.ut toplevel.ncd diff --git a/toplevel.drc b/toplevel.drc index 946025f..7e8208b 100644 --- a/toplevel.drc +++ b/toplevel.drc @@ -1,7 +1,7 @@ Release 13.2 Drc O.61xd (lin64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Wed Nov 7 12:15:39 2012 +Thu Nov 8 17:50:51 2012 drc -z toplevel.ncd toplevel.pcf diff --git a/toplevel.ncd b/toplevel.ncd index 18d80c1..5654574 100644 --- a/toplevel.ncd +++ b/toplevel.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###5760:XlxV32DM 3ff1 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###2440:XlxV32DM 3fe6 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###2940:XlxV32DM 3fdf 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###2012:XlxV32DM 3fe6 7c4eNqt2m1rG1cQBeB/1O459+4bDYJAIRSaFNq0/RDCYktOYmrHwi+0/fdd2ZaMHJ87s3f6zd6Ze2Z1Le2uHrxdX958aD5+t11ffv92fXW5Pbme5p+n9dXd19sPwMfpzbsfp9RPV9PPZzc377+cfJ04/7L+91VepbMftpXrL+5uXzWr9CkYgOApIPoaGA1I0YD5z7CJBGzOYyewOUc0gNGAFA3I0YCOfB4wr3pav/vANCsmswlzlx0FTxM9TcnTlD1Nraep8zT1nqbB0zSaW/5wHeHo6Zp+evfH1FiJ/1xd7xKz3QVnG1aemb4uurqSqyu7ulpXV+fq6l1dg6trXKE96vr17Obu4v6u0r10HLIAkaSOUxxP4ngWx1txvBPHe3F8EMfnHRqOjh82cLdJn2Rp2p6s/5qAUXTs3veNrj0sT1gXWq5vdToK6XhMzzod9+nqhUO/8H02cao7CtHU0TxsyqnuKEQnHZ0OO3KqOwrRWUfnwzvhVHcUolsd3Zp73RajOx3dmXvdFaN7Hd2be90XowcdPZh7PRSjRx09mns97qKT+NDdPzod//Z69yA1PzulvHjJ9NufQP/ysqmRFagXPqHRJT2JspJkJctKKyudrPSyMsjK+ELl6u52uj77jOMH4O3dxc3Z9Pf55vbLrrq7NpUb5ieG1mh4fBc5+5KzrzdOLFsnlp0ntu/Lzr7BOLHWOrH9NQ7OvtbZ1xkn1lkn1jkH7vtGY+D8VNcZDY870RgTny6w5YmDNfHpcmokzdfNTalhvlKU68moZ6PeGvXOqPdGfTDqIx/vG3ioH74kH1+/D1+Z83zZb5auwP5OsWDJfKdoUiuWHb+I+8Unm83UTL/8/n5HKlXr2sp13Sp1detQN7CvHNjXDhwqBw61A8dVGuvW3b9tNkdr3+7qvoAHdNhrUu165BwLeLyj5aY65uH7PRCMmB/h8Xw3lzFzs4qtR3A9g+tTcH3+5t1UzewIMzuizI4osyPK7IgyO4LMjiizI8rsiDI7osyOKLPDw+xwMTs8zA4Ps8PD7PAwOzzMDg+zw8Ps8DA7PMwOF7NjAbPDxezwMTt8zA4Xs8PF7HAxO1zMDhezw8XscDE7XMwOF7NDMDsUs0MxOwSzQzA7BLNDMDsEs0MwOwSzQzA7BLNDMztMZkeB2WEzO8rMjgKzw2Z2lJkdmtlhMjuKzA7N7DCZHUVmh2Z2mMyOIrNDMztMZkeR2aGZHSazo8js0MwOk9lRZHZoZofJ7CgyOzSzw2R2FJkdmtlhMjuKzI7lzI46Zodkdkhmh2Z2aGaHZHZIZodkdkhmh2R2SGaHZHZIZodkdljMDovZYfC5EZStoG9Y3OjLxsDWGnjgbiOos4IOPG0E9VZQ/xzMITW5NRr2H+1y0BMmw8BkGJgMA5NhYDIMTIaByTAwGQYmczEmczkmsw6TWYnJrMRkVmIyazGZlZjMWkxmJSazFpNZickMYDKDmMwoJvP/wWTGMZlxTGYQkxnEZAYxmUFMZhCTGcVkhjGZUUxmFJMZxWRGMZlBTGYUkxnFZEYxmVFMZhST6cFkujCZHkymB5PpwWR6MJkeTKYHk+nBZHowmR5MpguTuQCT6cJk+jCZPkymC5PpwmS6MJkuTKYLk+nCZLowmS5MpguTKTCZCpOpMJkCkykwmQKTKTCZApMpMJkCkykwmQKTqTGZJiazgMm0MZllTGYBk2ljMsuYTI3JNDGZRUymxmSamMwiJlNjMk1MZhGTqTGZJiaziMnUmEwTk1nEZGpMponJLGIyNSbTxGQWMZkak2liMouYTI3JNDGZRUzmckxmHSZTYjIlJlNjMjUmU2IyJSZTYjIlJlNiMiUmU2IyJSZTYjItTKaFySxjcuvsG4yB2Rq4v/p0zr7RGNge/s+XBjo3xsT2OXPT0mmWdRrOvmQM7K2BvXNg/xypaWk3ndpNS7tpaDcN7aah3TS0m4Z209BuGtpNQ7vTYu1Oi7T7P65WraY=###3240:XlxV32DM 3ff3 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###5120:XlxV32DM 3fff 13e8eNrtWluP3bgN/jPzkGRerKslGwEy6KLYAtsu2iz6kIcBbMkG9mXTYtGixVx+e0mKsuhzfLKZSabdAptgDj2fJFKkaPGTztzff+/fvrrx1483/vWbV69e3eh3jzcGHm/suxv3+vXre23H+0/1eizden/e7fFGvcFPCx01/Jg31Pf6FSKA62vEUdPr0oJN2xBsg6ZrMlDG0BDoXzD6d2+mI8MaOxv8sNz5mudAmnj4vV6PBstx1zjtNuRem+MRN7Z0LdE4ntRhHM58ujz48Xz0Ewe3rm14VYjOhbPBuB5sFkdsdg7iULs9imjZT/ZibffqwG7Rca/iUdNjaTsadn25iTL6Xruzpl2+1Lmr5aAfReGa34zuuEPz7F6tR12uKb04U45mY+ucoC8nIU9wi7+aDsdxYM4WB9LzCHWAni3RI3bOG/oOf7Waf6/vtGZvcch1XVB0zJGW1+KNe8PAve6lkn3QcWTtuO953V6Rcxv3Zt161inVV829wU71Ldu0n77RSmiQZsAKz1u5rYdUJXGHOAbQAs65d2PvOXkf5aMv4+7/8P6Hmz99c/OXb4bv/vq7P37/XruHd+/+pm3M47t3/4qxG34/uEHdvVcqD9raQSczKGM6r8ygY1Lu7iez6kGNIMzgxyuzdoNZlvEBhsSjIZD677WBvlEN3a5hGWnMWYNXlxr0mHGOAA76E3OEWeUwmBzHqyUMsZ/Gn7RVg+viFN26jlfadgTDg8bh8LDEIUY1/vMHnVZQj/+NVr9gZB0gcH/WCwTk7gFkh/JK5zzEZbxSncd26JeKNZNnMKLxYRqsMfiQMZZ6mcvsfGfHf+vFQkgDPhh6+E6nZTB3V6rXMMyOPyk1wTD43Q2678aHb3XK0MusQ7q7sjoPFjyC+HVgYbAeDPnyW1+EKyIVMRUxD7aDuUUycbUOPkCkwJMJ9S9q/GDAP32ndDfAdAGD+H6nsxpssbmcjg2k92HGJVNDHEIcVFZ3f9e5H+HDjKAijB8g8uoursPDB9XhozUKns2y0rMeHn60XYY1/9bkZfyHhqDDJjj+GPv5HPThsKc5Az/2CofDuvuhV5ggixu68axTPOukzjuFs076vFN/1smcdvLh3KXZYVYNhhJS3V1pDdoTLL4B/7NFTbCoKcGCZ8jSHnOqHxRU1R/nBNpA5CIWEqkrQhWhizBF2CJcEb6IWMRUxFxE0ZlY50oiF9W5qM5FdS6qlVIsC6xMzzKwLDqU7Vhyf8v9LeuxZY7K0fiPyjuWnmVgGVlOLGeWiWXxQPWsty96jZlYziwzy+KqWbjf4lh6ljQfWq0OVwvEHS2JMREWCbYA7WFxTpcPujjYE1xZtjX9tmr/g1V7+Fn12FHfvcX1A3S8xQ/Es8Q94h5wNyuJO8Qd4Z3ELeKW9MwCNwvg8IH4JPGMeEZ8kvrNjPhMuNQPvPsWP8BuFvOEKI23+IF4knhCPBE+S3xGfCZ8kviEeNEfJR4Rj4QHiQfEA+G9xD3iFLfsJe4Qp7hlEX9YVcB7itukJW4QN4jHVeAO1gs/QM8k/bWox1rCpb8W9VhDuPQXCOctfiAu/bUKcUW49Nd2iHeES3+BH97iB+LSX4PxMRQfuY7wViGO87dG4grno3A+Vsv4KJyPovlk13CoqLeZvMpWoKAkk0/0UlcUVOSiQUQ4g0OZ/Mki/xK4k8ibLGaXIIkT5rBLYi2Ah9wmyuAoMjJBgiXK3yjimiC9EmaXCsK7BMmVKLcm4VuCFEqUQZPwLUECJcqfSfiWYNlTWXXhG7C821TWXPoG0UllxeUcIDqprLf0AqKTOpqvsDZDHObyLgvfZojDTHHoRcbM8ALCz8PD7Hoo0oOL9HGxqmeu6l5W9bLVzWWnO6wW8/rMolF29xQ+UULSS1WSyHJiObNMLHnn5x39yZXHOpaeJdu3bN+yfcv2Ldu3bN+yfcv2Ldt3bN+xfcf2Hdt3tfKxfedlJQTJ9h3bd1z5XGa5sFy5AnYsFUvN0rC0Fypsf6HSsn6/vgwf6lGSc2d8KK4X+ZAVfOi3fP8t3/9f8h0qRl+rIWS+YJJuY5iENya5MUzCG5Psk8Q3JumCErhgklH2F0wyzhJvTDJOEm9McquvWKE8Mhm/7ios4Qviy67GEt6Y4aQk3pjhVtUJR+bj+139JbwxxilLvDHGaZI4Mj1vd8yNcGR63uyYG+HIrLzeMTfCkVl5tWOShCPT892OSSLuMD5uz/QIx/i4Zcf0CEdm7oiZ93I+jfG6XuqXjFf2d8jMHXGnKOfvMP4uEr6bD8bfBcJl/BtztsvOL4y/84Tv9GP8nSM9Mk8czt8RY48yTxzO35X5yzxxGH+nCZd54jD+TpHdnR6Mv+sIl/0txt+upEfG2WL8Lb0XYZU4xt/SexFkXlk8GdlEuFx3iycjS+9LkPGxGH870Xx2ejD+FuNvs8xni/G3gXCZtxbjbzH+vtv1x/hbT/hOP8bfUvzDTs920lFB5n876agg86eddFSQ69tOOr7bxWE76fhOxqGddFSQ+Yb7kir7UpD5hvuSKvtSkPljMP6mxF/mCe5XivYrr+T64n6laL/ynVxfg/E3kXAZn3by8p2Mjzh5aann6ORF+HbyUnIfbicvK2bZTl5GrGE7eRkxw3byMiIu28lLBaF3O3kpWWvayUtWmgSBTWl3k4Hodh6TVaadx+QetJ3H2l0FohDQFHY3FYhCOFO/u6dAdDu7eTmH7ezmpd7t7OZFPrWzm5fW6tmt3QYgWs9uKso4bGe3KOYwQ3zndXcuRXQ70QWhoZ3ogtiZ6olOnP4QhfjO8+70hyjEF37g9GdnP+gBMnoZVL4rhz1Y/W/1spQTX7D1xBeB3parY9hQTMD77LlQmVyYB938cGsPrbkQJ+YpqjOteR0RYGLWMRHrmBApvmpTTADpfSgD44wDDTOwvjBAUxjyrIlByQvPoNCTwFwe4lC4fBg/6kK1IQC06xg5SNdbUlUGTh2fetddCDTsHlsIdOHsoI/YgJP6DOvTqR4qMuvL0numvbp4b4yXzj3MfjrzLuy8m468m/WpdxEHpTKltfxbqp/2CX7S/eLOz6n6mauf87P81Cd+xu4z/HQnfkb9lfyknW2Rms34QS/TEO4sVDIL+5aFCmhhV7NQmS3seRYqN457ODGONpcVM5tjoZj6G6b+hl8Vw29GiQ1MxrJ0LCtejjKmrzKwjCynGh5zsljR1sVavmSx9DqdLlb9AkGPH2OsS6pOe6XPWNJwuqTL10pdfxqNtUZj/YJo/Byphrm7t7gJADq+xbeEH0x98PRwa6js2ZlJD+EGyVZP5HLm7RrzCNYRB4ZBU/nTa2ptkCPjWwywpiJYfCN9YZiRU+iVhsxiiFrHt7hyMW60gicNLpZJ84OpD54ebmGPJiO2GjE8aYWVx1JV3VSFqipUVaGqQhLU4Xx1Lc84Mchn7qAxNroW09LmWQu3LXUKHUHcyVEbF1aaRmvDYm77VQYC56HKOriqz1GYOfAl3lxQcWvCMHPgHY0zbRzFuzMEqzY9ihAdmct2TLCWVigSa6hWjLRSxnVtHFrJWP5tPQBSuqGRTOekyn+xtAkjPRnhdMNaKYzQnOs5FsehkZn4E39/cjujImwhBsbfttzmdSyTKmgJFATBjSUiBZ4YNqrkMcLwHiaNf92ghiy4B1XsLAmI++8RkOnziUj8BBHxU/dpInK8383nROQr7XfxEiUx3ReVanpBn0xJlhejJOkSJTHqy/xcnkFJ6Pb3RSgJ0f5LlARpSAc/SEMU/KzwowcbETOXKEnN/UZNXp6SrJcoidFftlj5GWQjua9ANuajxaLb/0OygX929TXIBphkapHqw8oPYFySjVTrNuKCbKRa/CBDCtlIjsmG6brWVsgGBJjJRqrlLDlBNhqjgJkxf0j1YeUH3PsFo9jKMlrp8E6lozuttBW+9ZhpkIlQTYRqIlQTgmnYZqIwDexQ2ES9Ui5tnrVw21azE7MJ6kRMY9rKfJRtVLOD0CmZRqpjkuLyTFEvTIPDgDtOKc8U9cI01jZOMo3cpieZxsaPFmmlMI2lWlmllTIutXGNacxT81MwjbkSEHgQRgrTyJXOdNJImXNs4wTTmAXTwJZCHpJkGktFs2QaGJECL5JpJMdMI6Cjw4qXHKkSDSM4BoTlhGN8NWrxxXca7ul3GqEPF+80zKU7jfOtK9BZwh7wBqWOj/i/tDXP09P5QojzC/GFQB3sAV/ATeZZ/sWn84QQlxfiCYFy6ZgnBKjHwachQBUJfgGZQa4g8bn79VxdBMoZe8ATcDt9xiKZzn7OlQUF94ksIkzqha4sAu209oBFKDU9Iwo/B/rWHQpo4PuIt/g28MNUH2bJHkI9qhPe2EOoRRDzhthDmNTGHmJrK+xh7pk9hHq8x+6NPZjOiSF4VYErVq4q6BsWnjTRCJw0P0z1YZbEItS7APKqEYhQv7RCK8QGaCBV/BCibPOsndtC1deXil86OWqrM+crDG7Dulrexc2rjQ2Eeo8RItfpEsUSPGYQuK9QCS1RJFv1S3Yc19hAqN+l02pubCDUr97xQVgJZEVXK5O0UsbFNm5jA6F+gRrqnRWxgdD31cUgjfRkRNV7ByeNlDmrNk6wgbWxAWqhAr90jQ3QpAqqBBugiBRYCzZAWVbYwDTjvUOH9w4bHbCn9w6wBC/FCaavww081ecnXjMEosHPvmY42prSRZYQn1dF3TNYwtS/GEvIF1lCeJ5/9hksgS7nXoYl2E+wBGQEagihA6lBwnNvQMIzjPvV3CYEOu4cs4T+eYtknlP/84vV//Vi/fdfWP9Trfa5Piz1Yd3X/1pytrM41/9aYiEjuP7nrf6LNq7/ptb/en2N3cXtQSvyqZb0XB+W+rDuinwrrlbeHoT6F1jkkiz+S+vPxX/dCnyWbZ6tctsWgVwLvN2Kf/WmXhOUtlL8rZifKP71xh0fuGLarfinWpZtrZi2Fv/6F2Q4ThT/+odftJSt+Ne/K8MHYaUU/7lacdJKGefauFb861VJqPcNpfjX2xV8EEZK8Z+qES+NlDnrNq4Vf/6Tq1L8saXUcyuK/1S/ilicLP4YkQL7XfHP21UAcDPY7mCR/gMxxeqd###3964:XlxV32DM 3fff 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###4388:XlxV32DM 3fff 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###5216:XlxV32DM 3fff 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###4764:XlxV32DM 3fff 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###4912:XlxV32DM 3fff 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###4940:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 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###4804:XlxV32DM 3fff 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###6992:XlxV32DM 3fff 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###5148:XlxV32DM 3fff 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###2068:XlxV32DM 3fff 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###2240:XlxV32DM 3fff 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###2408:XlxV32DM 3fff 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###2900:XlxV32DM 3fff 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###2872:XlxV32DM 3fff b20eNqtW0GS5SYMvZJBYKBzjhzgt+1ezi6rrtw9GGwssATYZFKT6vkfhJDEk/SgYZ4mteofpQD8T6AWpbXdP9EWrHYAMOef+59+lFHOf+bU5v8tQYE5pMCAFA0q6SLe6zJN2vqfBGg0dgkyXJBh8s/J/exzl7Af8V5K0GRUF7FrcMiRA3L8f0nOiH3NYV/JyjBNGSL5yOsUPlVhvj518KGgQfq/0+4HIlLmbJ4619X+q3PeIUMGGeVY5z/zH4A6x4Z58ljP+RWdX+maNaVZlzY/WmsVZkRJxexsVibRW0ZmdrkkneOo72jJsxJKanmNDauk+X60t9u+5iMtzn3PyaMb8ogmLUt7byPHWjR2AcF6OiJLOc8kHU5P7zLersGtcB8JEReoeG7pyEq75l0nedOyahNq3s3uQQZtk7v9KB+5iiVmWrNX+/Gy/v33j/ixn6/p6/P7R67Ll9flr3/k+v0l//pbrp8v+YHfHcLgQy6gDwcDuYAs4dHPNTe4WyqpbRlMbdFl6y2hPE2QNiVI/Rp8dQLf+XUyOVwZ9jMPpCSDUtKAHJRoYSDRTijR5qF8RUsJlyKM5OKGi7ldA6EFqxOW3a/F1jjG9VOiWajIoT9CLbxaQ76aJThgfwiUcZ7uAsqGrRnItMSsOmTGM/18b3OHr8izH6H220PtEqF2dRnSwmJ/Yf/zYcsAdXjqjAVJWA57VaKSgY+AqLdkEHxupDFAPoFGegTkdWikLDoi3Q19dTeG64ThciATTCmfzANSXNLlvRSZ8sn7/OhSUwGv8xqtx9PGj872D7Mjykfvs5pD2QgGcuyMcqymC/aEGlxm0EQLpVELBSj75CdqujDXD7uQIzZM8LjdUklSMftxu6Uq7ZbiJGuP/oMNlmojWTNzcnhMeYD3lrqNvbdMT2uFHI+pWqF/Dfdqnnw1y3F5qVFhmA5varLC6F0j5ezF52wdc/b3J8vZQq+/vi7JuyNcE1w7p3O2rVQ7Du1A0Jk17UCgzFrrc0Lm8v/337G4jtfv13SrxFxpi506CTZmxuLvqfgUjWr26bwNWZFC8mkgC1y9DSg90PeJMz+qgQ40SFlPKTG6Vx/dKkb39p1Ht/S9v8tiWxyITMVB3Ks54oCJ2OP7I2IrY3HMCKY7GSF3r1oBQrzsVJ7wtjlyCshjvzLt9xxRyAuE0nzmnmCDMM5/bv0cE7ORt5uvA/yYjHq9ZBZr6SpxRtWe2DPBw1o0TniJiwLEbX2BkKsc3YiENE+ckbb5SDMx0haTs0zzsrNME8My3eSjOGPwJsUZnXVmljrkxlJegCZtl/T1cbKxHIFL39do3tM/roPgVI80o1eL/rVtCpqMYluhipkze9buTX6mdYJMJ72d64HtW0ePaN8l9Rkj2OE1SdghGtghBy7FBOpHRnosgXos+ToTRQ3WdEVHxKo2R1aWHdT/Ga1xnklRZ46a6GHEHDJ6iPkrIhmbR+z78dg3H9inc+xTnyfYZ9GlGcXpaHQZJ9l8fDFyeT7eCLm4htuqXJHrtJZl17rjIc9hUXgomdPeRh1ooo7tiaFqRxFRcazSsA/QQg5gnEo3DZGTf4s6CqHO+4trmRgzgSOZyzy45zx80s5YDvVTfBfNRZ2u85opMjimncrYFqEg3gk0M7VE2AePTmU6aQG33HT1vlJuGXD9TL9gOlHLMIjRW6eYynlqnOtbt0udbTOohxroEBXiCd/n5WiLJTExb6VklU2MAXF1iFJCFgOL+PX18YeKXIbbK1fkPGgvFrbLg8z5IcfR/MM1p84/yBI9s7l1+6JdsV6yHTHjkpfO7spJfFJV3sgLWzby+1Jl2YKbN46MfqdwbLGjwvRRUaU5WA2W2xXOM13u182cNktXWUAXiTYvw1iblB6ZivKNL/Uq3qsWiG3NgoViUMFVtn4X5JBzO/UJDPV5JwkNSqmiQRVzhA8MPCex6YJJvS5DVCLQ5NBpmNJpGLmKlInqsd2X4YIl/WWTEEGeZG3D2TXYrElJiSIRmysyjqZOMGvbJ9of0mjiEZdRHPEzPbLVWVQlqFb+VMEJ1Xk3+O2LKu3PFBdZIWq6EqIgCz9LagnNJsuW5e79JFdooNqpjudxrClSqSmSTFMk/Ai9jz59oK90ua45soHekW3qLGuuZylKVSjVY0Tt3eQ5WjfpK81eb9vXj5waO2SuonDzoavXKPr1zJw0XG+zW63jdDxQoK5O+uUUlydMY607qpg5VTFwRuPso9EdiOAKbnwN3DgU9zAzYntqPJklVJ07Va1Xq/1yBHpTQd9dmJskjjcUiQeAATkqveORRDkgiLJirRS2aypsx5hg3YSxKcC//6Pl8RaN9sn8+CVpi1ExDT5dF4d7Rm9cwsz9vQYLZxM7m0up3G1CNvdWdCwdRUdz9cTDSHJe+0yWd+s43nR1JjBr8pbSjK4GvU5p+Qdu0N1clUlw5pbgaoxt00IpkZa7gxt/hrnwUra6Cj9fGKqYiKi7g77YDDJQuWc8uH+f4F48+rQB3C0J7nPltxdMJCkqjsEjKCmm6RpRqfN7DVKrHAz1jIXpEfv1gxs9ej+WXJ2hX84URf/RtEmCkKe2bP82S0/09LE7JZQ+rynf7Uf1EPwdz8P+r2vZvmSsh8oRmld4Xh7l16pvCzb8lFYRHNmT0s+i0k8PFSw19q9fDqSnxtR1+hM5+SOAtxZS6XxB85raXSnqTNfU9RaT1CaUnKaH57Q+TzfzjSp+kYDDViQlJk+LaG1XvL7cb87LRh0flqfJ03Ru5kYVPjykec/wXk4i1gjqrb+H4YlELGNrvBnm68v+JARdUnrSIW/T9ZbI1q5E1tIEvX+Ngetw4JYPK91/jajGTQ==###2720:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###1932:XlxV32DM 3fff 774eNq9W0mSpDoMvRKaMGSfow9QlcOyd3+V0XdvAwlpSDzI8q9FRVSCpSfLz5I8gAx8k4cMBIQyEtHYdXzlBzsemfzfXR6MJESnz5kG/3z6xdNvQaM+Ii7Q1/unc/tTHUAuo2OyWfhKwDE7ZMbJ6fiU6wm6TkjQq62Rl0n+1M9cb8+pnzGjz0V8Gxs3KNDnlpGL2DRUWcRRi0azRVLpJY6yamjqdciyLOxjV8msvA4p0tEyCuRtoiLfnMyYiJfs/KZsXMrrgEpPxxjZmt/2OYdFOsrnyDKi1jggLbnUUJdkOeXlxCVz3ZjXkMmW+WyStwJLepKxA0r6Is5n50kHVFY0fTb24GyfTQc1saNrWjVAkb50dZbPgcrKqGkPMTvTB1Um67MzQ6cPqvVFIlvjKrVtb7FoNaDRJ/X5rqiq7wtWFmKOUWvUf/Dof790zRoGr2FG2KJtrOqzaoBXP+o1rKOb0OAl7jw99ZLeU6HnVrkwc4c6aPZlV1D/0kFSZknOSvaVchBB7CsR+0JE8m9Eho1tb+ngTUxahpmtg0FP/9ICCS3cyBo2WvNmT1rLwp5bYM1tZifP/z2E+SHdjtWTn+a3BAf2Lk9RJgmacb91Gn2rSQpnzTtbt9m2xxC+N0RYIsIeYXpD/pkwNURaotcbCX2wmHq3RlPfzuuW+d0+Nm/x9kOnj6lrFJrGfWk37Up4GecZ4HVRN9s+SsdLJWTDExUe6PCWbLUhQBxh8R6DsT8Y9IdSaJ4LjifuoRmRN0RMeBB4IiAymseMp9YqRKtXRYln9Smoe0hGxF6NyA2ZWoY4WnmjZU2D6DKoMcHMnU6NaY8BXWkUZTAzhwKv5tHE3DdSoPXm2KbpmzNzZVSgteCJU+GRmSmowrMyU+dNaVgrQQbPHq9ZgSUNq7I8Wm9mZa9Ac2a0UYFmzweixDPPcRUamSMYq/Dsucep8MScD6AUz69P7LU1la1WNjww45WN31qttKjJtIhgHkMtIjasAcsQqWGlW4Zo3ylAJeJo5uqoZY6ZrYMa0T4jQY2JDes0LNq1aDknY4hQv8902PdJIDTa9wmzfBKt2b4PBJmQEh5suZ4Os0UpJjRckZViYsNI0xVgQhPfOjUmNItupYjYMPsnMKf/m1RTGjRouLIoiwE1u9mgiGp4ehq4v0PzEJkyzaxtOanE03Oyt+zUd4ieCQX6dthwcvIdtpUT7Oun7AH7WoSd7fd8zowfp6eQliuwua+xufKun8f6+/cPPO7dpbvw8w/erpeb/PoPb98X/PUbb18X4PFJI31lbxPcxREmbgflGcKS4wh+nADHx2lpe3/5+3x83dZiubG6tj4/Ex+C1rD1GLM3HEu8U3dfI31fttXqgDJxEBqcD7ECDVbWgmctLaxF1+9pS92Tek/brFmJBfPjowQ6o+RuURNcs7hPeF5umoC+fx9TM9HyiOIt2l85LZAh7SXVUKrmSpxLyO2eU9l1oIMuoTNdweXeVptSZYXNuJIQQxK6HQkf308/kewcHJMMPGwd/G8M3F9iLGEgKDkTSC2FS8FF635rKzHmNtseLWPGsDKDQmYM+/CE0CY8DUlq/AwxtLSoIcVMiVd27kookbxBRyV3CaNyse9dWu2NldHMrTTjkGbjnmbd2CYCUSYC7RaihUTrKyKQU0cgpxroUCpz5VFBm/RnH602kcpos5X8EtLm6xCdrk9yDWiDSdrIj5BGlJSRCsLInIQ+VgPBqu7dYlkDSGF6k63ta4VSnhZfbaNp0Uw+VJNPVvL1Ifm+D+SjRpV7JmbhD8UsVMcsrIpZmLv6rIhY/eHTrtLPaWIfhwxmqmk3Hnmlmgupdj3sbciThgZU6zJU4x+iGqupxlVUY0U1JodqTCI7Z/QR7yCIdxDd97m/W2+7cuaTkhzZ/gEdo49l###2636:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###2528:XlxV32DM 3fff 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###2540:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###3240:XlxV32DM 3fff c90eNq1W1m25CYM3RKTDH5ZRxZQZZc/+y9fdbL3MFuAsLH9cnI63WU0gLgSSID462+xvn4E+0ouX0qqRQEYtcAGRnKYpZIzY+GLbd0AQNW/7b8+jP377x/BpvcP+5HfP2JdfjhMf/0j1vePCDpm+EpodKikw0u0snG7/demtJqTJikktxSz+jitJTdJ+QEtlRJSFlzCcgFqB9cOGiyPpWUFLfe0LLeD/So9za7RUW9Oi5fZ2tDzd8ZV8ro27sQHay7YmuayNfmJNbkUg9YMlMmajLAm262ZLARaLd6iomdR3w6+nbKIeGBNka2pkjVXbE0orDnNX8vz6mrzktRqbWFObSrp0XYoib7fH7XzTPtfMeoPGrUoPVJ/vna+aQxl+8E5ihSBIkGO2MUOOxQpGhQFLpbboetjprFP5j1FhYmj8pgM9tmQfdinsA8dFfeejkZFzZAOzgsdxvTngO/zeTIHlC05MQM9m8Ipf0k75q27nOveqjm2mSxxuw7g9lbsE73YZ1EZVgdOWyq2Q9dq8oF1ZLYOJOsIbB1WIoofIupBLOvjylNmG8ljGznP6MQ+fdtKOvuKn6NgJblbaS6NxKftK7V8gbISLE+Is9JYbdrvePJ3+7ewQ5xsFN4gjEvT7fnrbL96u9j+9iRXNFiKUv53HqP/pWwktn/ieJ0ES2Zp7Nrg1tsx3fckt1xg7Q5xpb+ruZRhdU7Rmg/13JWTOCGg17dbBPtW6y2b/T8onTBtw+AsjV9PEm1u3yV5zMgzGtuj8H2rpM+5JXOE+ONQ7tDi5EonRdmRsH2cuweo3QPsmle6wGbc8uZCxYKcgFnV0i/py7ELUO3560zKmiPAfgXwHU3/C8RHdVVck9Up7BTOaFNwXctVKbulPxncdcDWXW2j3InuUDJaBjAWWo6PBS2dWkg55fawKQSSdsq0gGnJZVvvtGjZdnyioZ0LuSLRRrlVahNHiSWLOIN+mepSY4p2ccNp8drwohaaN6YEefMAKCjwtQgK6vW1qfOrwcbkQ90G3AXNEQRnP99QVBCVvKVH5X7jWBP6PaFNzzqX/TY3+93pMxvqM6t/t33WqM8zDNka/Hz/nq2hiuZDtjY4WeZDtj7t9wVbt30esPWMcb0Ufd6Wr5ybTbFOmm6lWRr1s6ED3RZLfBTn0YNl1q1jEYp1SyyhADChgpWntdtomnZC7ee06gKtKWlz0YwfRH3PlcpnnQSJSyBWpAlvsEquzKPkiZ8EbLxw7ChLadu7xEaYH+NtPsfoKbpxNsx4mfJkXoouI6NNJYO+hAfepWUUbZ63lnby/Uvzdkara9rROY6UScOSy6knXKEMeFpYvYaOwHOAjoQMXLKeVVlk3agi617AvBM1+gXBY9+D2k+HfC9FmOu+B8Vu8JHvFWXsKg9nnArMdf1LnJh4tE4hD11KIZc7cxPlDdBzqd50hOr6mCNC67SjE37ZERO47jniMVSGHRHV501ZiN3mr/V2B5I1psLSR+mU9qYSQFgjfatLiz1k3mryha7665573JO680c+ZUJLLFYNy6w4m2+hkHxXYuAGN2lL6rv/m4P02bGwcvYC29tPTJK5F9XD9ygFoKS3E4pHfiKloJ7dPi59oQoY7vhCxT0dbGV53oArYBgLDJxUgU+MNOH9bhsiY5JXp2I5fYwUEKq+MdWsqU1KZiNFpLZ+06dmmeKcWoSeVNR7v2sfTlwKnZ+0iecB11kC2pYGGgk9ruJ7llRLIOrBbvQdyWFzFV0ig9tDubEHhr7wDlFHqEIaLrckh7Hy+Ki+G3LMfS2DMlCr/QW/oSXIOQgsbrZ5Ublfaw/1a7sEfrK5NhViDj2c3OCYK+HNrYK9AHc1xB0HOSmOrHNS2PGjChbcSzvoNFO8y9LOvPgz7n6t2ZdFZ5hGqsuoLi3tmFjsVTwNGqxoH3EqhCnnpa5nrkXmxerKctiR5OwKeYT78ouW+Tmf4hqGbVuWFyytW4qmuJGlwLGREBAUbOy/1lCt9qE/mXLaHTBMUHfYQcrUk4jaV18dj9IybJ9q7Uo97lkCHq09Aknlo55GljKVtMlvOFWXdumNdFDXEpbj7DD+m3KjVuUcD+kYkONR9Bu6BuSgMROUcewGBf4l1O98CK0XeO2da6fOmEi0VKvbaVq3PNq8hNFghKVaW+LQYWszejxL8eVvMajjs5Hhg8kTGWW7D1JyD4J+AanOfO7oHZPbb7t8HDskyVK5o83f0XdbUuD9lQNgOXAALC8fAMv9ADgecqNlOkrrHQQbjhevMrvWzNfzDzcGF/QRC/ikvCGVq37LWxlyR9LAAj6pdNXLCGyDd1lhMA8qDH59p+sD7GF9gRE5vbhdDRDUt0f1BTFYXxAX6wvkvmhMSrkadLbeGRNyry+suoDEOvkbQs2xse7dbHRXCoj7TyZzQbzvSt99Mk0uYAaPeMPUuDZV3DNbK/3HUlI2IYibfGjkt+6Emqa6wjp5/UQc1Ov+XdJYzWFpOtF9l3d1aXCjrsXlmwCplj92Ma5zm4CiS6DgtEEyKHjHIPoBLHSGRbpWadzZPwQDbeVtYC5kKMXTNxTqsUFVWqa5RkrKustN6YSOTt5YlHWKUnB0myK4wGlJAtpL2qgHx1cVA7ePlmR5hA3Pr8rz+0SOzDipUXo2F50+BaRNCGm6OvRR36Zs286fPCj9jfVRdIPyuD5VW5DACz/Fi7jUZ2LUD/AG+/OamvoibqeMW/EEt/lG0pSwovdV+LNUUQnaqMT7ETecinfmfJ81fTjnE/WQB2v1O5yxK9RzF3c0LdGbAQmYtsxcjzFXjpgqMvb9BuuhJLIHWJvysxsF5gHWeHwU42K6SWjDd5tYCbdZlyf+pT7z0N8N7e+dxwWJS5fPC/Kmh/dmJm+yRvYU16OJztEkx3p382pJ14zL22JSzi69nEgXBvLlnjy4MrnzHZ9YHQX8D3p39iG3Z4laI+qeg/RDu7usJbpBhncWMl31kArE7CanqF76XNFphsb5od/8nc53kHEQsk+sCbnc1IIacijhp+9L5cV+n/Vkbex8HLD25VEdXPs9lxPmy5dOHspheXOouhbWp2EjvKJKL3Smw7k6loTfOSrqjeiFUKZyKOMPetTbYF1frOZ8ojc9mLPfSgzCuNY8rvNo2uvLpUjQiSCjkQqlIK99W1nmuu/1W61Ic3r/Z9nH04Gl5H20ER+VUm/D6zHInmXjyPAL4/8AKZ4f0w==###3060:XlxV32DM 3fff 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###2988:XlxV32DM 3fff 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###2684:XlxV32DM 3fff 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###2952:XlxV32DM 3fff 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###3660:XlxV32DM 2c4e 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 \ No newline at end of file +###5732:XlxV32DM 3ff4 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###2440:XlxV32DM 3faf 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###2972:XlxV32DM 3fed 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###1996:XlxV32DM 3ff5 7b4eNqt2FtvI0UQBeB/BHNO99wEsoSEhJDYRYIFHlarUWJn2YjsxspFwL9n7MSOnOR01XTxlkxVn8p0Jp3xt11/vn3ffPhqu/789Zv19eft2c00fz2tr++/3L0HPkw/vP1+Sv10Pf10cXv77tPZl4nzN1f3d982q/Txm20oAOkilIBVMIDRgBQNyKu0iQRsLmM/wOYS0QBGA1I0IEcDOvJ5wLzqaf20/nd+XJnMJsxddhQ8TfQ0JU9T9jS1nqbO09R7mgZP02hu+cM5wtHTNf349vepsRL/ub7ZJWa7C842rDwzfV10dSVXV3Z1ta6uztXVu7oGV9e4QnvS9cvF7f3V/r9K99p1yAJEkrpOcT2J61lcb8X1TlzvxfVBXJ93aDi5ftzA3SZ9lKVpe7b+awJG0bF77htde1iesC603NzpdBTS8ZiedTr26erGoW/8kE2c645CNHU0j5tyrjsK0UlHp+OOnOuOQnTW0fn4JJzrjkJ0q6Nbc6/bYnSnoztzr7tidK+je3Ov+2L0oKMHc6+HYvSoo0dzr8dddBJ/dPtXp9Pvvtu9SM3vTikvXjL9+gfQv75samQF6sYnNLqkJ1FWkqxkWWllpZOVXlYGWRlfqVzf3003F3/i9AV4e391ezH9fbm5+7Sr7s6mcsP8xtAaDY8PqLOPxsBsDTycPnT2JWNgaw1snXfYOgd21sDueJyUg3or6HAutUbQYD0F8ymyKTXMv9VyPRn1bNRbo94Z9d6oD0Z95OMpiof68SPj6Wl2/ACZ50OwWboCh3NzwZL53GxSK5ad3sR+8dlmMzXTz7+92wFD1bq2cl23Sl3dOtQN7CsH9rUDh8qBQ+3AcZXGunX7x2ZzsvbNru4LePgIfrCV2vXIORbw+H8gN9UxD592gWDE/EKL57u5QJr2hhRbj+B6Bten4Pr84mmqRmeE0RlRdEYUnRFFZ0TRGUF0RhSdEUVnRNEZUXRGFJ3hQWe40BkedIYHneFBZ3jQGR50hged4UFneNAZHnSGC52xAJ3hQmf40Bk+dIYLneFCZ7jQGS50hgud4UJnuNAZLnSGC50h0BkKnaHQGQKdIdAZAp0h0BkCnSHQGQKdIdAZAp2h0RkmOqOAzrDRGWV0RgGdYaMzyugMjc4w0RlFdIZGZ5jojCI6Q6MzTHRGEZ2h0RkmOqOIztDoDBOdUURnaHSGic4oojM0OsNEZxTRGRqdYaIziugMjc4w0RlFdMZydEYdOkOiMyQ6Q6MzNDpDojMkOkOiMyQ6Q6IzJDpDojMkOkOiMyx0hoXOMDDZ2ZeNgdkaeMRkZ19rDGytgS/QGU50hoXOKKMzjaDeCuqPh0c5aLCegid0hoHOMNAZBjrDQGcY6AwDnWGgMwx05mJ05nJ0Zh06sxKdWYnOrERn1qIzK9GZtejMSnRmLTqzEp0ZQGcG0ZlRdOb/g86MozPj6MwgOjOIzgyiM4PozCA6M4rODKMzo+jMKDozis6MojOD6MwoOjOKzoyiM6PozCg604POdKEzPehMDzrTg870oDM96EwPOtODzvSgMz3oTBc6cwE604XO9KEzfehMFzrThc50oTNd6EwXOtOFznShM13oTBc6U6AzFTpToTMFOlOgMwU6U6AzBTpToDMFOlOgMwU6U6MzTXRmAZ1pozPL6MwCOtNGZ5bRmRqdaaIzi+hMjc400ZlFdKZGZ5rozCI6U6MzTXRmEZ2p0ZkmOrOIztToTBOdWURnanSmic4sojM1OtNEZxbRmRqdaaIzi+jM5ejMOnSmRGdKdKZGZ2p0pkRnSnSmRGdKdKZEZ0p0pkRnSnSmRGda6EwLnWlgsrNvMAZma+ARk519ozGwtQa2z5Xb6OuMgfMrUWc0PP7NN8bEFzpNS6dp6LTxkz0ptjFxsCYezrXeCHribhrcTYO7aXA3De6mwd00uJsGd9Pg7rSYu9Ny7k513J0quTtVcneq5O5Uwd3/AWwgroQ=###3308:XlxV32DM 3ffc cd4eNrtWm1v4kgS/jsJ1s3RLzbG7EZxwCTsEGCxSSZarVoEyO5oM0mUkLtZyfJv336128aNPcF3nxgpxK566umq6urqIpqX1be339Dvn15W3/59ORkQ1CHP5OX98W1D/vt1vf2TvG7++A2A38lyvSZtMl1EP3XOkNN7+YgdQPZHDN0PLuh+dMHuGep+zI6Et220ztleM309ArL6m629OcweYHwYAXlZrv4iELc/TPP9+fUnfAbAgRQ2pShmc/X87WX5SugzWT2/P225Wco53ry9RX8unwikLzSY9tlh9uBAe3igPTrQHu9U0w/YP75vWQIfDiQAB7oADo0BHkqADiXAh2wjJVh/PcyB9VdwKAE8lAAdSoAPJXAgLBJQq8xeNAyIKkGAoqqpQB0QrANCdUC4DsiuA3LqgDp1QG4dULcy5aKPwG4dFBlNbki7ipHdLpQRV6NATRg4q7NmPRSshUK1ULgWyq6FcmqhOrVQbi0UnWbyE9x88/b+yG8Vp0wOjApgYDLJoUGODHJskNsGuWOQdwxy1yCnGXJz8jSBLEkPRpUY8gDoGhCs7ttmnTBHYLUH8ro1s4M97ECyYzM74OymwIE5cMUNwb0ZsYcamqlhmpR7M2IPNTJTozQj92bEHmpspsZpJdybEXuobTO1XZlrey+1Y6Z2KnPt7KXumKk7lbnu7KV2zdRuZa7dvdRdM3W3MtddRo0Mh46PTvk3nw1SdHZC+IdN6LdgADrlZqRt1ABT4AS0zSrzStCoQUYNNmpso8YxajpGjWvUdEs0z+9b9hUZ5Afgwhdo1pv2A+jEYFcAVBXtJ8JVRDg9n/uJ7CoiOz0y+4mcKiInPdb7iTpVRKo/2BVEbtVu0NO83gegu7Bfjyr0uEJvV+idCn2nQu9W6Lt273VNNk8r8UtWTO7NUW+vb9uX5+0m90aGhfdL3HtbPf7V5Z9kdLGggPSR0J9L0NYE66+vm9U2J5K1tiOCuyLX7b3Rr5/b5ZNDl33bPHb5J0cwtHrWlklFYhnq3Ha53ZBheE2GwzXtZAXBv0ZPAJbI6CSYF5YTwiIhLCGEZYSwnBAVCVEJIZeVCWGZEJcJbTrD7wrZ31aLjiK1Y+Vy6Pa2zy+Pm/9sHmn+1SP5tnz59PTHN7f3feW8PX6HNqD3Ytwffx7ckMHoZjQIPPip7VHBaCIF5OKOQG/oj8OAydlfKGdXfhiQ8Go0jLzJdMLlZBgEgwu//9kDX7xBEH4Obok/+GURRp7tDYYhGc6DXxfBpH9Hrqd0mfH01huMx6XiMBQvnHuwiO5I/64/Dkh/Op8H/Wg0nXjRfBF4YeTPo8WM3PqjSHp4E8zvyNXo8iojFpqYRTn8oqJEnni9Xoyj0Wx850FbRj0L5qPpwHPRJ5T+8/SI2/cY9eKBH/nkdjSIrojvIcfT3i/4+9Qn8+DSa9OnC/kUTMg8jOb+NTXhAWSCCyFgjzz0cDDzqCq6m9Hnu0mfvZAZ9Ww+ounwvX6Ql1wwyS19DLg9RcwDf0CGI4rS5ReaPI5Hk1E0I+221z7wnyeZQGNMsDEm1AxTY2lqLEuNJanBHOGmiOymiJymiDpNEblNEXWbIvKbIrpoiqjfFNGgKaKgKaJhQ0SgqX4EmupHoKl+BJrqR6CpfgSa6kegqX4EmupHoKl+BJrqR6CpfgSa6kegqX4EmupHoKl+BJrqR3LTxItMvBfOb/xxqhJvUnePYWGM7uan6O6hQ3T0Pxuixctxij5O0ccp+jhFH6fo4xR9nKKPU/Rxij5O0f/vKRqu6RAt/qYNvPQv9jM/DMUwPGL/LSyYR+qv4LCr8HkYB1HsbBGFCosY93x0E/hRNL+YfvFoawrHwS39mNKPRTgLJgMPhZEfUfSU/p4M/PnAG9/0r6chtIHbi0fDIZ+/h0PqNw/qVw9Q6nYvHvtR/4pM54TqUrX81eYDO2ELez57pJ4/1DcRFlWLgB9fBOwsAu578XQR7YQ55XFC0IsrA2vvwchIlj2aYPvnk5PEB60TH9IfRH/slo9P6T/rhIkTH1r0A7EP20qE6jRG3byxzfRYIH2om9tUizm1kJ8WF07YyglbmjFQg8yarc2WpgRCfBpDnBlD4S3icE7CPEQMBtY6jBoLBCNCRZosaOtEuKECjVm1ZTAfCAQLJIadTIW5q9IRLNIhBQz5kEeKJVoJz4cwkE7I1PEDpVmIJMJzzqdWkPYx3GRYW7FDsYcWw50wGQNbIiZWwSUGSZmFTIOeLVvyIwWmgaqkIx1GTVkGYgClVNuAGHQ1odp8scmagUwK9SFW+ykIYrBK31mpWIWUZ+hzypm9crJY7QcrPxZmDOxUImuYJzeWW9xWDyCW9eDD9MmOIffXoWH74JwZ8gSe05VEYTk8hWgfiEqcrN44iuusVCeTy1W4xU6JRcUxtpWUnyVWJQnnVGUtiOV5ytR5LeVpF3iQWEMs5uTbQYmW1dWmSJGPoMBQULJsF3zgB5whucscJk5xKsvFlodn0RVs0i3hPqOSJXluVCfi1pn7fCuKkSaysjUXeVnSVii6luxmKlkxXpYSnPMlpb9iAzMZUl4rMqGhZJ0yMvtcNrSMKxUVqYTiNLbLNiDtjzwHkiGvTWRHSrdDdRNDpJmRaLWZmez2lr5JIu+wpEgTsTVWvokkuaTLNMr7I3adIgXOrr5EjwGLBVJykTfOUqw7zE9WSx2LU0sVAtbvzyxUnph1zhEVgXZQVFVYhaIQEaNUJSv5ocBnCc9oQ7TygSmfC5oYgTyDbckochexpRRlZz5RTqZF1kpPEZBzANQPRoyhTiBC29nanbB1H1hvXZeSKKeTHa9ziyapQSKzpheS2rMYawlmPgmncOqU7GMtbbcMENlZYtTJM7KeKJqqVWhcXJwo+Wns3Octc3OFssX6Vth61cKsamUjzxVsoVa1+y3Jjmgr3x21+y0FJbsoMTamqFwjaJX3AbVtcmRwftbSqqxiW9OJuLU60JfKtZUdZFrmWnnlrliW5sKs7GhsCZtEihNz2V2j8SRpW7HSA8KnaKfUSqyoLaemMb1n5vKMyzNg6cdRz7N+mrDMDffXOtF7WVYeqm/kMqPfCGqA1DBlO79DVdZzsmpMt1YW5Ek5kVUgKsEYd1WOogoji03lR7zHm+yVbbamtvJqfvyzg+I7vDhYn+BjGTptyXk1l7BylGwhHWcXtnuxqUOoX2l8ZG/pX7ayi4xVkxo79Css0a6bZdnCu1/k9NOcfYd7KDPW7VTHVNd2WdL05iobaqlTpXnYiclsnOxa7xhDt/cPqXxJ8w==###5016:XlxV32DM 3fff 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###4116:XlxV32DM 3fff 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###4340:XlxV32DM 3fff 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###5000:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 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###4480:XlxV32DM 3fff 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###4848:XlxV32DM 3fff 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###4904:XlxV32DM 3fff 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###4944:XlxV32DM 3fff 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###6980:XlxV32DM 3fff 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###5332:XlxV32DM 3fff 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###2200:XlxV32DM 3fff 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###2072:XlxV32DM 3fff 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###2184:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###2892:XlxV32DM 3fff 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###2608:XlxV32DM 3fff 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###2768:XlxV32DM 3fff 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###2748:XlxV32DM 3fff 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###2060:XlxV32DM 3fff 7f4eNqtW0mW3CAMvRIgydiVc+QA3TUss8uqX+4ewEPhMhjJInkvXXGh/yWhAdtqAJrAgTcGH/RCRzZ8Arwj0Ziu3PGFHqdw7UVECDatnfBJSC8ag+wb4Yl3sEHyRQAm/P8tG9cHdKB0vSxdZpoxXUVufLPWGOty5JKcLcrVJE80TXgu4eVyU5ADcOEqZZrSYhd8rB631U98Ai76UcUL42ZNuLStniWPmmDmMwK3YVNxdXtnIkaS+/fvj3297M3cvn/+uMfz5r6mX3/d43Fzv367x/0G49dPpIavHYVbKchnm+FxQBtM+FRoW71bcdy6MQvh+0E2+6Ygi0k6fgeACpyAQmP4m0JJgZPCcEHCnUaPwzaV03ZFmu2J31kYFDi4FQtIwXsVh1KCPZJdToFjk3+iVRHFkQuR8QgRPVFMB5fQgqJbWq0rPrCSr6dwNUVcTLR5XdzLIOODBgELbGTC8Cd+mywo6z029XZxP5Z9zYpApl/89H3Qfy0irlK2JkEaYxEDGnGWYojRKFCtCZ40m5LeVGk61MEn1EGTakXb1b9aVRJYsOBdZ+Q0TlORlO772jhNs3FC1gpds3G22uy+cZqscZpm4zRZ4zRSe5fGadbG6ULjxKVxjvdd47QOfoKY/WicG0XQIHNNxZG4KUTZ1j1PV39sdDWgtrBohjC7WYR8nXmWz9wim+TMXFQXuXIAV7Rb5SubmY4ccfWy0p7qlRpbs0geA7O8sxl306YMecFIn+dQg5u9of1JIWaWEPtGH2NsAB+ibG8RhIYX02pY7w/Wn+HfsOrggcP60rpCWgw7u3KU4trgf59SCKoakFBj6qIxVTX2Wdk6P6QM+SFljrTtWGIrxxITVrqwFlGIfzgEQVy9sLkztlD+fJAitErG0Gqax67IGD4v9UfDhgIuo/Yla+eyA6UF7f6NYkartnISc2o9axNiM0o3TtfBs5T5lsvZL1q5jKZjNgIr/69UNCOoaFa9b56T88GFExnkeJB/G+nqt5GiCuqYOc+7bdXfKgPDp1ZcZxy7znA5tXtpGf1izzmpo0e6m6i1UcgHSj4SW6iNVhTbqI9VKaPpaCOcMM5VzqktdDCI+Ey3GtdgS1lLHWsNh0+bgzI2fQbK+PQPVr2Iz6rtIwGf12aegGtQWybxJKnZJLb1ywHX4LIdMjyPSQ4fduzrHD5Qn1xk/tT3WIl9Xu1NELAN6m4gsU0fmUbAhh33DRgnFdfl7gHFnFadD17MqT+TkZBTe/cg5dNHjxMyauuadBedOm6kPtWfrKXZYTqeB3lPLIRe/Xjmc8rBfObDPwG65t2Jvrog40md/XjGBAEJ0zVIPkJ8kUmcNr2epNS3lm/T24j5Xv3I7dd1SefkwY74c0d748e3SvEbiOGH0JFprkd7JsKnjCEh5wNCe7zI/l0dyDHNgZzlHU8agagPGo1NnGEb69HhpJPiOmpUeL/FH0iZR0rSDqlwhuTF+f3nWH1feT7CNZzM+51L0mEwwB0ky4ML9vAulis5FOVMU24dKgmVY60eu5imhPBETG+kplh/s0GhVW4/LneCtbyDbA1uXEewbXsaCLuBn6x/vOswNr2K+QBKE8O2R4aqGMw5Aql8UZ+pgVcbfYL2kE4Vr5UvpMbwF62qzZi4rnh6+5CFwdfIXvYYnIz69cPbDapdzF9e7rQqaw894FIOD5whvg41wTZrQrvOuYs62Wpd8V3xJnUGEivC8/mqEoZXY0jq7dWeRJ33Ku/XrmtfUuz3MvtVr2iT2tNjZz8P+ujJ5y6bI+J83UCE21PHVsaNAqzz3bTdkFxPrcoVgb2jKIv/SzpCuUJcwho79KpWZg8dKrlXnusn0ZmgfcYc1Z1lvJptHxh8q2zTS75HTH/g6eLP96ibolhEBkLvSOLjQfNeypeeTmyT8bVnN59PM85/2e9cxpw8d2HIXH6+ACwMnQYMP52cbnTS77WF3zu4wGo6PGsAdf8YmtZjBz0453jbAQNEnVkUD4z+fhoXDXlRVoktMt00au+174ZxNXO8Ktu9+Q8HpIO3###2536:XlxV32DM 3fff 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###3008:XlxV32DM 3fff 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###2576:XlxV32DM 3fff 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###2460:XlxV32DM 3fff 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###2532:XlxV32DM 3fff 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###2984:XlxV32DM 3fff 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###3172:XlxV32DM 3fff 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###2824:XlxV32DM 3fff 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###2732:XlxV32DM 3fff a94eNqtW9GSpSgM/SUhINr7HfsB3ep9nLd9ujX/vlxRCZgQwK6pmuq2IYaT5CQEtHYyi32ZxbyMM7MB87LWGhiHwf+2WWNfdgIN2s7+/8k/pUbGZ585L6PBAlRISEdTz41/Dv4vNuiZSUJ/YWd//mZADcPfv3/0sE5fwxe8/+h1+9LG/vOfXtcv/c+/el2+xvkNAN+39w3+fQDzMNjJ//OS2TcHRPKVX/PJkZTejlh1lCKt2l2rNueqZ79qG1b9GpJFK72+YfarpjXezAYepw9+xLvq1pqOpp6P7HqduF4TdAtrf4Db4cn+ZwD9QI76zD495RZHcVW5L6jsabo+Hp195VYJPhmkt2gSLK/3t/f4xo5D8L5vFHM68b55enuHjSG37i9RMPuX2N0M/olRyfJWcnkmURNLoMduXvHP8gZieYGOwgi7j9isXxA72lwjwug7GJyD3wiOnnu4k7LTCekPghRSSL9rINUVkLoGSN0BmCE8RkWQ9hG7x1h3QKo5SI8R+Rujf8EDUPfZZ5RGWBecHTpgBRHW3IdKsIaxp6dq0VM18lQteioNq2bppgbWffYBK0RYVwSr7YDVVMAKDbACghVEWAHBCiKswMA6PYJ1umA1EdYNwTomsP4MbxhZWOExrwLLq5bhVXXNsxW8qihevShDiZRBpyRgC4QaI4TZS8rDL2QCl5hg0m+fuyUT9PMwFHlYl2C6PLtU1fXCdFR5Ca9uA4Jp6vDUfl4FlletWQreBNeIANMm+d7lqZ8RzoxG+fKIH41HUEW8fmCCg/YSDt6UN4EJJlBrWoW9xjdMxBbg2ECR9Hmip9nIPdGj8wyk9szm0pZGc0M9lI9FUqwoZZ8dMpWQ85ZbCloqUhDS5OQl/xyYCjFn2rDNtXvleJZNgDiQr0T5dGcCdsEfNArJuYO5+nMydOZkk+VkolTeYekutINpkhy7QQwbbdOt8/rzBpeEjedf/64RlW0loykYd83mBgdJ14YkiPWuiYUZqFvYYM2lsJmvsBlLGp31ihA2c4YJiSCnB40m+Q7kW8GyBlnWrIllFx2SEk1sdV0c84DgzEVw9hHBuctSRW1uXa21oqsVtdiKRde981BGEiXaYCeL7fSd2mm82ylE+8B4AedHNDY10TBdGPPF5VARnzOKz7EkqSuu8IrKiXlfUQOiqBAI9hpRoTFtaaFh7oUG0guV+nBIpTYG+3uPEcfG4BgNnObHCM+vvuTi+GSsXvdRAhU3L9mK2MjgPaal7Oj3X5oj2v13RP57cazDseuqYteQzDALeIdZEt4cm5oKTt5nH+vjinNT1XnKI70eAYm9brrUc0Ww14RiN+PazeTVzvkeS0aIRtxQsNgZl011TwvaDqFtHtk/3S6QVrtYqTYXCghePKhYDM6mhPF6WzuJbHHx9OdMaAy2TiNTq+/3x7Gy0jYU7+po/Juzg3McE/C77/OYgO/g+JRzjsYdHP+zu2TTZSa0dm3ITY2pKKaCnFWQM/+KnHT3XyeHbn2WTyWCjHzmUS53zbQdM0dke3d1BuvfmZ++bMxmK4zmO5d4Hm3dp31Ts5dW9bq6PNZ6V7bL4GJUNb3FEfF/yA608h1pJU0gerAfWpkIWjGlbiZDGXfj5226XsrIOpjdlGFQl/cJZdTIqaGMXE6ZMupO/BPKaLorQFFG7cyRShdiJ5sO5t4OfBoKij0xr/DwI4SUGJ5KjAdKJ0q2iwimOyMhRQcPcaedmZ0Xr7s7EQpk8RPJYumrQTQSq0WVS6NdrFji6AsOeEAoWT++m1DwOfMTQqmRU0MouZwyoejCSUe5BmmbaTtm3gkFmt6Zn6vTp/AlKtBJ7tUibfWeiKU1iKyru8Va58oOItIiUXToFGUHWlkiraQNKLUtH1px1TWILpz+U8bPR/dSRnYW0U0ZQc4qyJl/RU4NZeRyypRROuUp1yBtM23HO+/bFl1xJkUHc+9ZWhoKgGoQaAhPQCEk53E5HiidKNnTLeEC2vTrKu84k/nVcVxj6Kvspuun+0zHPq7fIqXwWkA2mrvR3B396ZW/B02La8tK9D7P1Qy/1LSAX2haQNP9cLolcG5MF/EqEBPP8WSBucrJMEjRI6AhJlUSy3IxoG69P3ofU967xXS6FHYYlogUI5bT5iynyY4wG4X7TF0qEbMyQOMyYENcoLNehOJ6EWl08zdVqaalRvylRJ0BYa1FP5Ysc6KHriwV96M2y5alDEDoXcgAuI0URu9Xne5nzNRuvNKTx4ZYGsW8aMXKAZjbBjj/q8I9ZRojjEttx+Tmn019jxqWrus3Dd1yWrPh7a72g3w4nxrFiuGFWMJku4VvvmKA1GfYOz53ligdhDjmIERXsoQcmyqvoKojYxBaySlLDIiv5C4cVMb93BD3s3A8dV8d5cNObBWULtLL1qptMtw8Trw22hr3dW2hoVtOa9zfPiZ40Il3p0ZX3L8GFPdjfXWgMvY9axQl1j9SvzSNHymDE5oUayuN/C6PzXJkKKFHTehd6FGn1UF+WVrYJ1exRFtzSjdVSqrwxWZ5N1H6LoTGqHxFfCweuS9iL6OXJeo6QUO3nHaWyL6NedBeP1kifjn7UvGa9Fz96aK56oz+o+KZ/8Sm8CkCvimVRtfAjsYj6j9dNBW3jqlPF1/o4vlP9bdgEdH+87qZO4GzUPhiZm+YHyPkT0HSgwvV+OWiqbrJTX25+IKI6lqP6v/8BeX6###2924:XlxV32DM 3fff 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###3360:XlxV32DM 3fff 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###2120:XlxV32DM 15f2 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 \ No newline at end of file diff --git a/toplevel.ngd b/toplevel.ngd index 395a4a5..028c5bf 100644 --- a/toplevel.ngd +++ b/toplevel.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$6545=6:2.Yi{g|inl9$4(5=<*/>6#>1:26?56789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456789:;<=>>1193456789:38???01224>6789:;<5>?012345><89:;4=>?4:234=7739::<<>>043650729;1;=95?1127?5478=1;4=>;;1:BA1=7182:<6>69123456789:;<=??;1;:456789:;<=76009255=699:;<=>>0123456338;:858538?:86?9:HLSQQaoi48?1<3?:;049KPRW]]0OCLJ@<0794;7238<1CXZ_UU8GKGBH48?1<3?;;049KPRW]]0ocxz31483:46<9K;:=0:016547:98;>=:<6<=F301B7@ANO89J??;;38JJUSS2MC[MIG_<083:42<:3CE\XZ5DHRA@LV;93:5=>5=:HLSQQ11491>JSSX\^1HB^MDNR?5?69029HONONA@33?16ANOL?<=>MFCD34==399:?<<>>0:670123<9L?J9H;F136?108K:;1197B12ANOL?J9:;F561?02682?>4O;:8976<=3201;0:?59A595EDG?3?KJMLONA0282DGFIHKJMLONA@CBa>0tdq8>jyi=57/0<>12=<>>98<47G33?2@ANOLMJKHIFGDEB4=?:22;==570123456789:;<=>;;9075a=?;'98?>=<321055=?0123H5;789:;<=36<221CXZ_UU8PPDRR[5=1<3?4959:45?530?974O;;8CBE4=F:2K9>6O72:C:0>G>1020MLO6A8C:55=FIHKJMLONA@CBED>?3HKJNLON8028EDCFIHKJM=KNA1CBf>GCL[K\^LJKRd9B@ATF_[KOH_2?>g9B@ATF_[KOH_2>0?d8EABUI^XJHI\310<25>GCL[K\^LJKR=31>58a3HNO^LY]AEFQ8449m2KOH_OXR@FGV979m2KOH_OXR@FGV949m2KOH_OXR@FGV959m2KOH_OXR@FGV929m2KOH_OXR@FGV939m2KOH_OXR@FGV909m2KOH_OXR@FGV919m2KOH_OXR@FGV9>9m2KOH_OXR@FGV9?9j2KOH_L]D@FGV`=FLMXI^IOKDS>3:c=FLMXI^IOKDS>24;`5i6OKDS@Q@DBCZ5?5i6OKDS@Q@DBCZ5<5i6OKDS@Q@DBCZ5=5i6OKDS@Q@DBCZ525i6OKDS@Q@DBCZ535i6OKD^EOKGGKWYCE?6OKR028E@GFIHKJMHON0@2B55=FMHOJI=MN2@0B65643HFG56O\YOA\V@A53K;97O<>0:@AFGDEJO2345678118FP@33K_MK<>4BTKO@ZRFZNO_M_MG8:@VWZOINF;0O<>4C1634F63;9;;<>>>2:A2f>EFXHIBXL@LE99@G6EFIHK:<6MLCB1@GFGFIHKJML??;BA@GFED1JIH5NML939@A440OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7=2IGGL?8;BNHE4B5?2IGGL?K469@HNG6L130OAENWSAOO0=DDBH:56MCKCPGGIM23JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIB49@HN@_02IGGKV>81a8GIMAPVNBZDJJe:AOOC^XE\F_E]BV5:AOOLH692IGGD@PDHTJ@@YEQV8h7NBDIO]SAWOHL880OAE@UU]SLDUBWZBBJYm4CMI\B@CCJHI@?6MCR89@KHKN\]OO:6M@NBWQ51=DG[OTKH@JR@VJKKYNFOE<7N\JAUGG7>ESD<1HXAI@7:AWHHICM>1HXAA\C058GQJH[J8<7NZCSDN33>ESDZOG=:5LTMQFH77l;ECWEZQNL]BTMn5KAUC\SLBS@VH=7IMB_RRg?ACTCL]TMIDZSU31?A@TWOXN]XKACX]NKAC53MC>7IGNDH:8@LGCA5:556JFAEK?558>3MCJHD2>1?;8@LGCA5;9245KI@FJ845912NBMIG31519:FJEAO;9<437IGNDH>2:==CAHNB0?07;EKB@L:4611OELJF<5<;?AOFL@6>255KI@FJ838?3MCJHD28>99GMDBN41437IGNDH>::0=CAKNB46JFBEK?4;?89GMGBN48;556JFBEK?578>3MCIHD2>3?;8@LDCA5;?2o5KICFJ843=8730HDLKI=36:==CAKNB0<07;EKA@L:5611OEOJF<2<;?AOEL@6?255KICFJ808?3MCIHD29>99GMGBN4>437IGMDH>;:==CAKNB0408;EKSEAOWi2NB\LJFP=2=g>BNXHNB\1?50?c8@LVFL@Z7=394DHRA@LVf3MC[NIG_<1<`?AOWJMC[0<4?>`9GMUDCAY6:2<<4DMN\BWCV]LDHURC@DD78@JGCG11OCLJ@<1<:?AIFLF6:<374DNCGK976601OCLJ@<00==>BHIME7=>06;EMB@J:6<7h0HBOKO=36>58>3MEJHB2>5?:8@JGCG5;546J@AEM?6;>BHIME7:364DNCGK91902NDMIA38?:8@JGCG535;6J@A^PFC0=CGKND46J@BEM?4;?89GKGBH48;556J@BEM?578>3MEIHB2>3?;8@JDCG5;?2o5KOCFL843=8730HBLKO=36:==CGKND0<07;EMA@J:5611OCOJ@<2<;?AIELF6?255KOCFL808?3MEIHB29>99GKGBH4>437IAMDN>;:==CGKND0408;EMA[WC@12NDBYQLASG4?AIWIME[m6J@P@FLT969k2ND\LJ@P=394;g>d9GVFYT]JIN_^HZL49GWVCI02NX_RC@DD36?ASSQVIROAKPCNPQAFRNGG;0I?5J499FB@C3==><7H@NWSGM3>CIJ[NNBo5JN^PPPWGJWHh0ICQ]SUPBIZD?3LR[MCJJD038A]VFFMOOSOMK_GKOA425IDB68BAEB;2LOI>5IDQ68BAVB=2LO\R?<;GFQ0>@CZL>0JI]J4:DG[4>>0021?C@682LM8=:?FG@E4567JO>0JKH7119EBC@5I;89M?<=A3024>@ANO8MJ?789;;7KHIFGDEBDGFIH2JM<>4FGDEBC@ANOLMMLO78:DJH@HFELn0JDBJN@OF[WIH\01M^HKUEEDP=>@UMLPNHAB7;GPLIZSDN81L?6IAD39K57=O:81B>6G?2:K26>O5:2C8>6G;2:K60>OE]O?0EO[IG028MGSAWMOGMTQYAMWFg>OE]OUGCZQ_WS08MK5<9:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L=7D@FT^C5?LHN\VH27D@FT^DJH@7e3@DXI_ZPLQ]GBVYUMZO_SNG]CTKW7>OI^l1BBR@HD^CM@ZDDL:1BCO84INALIU0JR\??0A^I@N29NQ]3Kfbfx]i}foo01?Heh}g~ToaeP1ljy[fhsWqey0=0>1^KMRZ66k2Ghcx`{_bnh[4korViexRv`r=3=547d3DidyczPcmi\5hn}WjdSua}<3<254e032g>Kdg|dSnbd_0ok~Zei|Vrd~1;1103:?Heh}g~Teibj_bmvjqcuWjs7<3?n;LalqkrXamfnSnaznugq[f;994:h6Clotlw[lbkmVidyczjr^az8469W{~:m6Clotlw[lbkmVidyczjr^az84799m1Fob{at^kgh`Ydg|diQly=32:Zts9h1Fob{at^kgh`Ydg|diQly=31:4b2?]qp4g3?3g?Heh}g~Teibj_bmvjqcuWjs7=>0Pru3b?Heh}g~Teibj_bmvjqcuWjs7=90>d:O`kphsW`ngiRm`uovfvZe~48>5Sz>a:O`kphsW`ngiRm`uovfvZe~48?5=i5BcnwmpZocdlUhcx`{es]`}9726Vx=l5BcnwmpZocdlUhcx`{es]`}97168n0Anaznu]j`icXkfexh|Pcx>22;Yu|830Anaznu]j`icXkfexh|Pcx>2:4e>^pw5<=JkfexRgkld]`kphsm{Uhu1<11b9Ngjsi|Vco`hQlotlwawYdq585Sz>9:O`kphsW`ngiRm`uovfvZe~4:4:o6Clotlw[lbkmVidyczjr^az868Xz};27@m`uov\majbWje~byk}_b{?0;7d3DidyczPienf[firf}oySnw34?]qp4?0a8Ifirf}UbhakPcnwmp`tXkp6>2R|{189Ngjsi|Vco`hQlotlwawYdq5<5=n5BcnwmpZocdlUhcx`{es]`}909W{~:56Clotlw[lbkmVidyczjr^az8286k2Ghcx`{_hfoaZeh}g~n~Rmv<6<\vq7>3DidyczPienf[firf}oySnw38?3`?Heh}g~Teibj_bmvjqcuWjs743Q}t0;8Ifirf}UbhakPcnwmp`tXkp6226Vx=l5BcnwmpZocdlUhcx`{es]oqq:76;:0Anaznu]j`icXkfexh|Pltv?4;YNF_U;=l5BcnwmpZocdlUhcx`{es]{kw:768h0Anaznu]j`icXkfexh|Pxnp?5586j2Ghcx`{_hfoaZeh}g~n~Rv`r=32:4dKdg|dSdjce^alqkrbzVrd~1?<>0`8Ifirf}UbhakPcnwmp`tXpfx7=90>b:O`kphsW`ngiRm`uovfvZ~hz5;>20c8Ifirf}UbhakPcnwmp`tXpfx783?n;LalqkrXamfnSnaznugq[}iu4<4:m6Clotlw[lbkmVidyczjr^zlv9099h1Fob{at^kgh`Ydg|diQwos>4:4g0B<>?4:L2442229M515H5>:1E>5<4N218J6243G9>?6@<629M725H38:1E8<=4N500?K24;2D?8>5A4418J1043G><;O727>H2::1E9>=4N460?K32;2D>:>5A5618J0>43G?2>6@93:L546=I>890B;<<;O407>H1<:1E:8=4N740?K0?;2D<>>5A7608J=590B57<;O;27>H>;:1E58=4N850?K??;2D2545AB^@VWLB_i2DISO[\N@OF3>HB\^EYG95AOOG2?J>5@ND18KPR63Y90\LJ;;QCQP00=<.223456789*{_-FFGI"64+6789:;<=>/%!KMTPRXB@^_I_.>0/23456789:+|^=n;QGQMJB'*jf`S?0123$uU'@@MC,8>!012345678)/886^JRHMG$'uddb(+=?;?01,3456789:;,}]/LNU#15(789:;<=>? $6f?UCUAFN1oaeP112nlYnWjf`ju4[S^aooZ75eap$4#=<3210765=@@MC68>*:HLSQQYMA]^N^7??:qQ03>VBZ@EO6nbd_00nl4S;JJCM<28,0BB][[_KKWP@T=990{_?=4PDPJKAVBZ@EO6~mck;3115672yY1@BY551'a?UNF[LUXDDH[a:RJJZDR[@NSn6^FN^@VWKGJMk1[ECQFSBNLGN?T>3[KFN5LXES:8VDKXEFNNm6\JAE]EMWUS>2XNKNKNb:PFCFCEZLMHIh5]EQNBG@YWI[OEX<>4RDPFWJJQMVZJ^LC\3:PLI6=U[]>0^^ZN7:PPPGTT\>1Y_YZVPDg8VVRXX[CD^DZV_@g8VVRXX[CD^DZV_C;8V`urd}6;2h5]erwop9699VLYNh5]erwop9776VLYNh5]erwop9766VLYNh5]erwop9756VLYNh5]erwop9746VLYNh5]erwop9736VLYNh5]erwop9726VLYNh5]erwop9716VLYNh5]erwop9706VLYN45]erwop979m2Xnxb{<0<2[CTE12Xnxb{<33?PFS@:?Wct}e~7?3k4Rdqvhq:468UM^O74Rdqvhq:36l1Yi~{ct=6=5Z@UJ01Yi~{ct=7=a>Tb{|f080>_GPA`>Tb{|f0;0PFS@g?Wct}e~7;3QIRCf8V`urd}632RH]Be9Qavsk|535SK\M1:Q4?VEFFF__?6]KP29PAI5<[L^37^K[RDQFP6=TNO;:7^F]EF]F\QTFK]UEKNk4SIPFCZKNFVYBVH?<;RKN[FIKD@YBCCQLHDAHe>ULM^IGGD@>a:QHAREKC@D9:6]DEVKM3>ULM^E^X:5\KDUQWQ><[BC[S]GA4:QQWQ1<[[\J@RO8;RPUEIYE<2YXIYm4SUCQPPVX_HC_:6][AUWP=>USI]_X0=06;RVBPPU;9730_YO[UR>1:<=T\H^^_1=19:QWEQST4=427^ZNTTQ?1;?<[]K_Y^29>c9PPDRR[5=1<374SUCWQV:0611XX^OKRR2;?VRTIMXX=55\TRCGVV4?3Z^XMI\\399PPVGCZZ>?7^WAC008W\USMDUXUCMGRNLLQV7<\:1_H]64TDPNMKGK>2^BIBHI8:VJI@UWMJ=0XCCPNDV0?QTN02^YE^ZNTD68PWSBj2^XSYGBERRFG3=S[V^EA<;4TR]`hnY689gcvRgPcmie|f=S[ViggR?=mixa?QUXkeaT=`fu7:VP[vekc=1_U]K85:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!Bmtz\cdc)ofcekRojmqvz[UQUW:9TcRCZX^;\k470=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3Tc<<85:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!Bmtz\cdc)ofcekRojmqvz[UQUW:9TcRCZX^;\k4>012_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3Tc<6PSV24=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-Nip~Xoho%kbgag^cfiur~WY]YS>=Po^OV\Z?Xg82T_Z?8d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!Bmtz\cdc)ofcekRojmqvz[UQUW:9TcRCZX^;\k4>X[^;T_Z>80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!Bmtz\cjoioVof|ywPPVP\76YhWD_SS5Q`1353?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.Onq}Y`g`dlShctx]SSWY4;VeTAXVP8^m2722<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV98SbQBUY];[j74WZ];;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$A`{w_fmjjbYbey~rS]Y]_21\kZKRPV2Tc<:80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!Bmtz\cjoioVof|ywPPVP\76YhWD_SS5Q`1453?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.Onq}Y`g`dlShctx]SSWY4;VeTAXVP8^m2226<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmdeciPelrw}ZVPZV98SbQBUY];[j70>l1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(oho%kbgag^cfiur~WY]YS>=Po^OV\Z?XgS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-qehYbey~rSd:i;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vdkXzmUnbRg>529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*tcWyd~R~cur3?4;3b3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~iQnup\tist:5:5Sd`y1^KMRZ62;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#jPpovq[ujr{;6:2:>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'Dg~tRil1/alqkr\;TULBIQ>81]l[hsW;UDYY=>719V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$A`{w_fa2*firf}Q8QRIAD^3;4ZiXe|rT>RAZT5361>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphs4;4>96[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{<2<5g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphsS:WTKCJP192\kZkrpV8TCXZ32?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkr\;TULBIQ>81]l[hsW;UDYY2<>468Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjqY5==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexR=:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo< lotlw[a7;97?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczPd0>1:0><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}Uo=1=1569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb6W8?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczPd0]112=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn:S>;7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh=#m`uov\`7:76<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byQk2=3=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn90?0:8:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo< lotlw[a4;;7?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczPd3]312=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn9S<;8;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh=#m`uov\`7Y5=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj=_255?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}Y`io$lcd`h_`dvhiY@FMU:45Q`_LW[[44Xg8;<:6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/fupw+qt|z%FaxvPg`d-cjoioVkmyabPGOF\5=>XgVG^TR?=_n3133=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,IhsWnkm"jafnf]bbpjkWNDOS<67_n]NQ]Y6:Ve:4:o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#@czx^ebb+ahagmTmk{cl^EM@Z7?0VeTAXVP13]l5=YT_9=n7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&GfyuQhag,dklh`Whl~`aQHNE]2<=YhWD_SS<XgVG^TR?=_n3;[VQ6?=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(EdsSob_gwohZehekULBIQ>89]l[HS_W8;Tc<<84:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!Bmtz\vdkXn|fgSnabb^EM@Z7?0VeTAXVP10]l561?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*Kj}qUym`Qiumn\gjkeWNDOS<67_n]NQ]Y69Ve:?R]X0668Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/Lov|ZtfeVl~`aQlol`\CKBX912TcRCZX^32[j70>o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(ohl%kbgag^ceqijXOGNT=56Po^OV\Z75Wf?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRoiumn\m3b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+wgjWog`Rm`mc]DJAY601UdS@[W_03\k0g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+wgjWog`Rhm_h74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.pbiZ`rdeUb8k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.pbiZquWldTe<9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf7)kfexV=R_FLG[4>1WfUfyuQ=_NWW74123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn?!cnwmp^5ZWNDOS<69_n]nq}Y5WF__8<;n;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc0,`kphs4;4>m6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmp959?81^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznuY0YZAILV;3:RaPmtz\6ZIR\585;<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjq]4UVMEHR?76^m\ip~X:VE^X1=1589V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}U9945Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqY4=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]g5979=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]g5949=m1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]g5959=j1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]g5Z72k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f2[73d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k8$hcx`{_e3\70b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3>3:0b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3>2:0b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3>1:0b<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3>0:0e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3]31f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2^36g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexRj=_37`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg4(dg|dSi=4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xjaR|k_dl07>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h r`o\swYbf:l0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tcWyd~1?1_hlu5ZOI^V:?o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"jPrrv\v`a;87>h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:56=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfcZ63j2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\61e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(pzVxxxR|jg=2=0f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(fn}yh}{.fppp+quW{ySkh<0<7f>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hiP05`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?_HLU[55<]JL=7X@ZSDF`?PUBZV\B_DLCE29UGF743_OYURFFGI]EV@WRMGIR56XFEV]W]UC13^OJ[HB6;VGBS@J;87h0[HOXEM>2>58>3^OJ[HB31?48S@DPM\30[HLXET>3:g=PMK]NY1?50?;8S@DPM\6:2o5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML57UA]CX48\VRKAKo0T^ZBABPL[WGJW8;m7U][_@FUEKEBLVGJO_APABAV[HgclVLM;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE:<6VPCNPF[LHAGVFB_Y84Xe`\Mad^ceVGbbb|Yesqjkk5YXWQFEARQP10]\[uej{R:V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;>7;?96QP_YNMIZYX9;UTS}mbsZ3^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ36?371>YXWQFEARQP12]\[uej{R8V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;>7;?96QP_YNMIZYX9=UTS}mbsZ1^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ36?31a>YXWQFEARQP14]\[hcj'zzbS~bnvd-Nip~X{}kiR`jxu3204=XWVRGB@QP_04\[Zkbe&y{eR}cawg,CKBX9=UdS~zntd]qab]4UVozylbP12]l8686;o1TSRVCNL]\[41XWVgna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR2988i7RQPXMLN[ZY60VUTahc re]fjZbhWkgeiQNNE]1=Zi6:k1TSRVCNL]\[4?XWVGhcx`{_bnh[4korViexRv`r=3=541b3VUTTA@B_^]2[ZYR8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Mlw{[be6&je~byU<]^EM@Z7?8VeTaxvP2^MVP174l2UTSUBAM^]\65YXWdof#J@K_01\kZuddbUhcx`{[02^[`wrieU9Sb2>0?3\WR64:2UTSUBAM^]\64YXWdof#iaPv`nj`Zgcl{UccajT7\]BJAY48Ve:8k5P_^ZOJHYXW;8TSR~lmrY3Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZ~hz5?5=<:i;^]\\IHJWVU9?RQPpbop_4[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx793?>4g9\[Z^KFDUTS?:P_^r`iv]5U'zha"Cnde]DJAY4?VeT|xb|e^uj`qnXzlmP=]^cg`Z6XG\^Ttb|35?3260=XWVRGB@QP_34\[Zkbe&GfyuQ}ef]q`Zgcl{<:>85P_^ZOJHYXW;=TSRcjm.Onq}YumnUyhRokds625d=XWVRGB@QP_3:\[ZYi88>:Sd`y13:8[ZY_DGGTSR<6_^]nah)tx`Ux`lxj/rj\j`~s4?489i5P_^ZOJHYXW;UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j r`o\vaYbfVc:>55P_^ZOJHYXW::TSRcjm.qsmZukio$eQaeyv?0;5502UTSUBAM^]\74YXWdof#~~f_rnbr`)t`Vdnty2=>20f?ZYXPEDFSRQ<2^]\i`k({ycTaoye.Onq}Yt`Vdnty<;1031a>YXWQFEARQP32]\[hcj'zzbS~bnvd-Nip~X{ycTad}`_n326d=XWVRGB@QP_7]\[Heh}g~ToaeP1ljy[fhsWqey0>0>10;8[ZY_DGGTSR9P_^]m4451W`d}=<74_^][HKKXWV2TSRQa0000[lhq9:n0SRQWLOO\[Z?XWVgna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR49h1Tb=>77^kmrg=Xf9:3;Rgav0f8[k670>Ubb{?P1`9\j5768Vcezo5Pn1324Zoi~8k0Sc>>22]jjsd>Qfnw3b?Zh79:_hlu5f=fddexxRkbpu{a?djjgz~Tjxbc3:aood=ddbU:<=cgz0da?fjlW8:;aet#cmi\sl|X~:U9 vm`mqmmakrXfhgn1nbd_023im|+}zoT|eo|e^combhjmg~6x{j,tqf[hoiWfUaeyzjr=<32.`hnoi:Vzn~dak=91-7654;:98?>"zsd]skrcuWme|b0hnlrg/qvcXzlynx0z}ud.vw`Ykgjanh0z}ud.vw`Ynfjf`S~z`pqgg9cgk{l&~hQ|t`vvw8`fdzo'y~kPfsgf~`;aieyn x}j_bnh[sgkam7mma}j,ggf`ggdcV~r|h3\IOEOA)ekgjaTaj|Ptxrf9DSSG%gjbxoc_ntfvwocm4lj`~kr109`hnY689gcvRg cmi350=ddbU:<=cgz^k,gimaWkm=?5llj]245korVc$oaeib008gimX99:fdwQf/bnhb}743jf`S<>?mix\m*ekc`d:=:5llj]245korVc$oaefn0]aqc7?3jf`S<>?mix\m*ekcf=Rlzf0:8gimX99:fdwQf/ean[vvXagy=?5llj]245korVcToaeix89`hnY6:dbq46mck^3nlbmix\gkr;<7l0oaeP1ljy[fhs4<4n7nbd_0ok~Zei|V:n7nbd_0ok~Zei|V;n7nbd_0ok~Zei|V8n7nbd_0ok~Zei|V9n7nbd_0ok~Zei|V>n7nbd_0ok~Zei|V?m7nbd_0ok~Ztt|ye?6jkm79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;?69gmk:6=7<0hd`31?48`lh;:7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?2l5kotv?50<7611ocxz314<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=1>cjx}s<7ko|tbnh0>`nnf;;4k5iigm/bl`hWnoeio{os]u#c^cstiodi}cdbRzvpd]b|lu:8%iTm}~cibcwmjhX|pznSolh<2/gZgwxechmyg`n^vzt`Yuljb6<#c^c{mZgu}zoySzgkti?2(fYfp`Uj{x}jr^uj`qn:9%iTmugPbtqfvZqnl}b6=!mPayk\`drfW~coxe394-a\e}oXamU|eizg=5.`[d~nW{xiQxievk94*dWhrbSywe<2/gZgaV}~h|Pwhfwl87+kVkse~Q{d`vb[roc|a7=8!mPaykp[qbb{}U|eizg=5.`[d~n{V~bhRyfduj><)eXiqcxSyejeq]tmaro5=&hSlvfs^vppwdX`nd0:#c^c{mvYs}zoySzgkti?7(fYfp`yTxt~j=1.`[fijefdToaalk<3/gZeh}g~Txt~j=1.`[agsiVidyczPwhfwl82+kVnnjl{ct^tbhpc:Jekeg^z}ioe/gZbnfV}bhyf217.`[aoiW~coxeQnxhq>5)eXl`dT{dj{h^pbgm;4:%iThd`PwhfwlZtcka7=8!mPdhl\slbs`V}joe3<2-a\`lhX`ndRykci?50)eXl`dT{dj{h^uqgm;5$jUocxzPrrv\rdj:8%iThb{{_vkgpm;6>%iTicomld]qhjet59&hSh`nbmg\vvrX{pdh1<"l_dpqkwYnfcohxdaa_u{sa86+kVoy~b|PiohfgqohfV~r|hQnxhq>4)eXm{xd~RgajdawmjhX|pznSolh<2/gZcuzfxTecdjcukljZr~xlUyhnf20-a\awthzVcefhm{inl\p|vbW~khd0>#c^gqvjtXag`noyg`n^vzt`Ypljb64)eX`hyTmugPre]`ldhime7; nQgar]b|lYu}zoy1="l_icp[d~nW~xToeoandn>4)eX`hyTmugPwtqfv86+kVbjRowir]w`drf59&hSeo|_`zjwZrcmz~6#c^jbwZpfd`n6,b]jiujbeldmyg`n^vzt`Ypijb6=!mPilroahci|h~bccQ{yqg\saeo58&hSdcldofjqgsafdTxt~j_vp`l87+kVceeyQxr^rmpwYqie7; nQfnugqbdebW}s{i0>#c^ofijt~W}s{i0?#c^ojbZ`ndlUem`k2BmcmoVruagm'oRc|gnl\rdj:9%iTc}zfmhxbpliiWdeoi0>#c^muaw`kg~Ugcz3?,b]sv`jhimUgmykacx?2(fYwzlfdmiQ}efq>4)eXx{cfSkgio^vzt`;29;r8:!mPpsmd[`kw|pUdk|h^cpw`tsWkg1?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Rowir?2474+kVzycjQjmqvz[qnumzbTm~}jru]ueiYuijb6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPreak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW~khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^uggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|~nf21101(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShctx]w}uc:8%iT|ah_dosp|YsqyoTmug|=4.`[uthoVof|ywPtxrf[wgd`4?'oR~}of]fiur~W}s{iR|kci?6(fYwzfmTi`~{y^vzt`Ypijb69!mPpsmd[`kw|pUu}kPweak90*dWyxdkRkbpu{\p|vbW~xhd0;#c^rqkbYa}efTxe|jsi]bwvcu|V|j`0?;,b]svjaXn|fgSyf}erj\evubz}U}maQnxhq>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}dbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxdbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpzjb6==<<,b]svjaXn|fgSyf}erj\j`af|lU}ma3>3-a\twi`Wog`Rzvpd?3(fYwzfmTjxbc_u{saZgaz7> nQrne\bpjkW}s{iR|nci?6(fYwzfmTjxbc_u{saZtcka7> nQrne\bpjkW}s{iRynci?6(fYwzfmTjxbc_u{saZqcka7> nQrne\bpjkW}s{iRy}ci?6(fYuijbTxt~j=1.`[wbXlh~jSnaznu]tmaro5=&hSjPddrwl871$jUyhRh}ep?2(fYulVzexQxievk91*dW{nhdRzvpd?3(fYumnUx`dmj_lmgaZgaz7; nQ}ef]phlebWdeoiR|nci?3(fYumnUx`dmj_lmgaZtcka7; nQ}ef]phlebWdeoiRynci?3(fYumnUx`dmj_lmgaZqcka7; nQ}ef]phlebWdeoiRy}ci?3(fYrfmoyjaax_mmt95*dW|ynSnabmnl\kscunee|1="l_tqf[fijefdTycjjrgnls86+kVxiRjjf`wopZub|}cek~3?,b]vw`Ycg|~T~~z21-a\qvcXmji6#c^wpaZcdkVxooe3?,b]vw`YbkjU|mnf20-a\qvcXmjiT{img=1.`[pubWlihSz|lh<2/gZstmVofnhjkee]qab;7$jU~hQiigm22Z`kiny64)eX~hfbhRb`w<2/gZqfkaUu}k20-a\saeoW}s{i0>#c^uq[delWee|1="l_vp\`drfWje~byQxievk91*dW~xThh~{h<35(fYpzVnn|yfPaykp9465<%iT{Qkeqvk[wgd`4;= nQxr^fftqnXzmic1<>=4-a\swYcmy~cSzolh<35(fYpzVnn|yfPweak9465<%iT{Qkeqvk[rtd`4;= nQxr^dqat;6$jU|~R~ats]tmaro5=&hSz|Ppovq[roc|aUjtd}211.`[rtXxg~ySzgkti]qefn:<%iT{Qnup\slbs`Vxooe3>0-a\swYwf}xT{dj{h^ubgm;3$jU|~R~ats]tmaroW~nhd0??,b]tvZvi|{U|eizg_vp`l82+kV}ySk|pnlpaZjf|ldhu0?#c^uqgmYsqyo6X:%qv>k5iigm\c`hbzh~d~Rx7_3.#\ljnfq*HC_K/Gdlfvdrhz);8"?:4ftnob>ocdlUhcx`{es>3:463??;hfoaZeh}g~n~1?<>028majbWje~byk}<06=55=nleoTob{atdp?508682co`hQlotlwaw:6>7;;7djce^alqkrbz5;<2k5fdmg\gjsi|lx7=3h4ienf[firf}oy0?0i;hfoaZeh}g~n~1=1f:kgh`Ydg|di2;>g9j`icXkfexh|35?d8majbWje~byk}<7ocdlUhcx`{es>;:c=nleoTob{atdp?=;cm7djce^alqkrbzV;>j6gkld]`kphsm{U::k5fdmg\gjsi|lxT=:k4ienf[firf}oyS?k4ienf[firf}oyS>k4ienf[firf}oyS9k4ienf[firf}oyS8k4ienf[firf}oyS;k4ienf[firf}oyS:k4ienf[firf}oyS5k4ienf[firf}oyS4?<;hr`kwcXl`x$jdh`.oe``>jf{}Uxxlzj<1b9oevrX{}kiR>l;mcppZusi}oT=n5carv\wqgsmV8:i6cjm.EM@Z74WfUocRxnlhf\IP^X==Ud=k5bel-DJAY6;VeThbQyamkg[HS_W<>Tc<h5bel-DJAY6;VeTnbd_bmvjq]68TUn}xoc_3]l84699VY\339nah)JimnTmij}_imo`^1ZWNDOS<=Po^cg`Z>XG\^Ttb|36?3254dkbe&Ghcx`{_raooZeh}g~Tot2>>0g8i`k(Eje~byQ|cmi\gjsi|Vir0<0Pru3a?hcj'DidyczPsbnh[firf}Uhu1<11d9nah)JkfexR}llj]`kphsWjs7>3Q}t0`8i`k(Eje~byQ|cmi\gjsi|Vir0>0>e:ofi*Kdg|dS~mck^alqkrXkp682R|{1c9nah)JkfexR}llj]`kphsWjs783?j;lgn+Heh}g~Tnbd_bmvjqYdq5>5Sz>b:ofi*Kdg|dS~mck^alqkrXkp6>27Uyx4:i6cjm.O`kphsWziggRm`uov\g|:06Vx=o5bel-Ngjsi|Vyh`fQlotlw[f;07;n7`kb/LalqkrX{jf`Snaznu]`}9>9W{~:n6cjm.O`kphsWziggRm`uov\g|:>68o0ahc MbmvjqYtkeaTob{at^az8<8Xz};h7`kb/LalqkrX{jf`Snaznu]oqq:76;80ahc MbmvjqYtkeaTob{at^nvp969W@D]S=?l;lgn+Heh}g~Tnbd_bmvjqYg{6;24mdo,Ifirf}UxoaePcnwmpZ~hz5;;2R|{1b9nah)JkfexR}llj]`kphsWqey0<0>c:ofi*Kdg|dS~mck^alqkrXpfx7>3?l;lgn+Heh}g~Tnbd_bmvjqYg{6825=n5bel-Ngjsi|Vyh`fQlotlw[}iu4<4:o6cjm.O`kphsWziggRm`uov\|jt;>7;h7`kb/LalqkrX{jf`Snaznu]{kw:068i0ahc MbmvjqYtkeaTob{at^zlv9>99j1fi`!BcnwmpZuddbUhcx`{_ymq8<85n2gna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR69;l0ahc Mlw{[dbczVbd`iU8]^cg`wYogenP;PQbuy]27ZIR\;;9j6cjm.Onq}YflmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^8=?h4mdo,IhsWhno~Rf`leY4YZgcl{UccajT7\]nq}Y6;VE^X9?<0:ofi*Kj}qUjhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ;100e?hcj'Dg~tRokds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU616c=jmd%FaxvPaefq[miklR=VSljkr^jlha]0UVg~tR?<_NWW14573dof#@czx^cg`wYogenP;PQndep\ljjcS>WTaxvP12]LQQ369;l0ahc Mlw{[dbczVbd`iU8]^cg`wYogenP;PQbuy]27ZIR\<89j6cjm.Onq}YflmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^==?h4mdo,IhsWhno~Rf`leY4YZgcl{UccajT7\]nq}Y6;VE^X:?=f:ofi*Kj}qUjhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ71048i`k(EdsSkh_sf\eabu98;=7`kb/Lov|ZtboVxoSljkr3322>kbe&GfyuQ}ef]q`Zgcl{9:=;5bel-Nip~XzlmT~iQndep75402gna"Cbuy]qabYulVkoh9>109nah)Umzgx1>1_GPA57=jmd%Yi~{ct=33:Z@UJ8;0ahc Rdqvhq:66VLYN^DQF477:Z@UJ8;0ahc Rdqvhq:26VLYN^DQF47;:Z@UJ8;0ahc Rdqvhq:>6VLYNh5bel-\j575?Vcezk5bel-\j575?Vcez>??;lgn+Zh79;=Tecx<1018i`k(Wg::>:Qfnw6\WR66?2gna"Qa0004[lhq:Qfnw6\WR6X[^:m7`kb/^l3571Xag|>=<5bel-\j575?Vcez8QI109nah)Xf9;9;Rgav4]Db>kbe&Ue<<=;_hlu546>35]jjs76991fi`!ndep\ljjc494:<6cjm.cg`wYogen7=3??;lgn+dbczVbd`i2=>028i`k(imnySeacd=1=55=jmd%jhi|Phnng818682gna"okds]kkib;=7;;7`kb/`fgvZnhdm6=2<>4mdo,eabuWaegh1912c9nah)flmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^7<3o5bel-b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR;:78i7`kb/`fgvZnhdmQ3^MVP959:k1fi`!ndep\ljjcS>WTmij}_imo`^1ZWdsS<=POTV?0;4e3dof#ljkr^jlha]0UVkohQgomfX3XYj}qU:?RAZT=7=6g=jmd%jhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ36?0a?hcj'hno~Rf`leY4YZgcl{UccajT7\]nq}Y6;VE^X191f:ofi*gcl{UccajP0g9nah)flmxTdbbk_0d8i`k(imnySeacd^0e?hcj'hno~Rf`le]0b>kbe&kohQgomf\0c=jmd%jhi|Phnng[0`0:ofi*`nnfUdyy2>0?33?hcj'ocmcRazt=32:46g9nah)aaoeTcxz34?d8i`k(n`ldSb{{<4kbe&lbjbQ`uu>4:c=jmd%mekaPotv?<;`7`kb/gkekZtbimUnbRf`leg8i`k(n`ldSz|Peo34?hcj'ocmcRy}_dl\cisbWzo=o5bel-emciX{UnbRicud]paqYT_9;37`kb/sf\`drfWhffc~zPrdcg}442:ofi*tcWmkmRazt=0=57=jmd%yhRjnt`]lqq:46880ahc re]geqgXg|~783?=;lgn+wbXlh~jSb{{<4<26>kbe&xoSio{a^mvp9099;1fi`!}d^fbpdYh}}6<28;0ahc re]geqgXg|~T;<;4mdo,vaYci}kT~hoky^pfc4dkbe&xoSh`Pdn]ueiocWHDOS?7Po0g8i`k(zmUnbRf`le35?hcj'{nTicQgomf\vvrwg};:>6cjm.pfcZtcWhno~1>1139nah)umnUyhRokds>2:440>2:ofi*tboVxoSljkr=6=57=jmd%yijQ}d^cg`w:26880ahc rde\vaYflmx7:3?=;lgn+wc`W{nThlzn<1<26>kbe&xnkR|k_ecwe9799;1fi`!}ef]q`Zbf|h692<<4mdo,v`aXzmUomyo33?31?hcj'{olSjPd`vb8186:2gna"|jg^pg[agsi5?5=?5bel-qabYulVnjxl29>008i`k(zlmT~iQkauc?3;b>038i`k({jf`Snaznu>1:474mdo,wfjlWje~byQ?119nah)tkeaTob{at^324>kbe&yh`fQlotlw[7773dof#~mck^alqkrX;8:0ahc sbnh[firf}U2==5bel-pgimXllfT~hil;lgn+vvnWdcxc?k4mdo,wuoX{ek}i"IAD^37[jYt|h~nSkh[2_\atsfdV;8Sb==;lgn+vvnWzfjzh!HNE]20ZiX{}kiR|jgZ1^[`wrieU:?Ra33?32g>kbe&y{eR}cawg,IhsWzbTbhv{103g?hcj'zzbS~bnvd-Nip~X{aUeiuz=403e?hcj'zzbS~bnvd-Nip~X{aUeiuz=40325c=jmd%x|dQ|l`tf+HkrpVy{eRcfsn]l547a3dof#~~f_rnbr`)Je|rTyo{e^lf|q769o1fi`!|ph]phdpb'Dg~tR}{aug\j`~s:8;m7`kb/rrj[vjf~l%FaxvPsucwaZhbp}9:=:5bel-ptlYtdh|n#igPrde?4;703dof#~~f_rnbr`)caVxnk1?1169nah)tx`Ux`lxj/ek\v`a;:7;<7`kb/rrj[vjf~l%oeR|jg=1=52=jmd%x|dQ|l`tf+aoXzlm783?8;lgn+vvnWzfjzh!ki^pfc9399>1fi`!|ph]phdpb'mcT~hi36?34?hcj'zzbS~bnvd-gmZtbo5=5=;5bel-ptlYtdh|n#igPrde\4402gna"}i^qoesc(l`UyijQ<179nah)tx`Ux`lxj/ek\v`aX<8<0ahc sqk\wigqm&nbSkh_435?hcj'zzbS~bnvd-gmZtboV<::6cjm.qsmZukio$hdQ}ef]45`=jmd%x|dQ|l`tf+aiXj|lmiQ}ef>3:4c=i5bel-ptlYtdh|n#iaPbtdeawYumnU==i5bel-ptlYtdh|n#iaPbtdeawYumnU<=l5bel-ptlYtdh|n#}|jlncg[hotg820ahc sqk\wigqm&ycSckwt=2=5==jmd%x|dQ|l`tf+vnXflr0<0>9:ofi*uwaVygm{k si]ma}r;979:n6cjm.qsmZukio$eQaeyv?5;5XN8h0ahc sqk\wigqm&ycSckwt=3=7ZA602gna"}i^qoesc({aUeiuz32?3:?hcj'zzbS~bnvd-plZhbp}6921:67?3dof#~~f_rnbr`)t`Vdnty2<>0;8i`k({ycTaoye.qk[kc|595=<74mdo,wuoX{ek}i"}g_og{p959:830ahc sqk\wigqm&ycSckwt=1=74>9:ofi*uwaVygm{k si]ma}r;=7;:56cjm.qsmZukio$eQaeyv?1;4612gna"}i^qoesc({aUeiuz35?12<>kbe&y{eR}cawg,wmYimq~7:3?6;lgn+vvnWzfjzh!|h^lf|q:168;27`kb/rrj[vjf~l%xdR`jxu>5:77>3dof#~~f_rnbr`)t`Vdnty29>23;?hcj'zzbS~bnvd-plZhbp}6<2<74mdo,wuoX{ek}i"}g_og{p9199830ahc sqk\wigqm&ycSckwt=5=64?2:417:ofi*uwaVygm{k si]qab:468=0ahc sqk\wigqm&ycSkh<5<23>kbe&y{eR}cawg,wmYumn6>2<94mdo,wuoX{ek}i"}g_sgd8386?2gna"}i^qoesc({aUyij28>048i`k({ycTaoye.qk[wc`W9;=7`kb/rrj[vjf~l%xdR|jg^322>kbe&y{eR}cawg,wmYumnU9=;5bel-ptlYtdh|n#~fPrde\7402gna"}i^qoesc({aUyijQ9179nah)tx`Ux`lxj/rj\v`aX?8h0ahc sqk\wigqm&ymykPndzw8586j2gna"}i^qoesc({}kiR`jxu>2:4dkbe&y{eR}cawg,wqgsmVxnk1>11`9nah)tx`Ux`lxj/rvbp`Yumn6:2kbe&y{eR}cawg,wqgsmVxnkR?>9:ofi*uwaVygm{k sucwaZtboV8:56cjm.qsmZukio$yo{e^pfcZ5612gna"}i^qoesc(|qUieyQaeyv2e>kbe&y{eR}cawg,p}Yea}Ueiuz>1`9nah)tx`Ux`lxj/uz\flrXflr>8:ofi*uwaVygm{k ws]bgnYumn;97`kb/rrj[vjf~l%|~h`>9:ofi*uwaVygm{k wsgm[wusxf~o7`kb/rrj[rtXmg=0ahcPsqk7?hotgh1fe~aPOCWEP1=jgzc27`a|i^KAQC>d9sghYfz{krS=2=>d9sghYfz{krS=2<>d9sghYfz{krS=2;>d9sghYfz{krS=2:>d9sghYfz{krS=29>d9sghYfz{krS=28>d9sghYfz{krS=27>d9sghYfz{krS=26>e9sghYfz{krS=Q=d:r`iZguzhsT6m1{o`Qnrscz[4Y5l2zhaRo}r`{\5Z5c3yifSl|}ax]2[1bvdeVky~lwP1^5g?uejWhxymtQ>_9f8tfkXi{xjuR?P9d9sghYfz{krS?2=>d9sghYfz{krS?2<>d9sghYfz{krS?2;>d9sghYfz{krS?2:>d9sghYfz{krS?29>d9sghYfz{krS?28>d9sghYfz{krS?27>d9sghYfz{krS?26>e9sghYfz{krS?Q=d:r`iZguzhsT>R=k;qan[dtuipU9S9j4pbo\ewtfqV8T9i5cl]bvwg~W;U=h6~lm^cqvdX:V=o7}mb_`pqe|Y5W1n0|ncPaspb}Z4X1l1{o`Qnrscz[6:56l1{o`Qnrscz[6:46l1{o`Qnrscz[6:36l1{o`Qnrscz[6:26l1{o`Qnrscz[6:16l1{o`Qnrscz[6:06l1{o`Qnrscz[6:?6l1{o`Qnrscz[6:>6m1{o`Qnrscz[6Y5l2zhaRo}r`{\7Z5c3yifSl|}ax]0[1bvdeVky~lwP3^5g?uejWhxymtQ<_9f8tfkXi{xjuR=P969sghY`mgk0|ncPotv?4;g3m4pbo\kpr;;3:5m6~lm^mvp959m2zhaRazt^2\KGSAm2zhaRazt^3\KGSAm2zhaRazt^0\KGSAm2zhaRazt^1\KGSA:o1{o`}T0\,sgh)@FMU8;RaPpbo\gjsi|R;:QRBjsrVkekY3Wf9;7}mbsZ2^*uej'NDOS>9Po^r`iZeh}g~P=:4pbop_5[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra>_RU370=wkdyP

;4pbop_5[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:60UdS}{csd]tmaroW{olWPOTV?<;513yifV>R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743?<5:r`iv]7U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8412zha~U?]/r`i*AILV91\]b`aY7WF__040PSV20`>vdezQ;Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<0a>vdezQ;Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<203=wkdyP

0:47XAG\T<9>4pbop_5[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx783?>419sghu\8T${o`!Baef\CKBX;>UdS}{csd]tmaroW{olW030`>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<1<0`>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<0<0`>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<3<0`>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<2<0`>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<5<0a>vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=2=7c=wkdyP

9Po^NfwvRoigU9SbQcuu>3:45b3yifV>R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8484m2zha~U?]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRk4pbop_5[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe9=j;qanw^6Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd8=2:r`iv]7U'zha"Clotlw[uejWje~byQly=2=66=wkdyP

1_sv16>vdezQ;Q#~lm.O`kphsWyifSnaznu]`}979:<1{o`}T0\,sgh)JkfexR~lm^alqkrXkp6:2R|{239sghu\8T${o`!BcnwmpZvdeVidyczPcx>1:7385clqX4X(wkd%Fob{at^r`iZeh}g~Tot2:>^pw67=wkdyP

R.qan+Heh}g~T|ncPcnwmpZe~4>4T~y<=;qanw^6Z&yif#@m`uov\tfkXkfexRmv<9<11>vdezQ;Q#~lm.O`kphsWyifSnaznu]`}9>9W{~9>6~lmrY3Y+vde&Ghcx`{_qan[firf}Uhu171249sghu\8T${o`!BcnwmpZvdeVidyczPcx>::Zts::1{o`}T0\,sgh)JkfexR~lm^alqkrXd|~7<3<6;qanw^6Z&yif#@m`uov\tfkXkfexRbzt=2=[LHQW9887}mbsZ2^*uej'DidyczPpbo\gjsi|Vrd~1>1259sghu\8T${o`!BcnwmpZvdeVidyczPxnp?5585<2zha~U?]/r`i*Kdg|dS}mb_bmvjqYg{6:=3<8;qanw^6Z&yif#@m`uov\tfkXkfexRv`r=32:Zts::1{o`}T0\,sgh)JkfexR~lm^alqkrXpfx7=3<<;qanw^6Z&yif#@m`uov\tfkXkfexRv`r=0=66=wkdyP

R.qan+Heh}g~T|ncPcnwmpZ~hz5<5>>5clqX4X(wkd%Fob{at^r`iZeh}g~Ttb|37?00?uej{R:V"}mb/LalqkrXxjgTob{at^zlv9>9::1{o`}T0\,sgh)JkfexR~lm^alqkrXpfx753?:;qanw^6Z&yif#_k|umv?4;713yifV>R.qan+Wct}e~7==0>6:r`iv]7U'zha"\jstnw84799<1{o`}T0\,sgh)Umzgx1?1149sghu\8T${o`!]erwop9499<1{o`}T0\,sgh)Umzgx1=1149sghu\8T${o`!]erwop9299<1{o`}T0\,sgh)Umzgx1;1149sghu\8T${o`!]erwop9099<1{o`}T0\,sgh)Umzgx191149sghu\8T${o`!]erwop9>99<1{o`}T0\,sgh)Umzgx1714b9sghu\8T${o`!P_^ZOJHYXW8UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd?;c:r`iv]7U'zha"QP_YNMIZYX:VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe?:l;qanw^6Z&yif#RQPXMLN[ZY4WVUFobcas]sghYdg|dWvdezQ;Q#~lm.r`iZeh}g~7=<0>8:r`iv]7U'zha"~lm^alqkr;97;37}mbsZ2^*uej'yifSnaznu>1:4>R.qan+uejWje~by29>0:8tfktS9W%|nc pbo\gjsi|5=5=55clqX4X(wkd%{o`Qlotlw8=8602zha~U?]/r`i*vdeVidycz39?13?uej{R:V"}mb/qan[firf}Q:=PQcl]`kphsS8;VSL@K_20\k62]^r`iZeh}g~P=R.qan+uejWje~byQ>199sghu\8T${o`!cl]`kphsW8::46~lmrY3Y+vde&zhaRm`uov\54703yifV>R.qan+uejWje~byQ=169sghu\8T${o`!cl]`kphsW:;<7}mbsZ2^*uej'yifSnaznu]752=wkdyP

1{o`}T0\,sgh)wkdUhcx`{_634?uej{R:V"}mb/qan[firf}U3=:5clqX4X(wkd%{o`Qlotlw[<703yifV>R.qan+uejWfSkh1d9sghu\8T${o`!umqf[roc|aUyij2=>0g8tfktS9W%|nc ptnpaZqnl}bT~hi33?3f?uej{R:V"}mb/qwow`Ypam~cSkh<5<2a>vdezQ;Q#~lm.rvhvcX`ndR|jg=7=5`=wkdyP

5:4cR.qan+usk{lU|eizg_sgd8=86m2zha~U?]/r`i*vrdzoT{dj{h^pfc9?99m1{o`}T0\,sgh)w}eynSzgkti]qabY59m1{o`}T0\,sgh)w}eynSzgkti]qabY49m1{o`}T0\,sgh)w}eynSzgkti]qabY39m1{o`}T0\,sgh)w}eynSzgkti]qabY29m1{o`}T0\,sgh)w}eynSzgkti]qabY19m1{o`}T0\,sgh)w}eynSzgkti]qabY09m1{o`}T0\,sgh)w}eynSzgkti]qabY?9m1{o`}T0\,sgh)w}eynSzgkti]qabY>:o1{o`}T1\,sgh)@FMU8;RaPpbo\gjsi|R;:QRBjsrVkekY3Wf9;7}mbsZ3^*uej'NDOS>9Po^r`iZeh}g~P=:4pbop_4[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra>_RU370=wkdyP=P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=;4pbop_4[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:60UdS}{csd]tmaroW{olWPOTV?<;513yifV?R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743?<5:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8412zha~U>]/r`i*AILV91\]b`aY7WF__040PSV20`>vdezQ:Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<0a>vdezQ:Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<203=wkdyP=P cl-NeabXOGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYYQwos>0:47XAG\T<9>4pbop_4[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx783?>419sghu\9T${o`!Baef\CKBX;>UdS}{csd]tmaroW{olW030`>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<1<0`>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<0<0`>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<3<0`>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<2<0`>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<5<0a>vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=2=7c=wkdyP=P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuu>3:45b3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8484m2zha~U>]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRk4pbop_4[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe9=j;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd8=2:r`iv]6U'zha"Clotlw[uejWje~byQly=2=66=wkdyP=P cl-Ngjsi|VzhaRm`uov\g|:6878=7}mbsZ3^*uej'DidyczPpbo\gjsi|Vir0<>1_sv16>vdezQ:Q#~lm.O`kphsWyifSnaznu]`}979:<1{o`}T1\,sgh)JkfexR~lm^alqkrXkp6:2R|{239sghu\9T${o`!BcnwmpZvdeVidyczPcx>1:73]/r`i*Kdg|dS}mb_bmvjqYdq5?5>85clqX5X(wkd%Fob{at^r`iZeh}g~Tot2:>^pw67=wkdyP=P cl-Ngjsi|VzhaRm`uov\g|:16;?0|nc|[0_-tfk(Eje~byQcl]`kphsWjs7:3Q}t308tfktS8W%|nc MbmvjqYwkdUhcx`{_b{?3;423yifV?R.qan+Heh}g~T|ncPcnwmpZe~4>4T~y<=;qanw^7Z&yif#@m`uov\tfkXkfexRmv<9<11>vdezQ:Q#~lm.O`kphsWyifSnaznu]`}9>9W{~9>6~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu171249sghu\9T${o`!BcnwmpZvdeVidyczPcx>::Zts::1{o`}T1\,sgh)JkfexR~lm^alqkrXd|~7<3<6;qanw^7Z&yif#@m`uov\tfkXkfexRbzt=2=[LHQW9887}mbsZ3^*uej'DidyczPpbo\gjsi|Vrd~1>1259sghu\9T${o`!BcnwmpZvdeVidyczPxnp?5585<2zha~U>]/r`i*Kdg|dS}mb_bmvjqYg{6:=3<8;qanw^7Z&yif#@m`uov\tfkXkfexRv`r=32:Zts::1{o`}T1\,sgh)JkfexR~lm^alqkrXpfx7=3<<;qanw^7Z&yif#@m`uov\tfkXkfexRv`r=0=66=wkdyP=P cl-Ngjsi|VzhaRm`uov\|jt;;7887}mbsZ3^*uej'DidyczPpbo\gjsi|Vrd~1:1229sghu\9T${o`!BcnwmpZvdeVidyczPxnp?1;443yifV?R.qan+Heh}g~T|ncPcnwmpZ~hz5<5>>5clqX5X(wkd%Fob{at^r`iZeh}g~Ttb|37?00?uej{R;V"}mb/LalqkrXxjgTob{at^zlv9>9::1{o`}T1\,sgh)JkfexR~lm^alqkrXpfx753?:;qanw^7Z&yif#_k|umv?4;713yifV?R.qan+Wct}e~7==0>6:r`iv]6U'zha"\jstnw84799<1{o`}T1\,sgh)Umzgx1?1149sghu\9T${o`!]erwop9499<1{o`}T1\,sgh)Umzgx1=1149sghu\9T${o`!]erwop9299<1{o`}T1\,sgh)Umzgx1;1149sghu\9T${o`!]erwop9099<1{o`}T1\,sgh)Umzgx191149sghu\9T${o`!]erwop9>99<1{o`}T1\,sgh)Umzgx1714b9sghu\9T${o`!P_^ZOJHYXW8UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd?;c:r`iv]6U'zha"QP_YNMIZYX:VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe?:l;qanw^7Z&yif#RQPXMLN[ZY4WVUFobcas]sghYdg|dW]/r`i*vdeVidycz311<2=>vdezQ:Q#~lm.r`iZeh}g~7=<0>8:r`iv]6U'zha"~lm^alqkr;97;37}mbsZ3^*uej'yifSnaznu>1:4>0:8tfktS8W%|nc pbo\gjsi|5=5=55clqX5X(wkd%{o`Qlotlw8=8602zha~U>]/r`i*vdeVidycz39?13?uej{R;V"}mb/qan[firf}Q:=PQcl]`kphsS8;VSL@K_20\k62]^r`iZeh}g~P=199sghu\9T${o`!cl]`kphsW8::46~lmrY2Y+vde&zhaRm`uov\54703yifV?R.qan+uejWje~byQ=169sghu\9T${o`!cl]`kphsW:;<7}mbsZ3^*uej'yifSnaznu]752=wkdyP=P cl-sghYdg|dS8?8;qanw^7Z&yif#}mb_bmvjqY19>1{o`}T1\,sgh)wkdUhcx`{_634?uej{R;V"}mb/qan[firf}U3=:5clqX5X(wkd%{o`Qlotlw[<703yifV?R.qan+uejWfSkh1d9sghu\9T${o`!umqf[roc|aUyij2=>0g8tfktS8W%|nc ptnpaZqnl}bT~hi33?3f?uej{R;V"}mb/qwow`Ypam~cSkh<5<2a>vdezQ:Q#~lm.rvhvcX`ndR|jg=7=5`=wkdyP=P cl-sqiubW~coxeQ}ef>5:4c]/r`i*vrdzoT{dj{h^pfc9?99m1{o`}T1\,sgh)w}eynSzgkti]qabY59m1{o`}T1\,sgh)w}eynSzgkti]qabY49m1{o`}T1\,sgh)w}eynSzgkti]qabY39m1{o`}T1\,sgh)w}eynSzgkti]qabY29m1{o`}T1\,sgh)w}eynSzgkti]qabY19m1{o`}T1\,sgh)w}eynSzgkti]qabY09m1{o`}T1\,sgh)w}eynSzgkti]qabY?9m1{o`}T1\,sgh)w}eynSzgkti]qabY>:o1{o`}T2\,sgh)@FMU8;RaPpbo\gjsi|R;:QRBjsrVkekY3Wf9;7}mbsZ0^*uej'NDOS>9Po^r`iZeh}g~P=:4pbop_7[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra>_RU370=wkdyP>P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=;4pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:60UdS}{csd]tmaroW{olWPOTV?<;513yifV]^cg`Z6XG\^743?<5:r`iv]5U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8412zha~U=]/r`i*AILV91\]b`aY7WF__040PSV20`>vdezQ9Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<0a>vdezQ9Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<203=wkdyP>P cl-NeabXOGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYYQwos>0:47XAG\T<9>4pbop_7[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx783?>419sghu\:T${o`!Baef\CKBX;>UdS}{csd]tmaroW{olW030`>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<1<0`>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<0<0`>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<3<0`>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<2<0`>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<5<0a>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=2=7c=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuu>3:45b3yifVk4pbop_7[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe9=j;qanw^4Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd8=2:r`iv]5U'zha"Clotlw[uejWje~byQly=2=66=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:6878=7}mbsZ0^*uej'DidyczPpbo\gjsi|Vir0<>1_sv16>vdezQ9Q#~lm.O`kphsWyifSnaznu]`}979:<1{o`}T2\,sgh)JkfexR~lm^alqkrXkp6:2R|{239sghu\:T${o`!BcnwmpZvdeVidyczPcx>1:7385clqX6X(wkd%Fob{at^r`iZeh}g~Tot2:>^pw67=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:16;?0|nc|[3_-tfk(Eje~byQcl]`kphsWjs7:3Q}t308tfktS;W%|nc MbmvjqYwkdUhcx`{_b{?3;423yifV4T~y<=;qanw^4Z&yif#@m`uov\tfkXkfexRmv<9<11>vdezQ9Q#~lm.O`kphsWyifSnaznu]`}9>9W{~9>6~lmrY1Y+vde&Ghcx`{_qan[firf}Uhu171249sghu\:T${o`!BcnwmpZvdeVidyczPcx>::Zts::1{o`}T2\,sgh)JkfexR~lm^alqkrXd|~7<3<6;qanw^4Z&yif#@m`uov\tfkXkfexRbzt=2=[LHQW9887}mbsZ0^*uej'DidyczPpbo\gjsi|Vrd~1>1259sghu\:T${o`!BcnwmpZvdeVidyczPxnp?5585<2zha~U=]/r`i*Kdg|dS}mb_bmvjqYg{6:=3<8;qanw^4Z&yif#@m`uov\tfkXkfexRv`r=32:Zts::1{o`}T2\,sgh)JkfexR~lm^alqkrXpfx7=3<<;qanw^4Z&yif#@m`uov\tfkXkfexRv`r=0=66=wkdyP>P cl-Ngjsi|VzhaRm`uov\|jt;;7887}mbsZ0^*uej'DidyczPpbo\gjsi|Vrd~1:1229sghu\:T${o`!BcnwmpZvdeVidyczPxnp?1;443yifV>5clqX6X(wkd%Fob{at^r`iZeh}g~Ttb|37?00?uej{R8V"}mb/LalqkrXxjgTob{at^zlv9>9::1{o`}T2\,sgh)JkfexR~lm^alqkrXpfx753?:;qanw^4Z&yif#_k|umv?4;713yifV6:r`iv]5U'zha"\jstnw84799<1{o`}T2\,sgh)Umzgx1?1149sghu\:T${o`!]erwop9499<1{o`}T2\,sgh)Umzgx1=1149sghu\:T${o`!]erwop9299<1{o`}T2\,sgh)Umzgx1;1149sghu\:T${o`!]erwop9099<1{o`}T2\,sgh)Umzgx191149sghu\:T${o`!]erwop9>99<1{o`}T2\,sgh)Umzgx1714b9sghu\:T${o`!P_^ZOJHYXW8UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd?;c:r`iv]5U'zha"QP_YNMIZYX:VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe?:l;qanw^4Z&yif#RQPXMLN[ZY4WVUFobcas]sghYdg|dWvdezQ9Q#~lm.r`iZeh}g~7=<0>8:r`iv]5U'zha"~lm^alqkr;97;37}mbsZ0^*uej'yifSnaznu>1:4>0:8tfktS;W%|nc pbo\gjsi|5=5=55clqX6X(wkd%{o`Qlotlw8=8602zha~U=]/r`i*vdeVidycz39?13?uej{R8V"}mb/qan[firf}Q:=PQcl]`kphsS8;VSL@K_20\k62]^r`iZeh}g~P=199sghu\:T${o`!cl]`kphsW8::46~lmrY1Y+vde&zhaRm`uov\54703yifVP cl-sghYdg|dS8?8;qanw^4Z&yif#}mb_bmvjqY19>1{o`}T2\,sgh)wkdUhcx`{_634?uej{R8V"}mb/qan[firf}U3=:5clqX6X(wkd%{o`Qlotlw[<703yifV0g8tfktS;W%|nc ptnpaZqnl}bT~hi33?3f?uej{R8V"}mb/qwow`Ypam~cSkh<5<2a>vdezQ9Q#~lm.rvhvcX`ndR|jg=7=5`=wkdyP>P cl-sqiubW~coxeQ}ef>5:4c:o1{o`}T3\,sgh)@FMU8;RaPpbo\gjsi|R;:QRBjsrVkekY3Wf9;7}mbsZ1^*uej'NDOS>9Po^r`iZeh}g~P=:4pbop_6[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra>_RU370=wkdyP?P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=;4pbop_6[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:60S!pbo,CKBX;>UdS}{csd]tmaroW{olWPOTV?<;513yifV=R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743?<5:r`iv]4U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8412zha~U<]/r`i*AILV91\]b`aY7WF__040PSV20`>vdezQ8Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<0a>vdezQ8Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRmv<8<203=wkdyP?P cl-NeabXOGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYYQwos>0:47XAG\T<9>4pbop_6[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx783?>419sghu\;T${o`!Baef\CKBX;>UdS}{csd]tmaroW{olW030`>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<1<0`>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<0<0`>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<3<0`>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<2<0`>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRmv<5<0a>vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=2=7c=wkdyP?P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuu>3:45b3yifV=R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8484m2zha~U<]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRk4pbop_6[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe9=j;qanw^5Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd8=2:r`iv]4U'zha"Clotlw[uejWje~byQly=2=66=wkdyP?P cl-Ngjsi|VzhaRm`uov\g|:6878=7}mbsZ1^*uej'DidyczPpbo\gjsi|Vir0<>1_sv16>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}979:<1{o`}T3\,sgh)JkfexR~lm^alqkrXkp6:2R|{239sghu\;T${o`!BcnwmpZvdeVidyczPcx>1:73S!pbo,Ifirf}U{o`Qlotlw[f;:7Uyx?<4pbop_6[)xjg$Anaznu]sghYdg|dSnw33?06?uej{R9V"}mb/LalqkrXxjgTob{at^az868Xz}897}mbsZ1^*uej'DidyczPpbo\gjsi|Vir090=5:r`iv]4U'zha"Clotlw[uejWje~byQly=6=[wr5:2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq5?5>85clqX7X(wkd%Fob{at^r`iZeh}g~Tot2:>^pw67=wkdyP?P cl-Ngjsi|VzhaRm`uov\g|:16;?0|nc|[2_-tfk(Eje~byQcl]`kphsWjs7:3Q}t308tfktS:W%|nc MbmvjqYwkdUhcx`{_b{?3;423yifV=R.qan+Heh}g~T|ncPcnwmpZe~4>4T~y<=;qanw^5Z&yif#@m`uov\tfkXkfexRmv<9<11>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}9>9W{~9>6~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu171249sghu\;T${o`!BcnwmpZvdeVidyczPcx>::Zts::1{o`}T3\,sgh)JkfexR~lm^alqkrXd|~7<3<6;qanw^5Z&yif#@m`uov\tfkXkfexRbzt=2=[LHQW9887}mbsZ1^*uej'DidyczPpbo\gjsi|Vrd~1>1259sghu\;T${o`!BcnwmpZvdeVidyczPxnp?5585<2zha~U<]/r`i*Kdg|dS}mb_bmvjqYg{6:=3<8;qanw^5Z&yif#@m`uov\tfkXkfexRv`r=32:Zts::1{o`}T3\,sgh)JkfexR~lm^alqkrXpfx7=3<<;qanw^5Z&yif#@m`uov\tfkXkfexRv`r=0=66=wkdyP?P cl-Ngjsi|VzhaRm`uov\|jt;;7887}mbsZ1^*uej'DidyczPpbo\gjsi|Vrd~1:1229sghu\;T${o`!BcnwmpZvdeVidyczPxnp?1;443yifV=R.qan+Heh}g~T|ncPcnwmpZ~hz5<5>>5clqX7X(wkd%Fob{at^r`iZeh}g~Ttb|37?00?uej{R9V"}mb/LalqkrXxjgTob{at^zlv9>9::1{o`}T3\,sgh)JkfexR~lm^alqkrXpfx753?:;qanw^5Z&yif#_k|umv?4;713yifV=R.qan+Wct}e~7==0>6:r`iv]4U'zha"\jstnw84799<1{o`}T3\,sgh)Umzgx1?1149sghu\;T${o`!]erwop9499<1{o`}T3\,sgh)Umzgx1=1149sghu\;T${o`!]erwop9299<1{o`}T3\,sgh)Umzgx1;1149sghu\;T${o`!]erwop9099<1{o`}T3\,sgh)Umzgx191149sghu\;T${o`!]erwop9>99<1{o`}T3\,sgh)Umzgx1714b9sghu\;T${o`!P_^ZOJHYXW8UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd?;c:r`iv]4U'zha"QP_YNMIZYX:VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe?:l;qanw^5Z&yif#RQPXMLN[ZY4WVUFobcas]sghYdg|dWvdezQ8Q#~lm.r`iZeh}g~7=<0>8:r`iv]4U'zha"~lm^alqkr;97;37}mbsZ1^*uej'yifSnaznu>1:4>S!pbo,tfkXkfex1=1199sghu\;T${o`!cl]`kphs4=4:46~lmrY0Y+vde&zhaRm`uov?1;7?3yifV=R.qan+uejWje~by29>0:8tfktS:W%|nc pbo\gjsi|5=5=55clqX7X(wkd%{o`Qlotlw8=8602zha~U<]/r`i*vdeVidycz39?13?uej{R9V"}mb/qan[firf}Q:=PQcl]`kphsS8;VSL@K_20\k62S!pbo,tfkXkfexV?>]^r`iZeh}g~P=199sghu\;T${o`!cl]`kphsW8::46~lmrY0Y+vde&zhaRm`uov\54703yifV=R.qan+uejWje~byQ=169sghu\;T${o`!cl]`kphsW:;<7}mbsZ1^*uej'yifSnaznu]752=wkdyP?P cl-sghYdg|dS8?8;qanw^5Z&yif#}mb_bmvjqY19>1{o`}T3\,sgh)wkdUhcx`{_634?uej{R9V"}mb/qan[firf}U3=:5clqX7X(wkd%{o`Qlotlw[<703yifV=R.qan+uejWfSkh1d9sghu\;T${o`!umqf[roc|aUyij2=>0g8tfktS:W%|nc ptnpaZqnl}bT~hi33?3f?uej{R9V"}mb/qwow`Ypam~cSkh<5<2a>vdezQ8Q#~lm.rvhvcX`ndR|jg=7=5`=wkdyP?P cl-sqiubW~coxeQ}ef>5:4cS!pbo,tpjtmV}bhyfPrde?3;7b3yifV=R.qan+usk{lU|eizg_sgd8=86m2zha~U<]/r`i*vrdzoT{dj{h^pfc9?99m1{o`}T3\,sgh)w}eynSzgkti]qabY59m1{o`}T3\,sgh)w}eynSzgkti]qabY49m1{o`}T3\,sgh)w}eynSzgkti]qabY39m1{o`}T3\,sgh)w}eynSzgkti]qabY29m1{o`}T3\,sgh)w}eynSzgkti]qabY19m1{o`}T3\,sgh)w}eynSzgkti]qabY09m1{o`}T3\,sgh)w}eynSzgkti]qabY?9m1{o`}T3\,sgh)w}eynSzgkti]qabY>=2xoSh`l;sf\akYu{}zdxh5}d^gm[wusxf~TJh5}d^gm[wusxf~TK55}ef]emic43{y86}llj;8wfjlW@H^Jh5|cmi\MGSAWK_MK55|p`pwek0>3zzbS~bnvd68wvck12yxiaQFBTD6?vrf|lo0yo{e^DPIZ@Al8;:7~zntd]EWHYANm;&Ec?=;rvbp`YA[DUMJi?"Io3f?vrf|lUM_@QIFe025>usi}oTJ^CPFGf1)Lh6:2ymykPFRO\BCb5%@d:i6}{aug\BVKXNOn8=<5|t`vf[CUJWOLo? Ga139ppdrbWOYFSKHk3,Km544<{}kiRH\M^DE`6+Nf;;97~zntd]EWHYANm9&Ec:>2:qweqcXNZGTJKj<-Hl653=t|h~nSK]B_GDg7(Oi=VY\<55{oqnfr`j6=2~d|akyem]WMHCTXLITYNH;;upva0=pzVoe46vflhl{qk7?3qfetRoztn]3[}be'jy"ulld|BCt4?2n2JKt:9>:G87>4}Tih08>o4=248276619:09;i:n{o11=?74548?;86?9k4d9P5<4=k9=0_l954gg95?74;9<:?7<6c1c8`216290:6>912813a2f3-=o6:;i;I323>pS9;81<7?51;124~Ufi399n7<=5;307506;384?23_99;74=r9mo1<6s+23a97g=#9;?1<6*>228433=#9;>1=5o4b652>5<>2;i:6?j={I13e>"51m0<;<5U7d8267=u-8<<7<=;%115?5>n2.9:k4<2b9Y774=9r92j7sU86826?b2tPj575;h555?6=3`>mo7>5;h56g?6=3f??97>5$315>0233g8897>4;n777?6=,;9=68:;;o001?7<3f??>7>5$315>0233g8897<4;n775?6=,;9=68:;;o001?5<3f>mm7>5;c114?6=93:1>n;%112?4502.:5<48749l67g=83.8>;4=2898yg56n3:1=7>50z&1=a<6399i6*>908430=h9=i1<7*<27816<=56;294~"51m0:>h5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j<3<72-99:769;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f6ef290=6=4?{%0:`?75m2B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07dl<:18'770=9j76sm3b`94?0=83:p(?7k:00f?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349;6=4+334967?<3`2=6=4+3349<3=>54?:783>5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3513:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb40b>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi9?l50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd2:j0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c71`?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn85<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e=;l1<7850;2x 7?c289:7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`64=<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>?0ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z152?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj<:j6=49:183!4>l3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a15d=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl:0b83>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f06b290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm51d94?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<==54?:783>5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3613:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb43b>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi9;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd29j0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c72`?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn8?j:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e=8l1<7850;2x 7?c289:7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`67=<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>?0ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z152?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj<9j6=49:183!4>l3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a16d=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl:3b83>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f05b290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm52d94?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=?k4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg11?3:1:7>50z&1=a<6:l1C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76gm3;29 64128?i76a=4183>!55>389565f8783>!55>32=76g=3883>!55>38=o65fa783>!55>38?m65rb647>5<1290;w)<6d;31a>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`h86=4+334950d<3f8?<7>5$205>74>32c3:7>5$205>=0<3`8857>5$205>70d32cj:7>5$205>72f32wi?:>50;:94?6|,;3o6<=:;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1a290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4523A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?:<50;:94?6|,;3o6<=:;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1a290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4523A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?::50;:94?6|,;3o6<=:;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1a290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4523A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?o=50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd4j=0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c1a`?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn>lj:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e;kl1<7850;2x 7?c289:7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`0g5<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>?0ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z152?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj:i96=49:183!4>l3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a7f5=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f6d1290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm3c594?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg5e13:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb2`b>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi?ol50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd4jj0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c3b>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd6kk0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi=o950;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb0f6>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg7e13:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl>d983>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a5g`=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj8n26=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo?l1;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`2`d<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e9j91<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c3`1?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd6lj0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi=n950;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb0fg>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg7f13:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl>cd83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a5d`=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj8im6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo?m1;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`2`5<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e9k91<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c3a1?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd6l;0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi=i=50;194?6|,;3o6>?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb247>5<5290;w)<6d;5`?M56k2B84}4>?0vc?<;:18'770=:;307d?:0;29 64128?i76sm34d94?4=83:p(?7k:6a8L67d3A9;m6*<278;=>"6180<;85`23694?"4:?09>454i073>5<#;;<1=8l4;|`020<72;l1<7>t$3;g>g1<@:;h7E=?a:X4a?7|<<0vj4l52:l:b?5"f=38:7)l7:3:g?!d>283=7)k8:0;5?!761389?6*>1`81=3=#9;i1=85a22694>"5?j0:>i5+31a957b<,8236?79;I0:4>"4:?0i;6*82;05`>"6180<;85`8g83>>o5;h0;6E<<7:l1=4<632c9;;4?:I043>=n:1h1<7F=7698k=c=831b>5o50;J132=M40?21d4n4?::m;`?6=3`8357>5H354?>ofk3:1D?98;:k131<72A8<;65fb083>M40?21bmh4?:I043>=n:=k1<7`=9082?>i5>00;6E<87:9j66b=83d95<4>;:kb5?6=@;9<7W<68;3x731=u2c2o7>5H314?>ofn3:1D?98;:m130<72A8<;65f26094?N5?>1C>>94;h00g?6=f;3:6<54ic094?N5?>10e<:6:18K621<3`8347>5H354?>i?j3:17b7j:188m714290C>:94H314?>oe83:1D?98;:mb4`83>M40?21b>5950;J132=9583>>o6910;66a=8783>!44>38396`=3483?!45938i50=0;6)<<6;0;1>h5;<0:7)<=1;04`>h5:90=76a=8283>!44>38396`=3481?!45938i50;0;6)<<6;0;1>h5;<087)<=1;04`>h5:90?76a=8083>!44>38396`=3487?!45938i5090;6)<<6;0;1>h5;<0>7)<=1;04`>h5:90976a=7g83>!44>38396`=3485?!45938i5?l0;6)<<6;0;1>h5;<0<7)<=1;04`>h5:90;76sm37194?4=83:p(?7k:07;?M56k2B8484$205>=?<,82o6<;m;I3;g>"6180<;85+14;97>o6=90;6)==6;36f>=h:;>1<7*<27816<=53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a7`1=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj:l>6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo=j5;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`0b1<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e;l91<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn>h<:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c1f5?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd4n;0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi?ih50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb2d2>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg5cl3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a7a1=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj:oi6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo=k6;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`7<<<7210;6=u+28f9560<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;8983>=<729q/>4j51248L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:h50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>84H23`?M57i2.::94<;%112?41m2B9855+1839323l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd30?0;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2`=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`7<0<7210;6=u+28f9560<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;3683>=<729q/>4j51248L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:h50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>84H23`?M57i2.::94<;%112?41m2B9855+1839323l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3;<0;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2`=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`771<7210;6=u+28f9560<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;3283>=<729q/>4j51248L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:h50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>84H23`?M57i2.::94<;%112?41m2B9855+1839323l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3;80;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2`=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`775<7210;6=u+28f9560<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;6683>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f==:7>56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f102290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm47694?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=4?:783>5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg21:3:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb542>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi8;>50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd3>10;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65fb283>!55>3;>n65`25294?"4:?09>454i9494?"4:?03:65f22;94?"4:?09:n54i`494?"4:?098l54}c667?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32ci?7>5$205>43e32e98=4?:%112?45121b4;4?:%112?>132c9?44?:%112?41k21bm;4?:%112?43i21vn9=l:185>5<7s-82h7?=e:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>of>3:1(><9:36b?>{e<1k1<7850;2x 7?c288n7E=>c:J04d=#9?>1=95+334956d<@;>37)?61;541>"60k0<;>5U28:96~12k39=:7sf7g83>!55>32j76gm3;29 64128?i76a=4183>!55>389565f8783>!55>32=76g=3883>!55>38=o65fa783>!55>38?m65rb571>5<1290;w)<6d;31a>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`h86=4+334950d<3f8?<7>5$205>74>32c3:7>5$205>=0<3`8857>5$205>70d32cj:7>5$205>72f32wi88950;494?6|,;3o6<N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98mg5=83.8>;4>5c98k727290/??8523;8?l>1290/??858798m75>290/??8527a8?lg1290/??8525c8?xd3n10;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65fb283>!55>3;>n65`25294?"4:?09>454i9494?"4:?03:65f22;94?"4:?09:n54i`494?"4:?098l54}c6a0?6=>3:1>n;%112?74j2.::94<;I07<>"6180<;85U28:95~12k3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn9l<:185>5<7s-82h7?<1:J05f=O;9k0(><9:01a?!71<390D?:7;%3:5?10=2P9554>{67`>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{ec:J04d=#;;<1=>l4$047>6=O:=20(<7>:656?_4>03;p;8m5}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`7f4<72?0;6=u+28f9567<@:;h7E=?a:&063<6;k1/=;:53:J10==#90;1;:;4Z3;;>4}0=j0ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)==6;30f>"6>=087E<;8:&2=4<0?<1Q>4651z56g?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=km6=49:183!4>l3;8=6F<1b9K75g<,:8=6<=m;%350?5<@;>37)?61;541>\5110:w:;l:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a0dc=83<1<7>t$3;g>4563A9:o6F<0`9'770=9:h0(<8;:29K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl;ae83>3<729q/>4j51238L67d3A9;m6*<27827g=#9?>1?6F=499'5<7=?>?0V?77:0y41fl1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=n>7>56;294~"51m0:>h5G30a8L66f3-99:7?908430=]:021=v9:c;j3c<72-99:76n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j<3<72-99:769;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f1c6290=6=4?{%0:`?75m2B8=n5G31c8 641289i7)?94;18L72?3-;2=7985:X1==<6s>?h6pg8f;29 64121k07dl<:18'770=9j76sm4d294?0=83:p(?7k:00f?M56k2B85G25:8 4?62>=>7W<68;3x30e=u`=m6=4+3349;6=4+334967?<3`2=6=4+3349<3=5}#:0n1=?k4H23`?M57i2.8>;4>3c9'532=;2B9855+1839323;47a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg2cm3:1:7>50z&1=a<6:l1C?3;8n6*>6580?M4302.:5<48749Y6<>=9r=>o7sf7g83>!55>32j76gm3;29 64128?i76a=4183>!55>389565f8783>!55>32=76g=3883>!55>38=o65fa783>!55>38?m65rb5fg>5<1290;w)<6d;31a>N49j1C?=o4$205>45e3-;=87=4H36;?!7>93=<96T=998223d2tc5$205>=g<3`h86=4+334950d<3f8?<7>5$205>74>32c3:7>5$205>=0<3`8857>5$205>70d32cj:7>5$205>72f32wi8im50;494?6|,;3o6<N48h1/??8512`8 4032:1C>964$0;2>2123S8247?t74a9yl1a290/??858`98mg5=83.8>;4>5c98k727290/??8523;8?l>1290/??858798m75>290/??8527a8?lg1290/??8525c8?xd3lk0;6;4?:1y'6?l;I13e>"4:?0:?o5+17697>N5<11/=4?57678^7??28q<9n4ri6d94?"4:?03m65fb283>!55>3;>n65`25294?"4:?09>454i9494?"4:?03:65f22;94?"4:?09:n54i`494?"4:?098l54}c6f`?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~12k3wb;k4?:%112?>f32cj:7>5$205>72f32ci?7>5$205>43e32e98=4?:%112?45121b4;4?:%112?>132c9?44?:%112?41k21vn9kl:185>5<7s-82h7?=f:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{67`>xo0n3:1(><9:9c8?lg1290/??8525c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>{ec:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p;8m5}h5e>5<#;;<14l54i`494?"4:?098l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h:5>5<#;;<14;54i31:>5<#;;<1>;m4;|`7ad<72?0;6=u+28f957`<@:;h7E=?a:&221<43-99:7?4}0=j0ve:h50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10qo:i2;292?6=8r.95i4>399K74e<@::j7)==6;30f>"6>=087E<;8:&2=4<0?<1Q>4651z56g?{n?o0;6)==6;:b?M55=21bn>4?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10el850;&063<52d9K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z56g?{n?o0;6)==6;:b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n0?0;6)==6;:5?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=h>6=49:183!4>l3;886F<1b9K75g<,:8=6<=m;%350?733-;2=7985:&24651z56g?{n?o0;6)==6;:b?M55=21bn>4?:%112?72j21b>>750;&063<5>j10c?:?:18'770=:;307d69:18'770=0?10e?:n:18'770=:=k0D>>6;:a0d2=83<1<7>t$3;g>4563A9:o6F<0`9'770=9:h0(<8;:29K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl;a283>3<729q/>4j51238L67d3A9;m6*<27827g=#9?>1?6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=j>7>56;294~"51m0:?<5G30a8L66f3-99:7?908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f1g6290=6=4?{%0:`?7492B8=n5G31c8 641289i7)?94;18L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm4`294?0=83:p(?7k:012?M56k2B85G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>?4H23`?M57i2.8>;4>3c9'532=;2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg2>m3:1:7>50z&1=a<6;81C?3;8n6*>6580?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb5;g>5<1290;w)<6d;305>N49j1C?=o4$205>45e3-;=87=4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi:ho50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb7d3>5<2290;w)<6d;3:e>N49j1C?=o4$31;>24f3-99:7<;9:&2=4<0?<1/=;:5469j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65`23694?"4:?09>454}c4e1?6==3:1>n;%00;4=489'5<7=?>?0(<8;:028m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554o307>5<#;;<1>?74;|`5a<<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e>ll1<7;50;2x 7?c283j7E=>c:J04d=#::21;?74$205>72>3-;2=7985:&221<3?2c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0i5:=0;6)==6;01=>=zj?l?6=4::183!4>l3;2m6F<1b9K75g<,;936:<6;%112?4312.:5<48749'532=991b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl9ed83>0<729q/>4j518c8L67d3A9;m6*=39846==#;;<1>974$0;2>2123-;=87:8;h365?6=,:8=6:=4n207>5=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907b<=4;29 6412;8276sm6g194?3=83:p(?7k:0;b?M56k2B8<,:8=6?:6;%3:5?10=2.::94>0:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876a=2583>!55>389565rb7g4>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg0bl3:197>50z&1=a<61h1C?111<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298k743290/??8523;8?xd1n;0;684?:1y'6?l;I13e>"5;10<>:5+334961?<,83:6:9:;%350?773`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976g>5583>!55>3=87c==4;18?j45<3:1(><9:30:?>{e>l<1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn:??:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c53b?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd1n10;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi;?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb62b>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg1613:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm70:94?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f261290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl80583>1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a340=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zj>:96=4;:183!4>l3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo9>5;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`445<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e?8>1<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn;hj:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c527?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd1nj0;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi;<<50;194?6|,;3o6>?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb7db>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg17m3:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm72a94?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:h1<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm75694?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?=91<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm75094?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?=;1<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm75294?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:l1<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72g94?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:n1<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72c94?>=83:p(?7k:016?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:31<7650;2x 7?c289>7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm74794?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg12;3:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=47sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb671>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=998260?2tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi;8?50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t37:9yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd0=90;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:54ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c57b?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn::j:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{24;>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e?=?1<7850;2x 7?c288n7E=>c:J04d=#9?>1=95+334956d<@;>37)?61;541>"60k0<;>5U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32e98=4?:%112?45121b4;4?:%112?>132c9?44?:%112?41k21bm;4?:%112?43i21vn;88:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c45`?6==3:1>n;%00;4=489'5<7=?>?0(<8;:558m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554o307>5<#;;<1>?74;|`537<72<0;6=u+28f951/??8525;8 4?62>=>7)?94;33?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;n010?6=,:8=6?<6;:a230=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj?l3;2m6F<1b9K75g<,;936;o9;%112?4312.:5<48749'532=<>1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-88478n6:&063<5<01/=4?57678 40328:0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm67`94?3=83:p(?7k:0;b?M56k2B8>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876a=2583>!55>389565rb753>5<2290;w)<6d;3:e>N49j1C?=o4$31;>3g23-99:7<;9:&2=4<0?<1/=;:5119j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65`23694?"4:?09>454}c450?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd1>h0;684?:1y'6?l;I13e>"5;10=m95+334961?<,83:6:9:;%350?203`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976g>5583>!55>3=87c==4;18?j45<3:1(><9:30:?>{e>?l1<7;50;2x 7?c283j7E=>c:J04d=#::21:l:4$205>72>3-;2=7985:&221<682c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0i5:=0;6)==6;01=>=zj?<86=4;:183!4>l3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo87d;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`5=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e>>?1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn;78:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c4;3?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd11?0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi:5;50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb7;6>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg0?;3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl99383>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a22b=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj?3:6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo88b;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`5=5<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e>>31<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn;6i:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c443?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd10k0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi:::50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb7`:>5N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?h36=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?i;6=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?hn6=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?hh6=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?hj6=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?h=6=47:183!4>l3;896F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=998260?2tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi:i?50;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t37:9yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd1l90;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:54ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c4`b?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn;mj:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{24;>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e>jn1<7850;2x 7?c289:7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`5gf<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>10ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z15o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj?i96=49:183!4>l3;9i6F<1b9K75g<,845e3A8?46*>908430=#91h1;:=4Z3;;>4}4>10ve:h50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10el850;&063<59g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`6ad<72<0;6=u+28f95=>7)?94;64?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;n010?6=,:8=6?<6;:a1``=83?1<7>t$3;g>4?f3A9:o6F<0`9'66>=>;>0(><9:36:?!7>93=<96*>65824>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:m161<72-99:7<=9:9~f0c4290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-88478=3:&063<5<01/=4?57678 4032==0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=ih4?:483>5}#:0n1=4o4H23`?M57i2.9?549229'770=:=30(<7>:656?!71<3;;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3f8987>5$205>74>32wi9h<50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb4g;>5<2290;w)<6d;3:e>N49j1C?=o4$31;>3453-99:7<;9:&2=4<0?<1/=;:5469j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65`23694?"4:?09>454}c7f`?6==3:1>n;%00;4=489'5<7=?>?0(<8;:028m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554o307>5<#;;<1>?74;|`6a4<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e=l=1<7;50;2x 7?c283j7E=>c:J04d=#::21:??4$205>72>3-;2=7985:&221<3?2c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0i5:=0;6)==6;01=>=zjl3;2m6F<1b9K75g<,;936;<>;%112?4312.:5<48749'532=991b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl90`83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a25?=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zjl3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo8>4;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`541<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e>891<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn;>=:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c426?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd1890;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi:?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb4df>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg0683:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=jn4?:583>5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm61d94?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f0`f290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl:f983>1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a25b=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zjl3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo8?c;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`6b1<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e>921<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn8h>:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c402?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40a?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40g?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40e?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c400?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c47b?6=>3:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~5103wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn;:j:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{24;>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e>=n1<7850;2x 7?c289:7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;65}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`50f<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>10ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z15o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj?>j6=49:183!4>l3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a21?=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl94983>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y02=l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:>h5G30a8L66f3-;=87?;;%112?74j2B9855+1839323<,82i6:9<;[0:1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a131=83?1<7>t$3;g>4?f3A9:o6F<0`9'66>==h;0(><9:36:?!7>93=<96*>65873>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:m161<72-99:7<=9:9~f00d290>6=4?{%0:`?7>i2B8=n5G31c8 75?2"6180<;85+176955=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9l672=83.8>;4=2898yg3183:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=:;4?:483>5}#:0n1=4o4H23`?M57i2.9?54:a19'770=:=30(<7>:656?!71<3><7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3f8987>5$205>74>32wi9;l50;794?6|,;3o6<7n;I12g>N48h1/>>655`28 6412;>27)?61;541>"6>=0:<6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9j502=83.8>;483:l061<432e9>94?:%112?45121vn8;i:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c751?6==3:1>n;%00n2.8>;4=489'5<7=?>?0(<8;:558m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554o307>5<#;;<1>?74;|`62d<72<0;6=u+28f95=>7)?94;33?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;n010?6=,:8=6?<6;:a10c=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj<l3;2m6F<1b9K75g<,;93687j;%112?4312.:5<48749'532=<>1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-8847;6e:&063<5<01/=4?57678 40328:0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=9i4?:583>5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm59594?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f0>129086=4?{%0:`?56j2B8=n5G31c8 6412:;j7)?61;541>o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl:9083>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a1=7=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj<3;6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo;8f;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`6=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e=>n1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn86j:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c74f?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd20m0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi9:750;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb4:`>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg30?3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=4o4?:283>5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05f29086=4?{%0:`?56j2B8=n5G31c8 6412:;j7)?61;541>o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl:8883>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a127=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj<2>6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo;9e;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`6f6<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n?4?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6fg<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=nl4?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f<<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n54?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f2<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n;4?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f0<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n94?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f4<7210;6=u+28f9563<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:h50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n=4?:983>5}#:0n1=>;4H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6gf<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>10ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z15o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zjl3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>87:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a1f?=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl:c983>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y02=l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=98;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f0e1290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<36pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm5b794?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x73>=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=nn4?:783>5}#:0n1=?k4H23`?M57i2.::94>4:&063<6;k1C>964$0;2>2123-;3n7983:X1==<6s:<36pg8f;29 64121k07dl<:18'770=9j76sm22d94?1=83:p(?7k:0;`?M56k2B81<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21b=8850;&063<0;2d8>94:;:m161<72-99:7<=9:9~f633290>6=4?{%0:`?7>i2B8=n5G31c8 75?2:>h7)==6;07=>"6180<;85+1769e>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:m161<72-99:7<=9:9~f63?29086=4?{%0:`?7>02B8=n5G31c8 75?2:>87)==6;07=>"6180<;85+17697c=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?j45<3:1(><9:30:?>{e??<1<7;50;2x 7?c283j7E=>c:J04d=#::21?9m4$205>72>3-;2=7985:&2214;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0i5:=0;6)==6;01=>=zj8k86=4<:183!4>l3;246F<1b9K75g<,;936>=6;%112?4312.:5<48749'532=;?1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3f8987>5$205>74>32wi8=;50;194?6|,;3o6<77;I12g>N48h1/??8525;8 4?62>=>7)?94;1e?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9l672=83.8>;4=2898yg27>3:1?7>50z&1=a<6111C?6*<27810<=#90;1;:;4$047>6`1<65f14094?"4:?0i5:=0;6)==6;01=>=zj=:<6=4<:183!4>l3;246F<1b9K75g<,;936>:=;%112?4312.:5<48749'532=;o1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3f8987>5$205>74>32wi8=650;194?6|,;3o6<77;I12g>N48h1/>>653538 6412;>27)?61;541>"6>=08j6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810c?<;:18'770=:;307pl;0883>6<729q/>4j518:8L67d3A9;m6*=398004=#;;<1>974$0;2>2123-;=87=i;h365?6=,:8=6:=4n207>5=1=65`23694?"4:?09>454}c60f?6=;3:1>n;%00;4=489'5<7=?>?0(<8;:2d8m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:m161<72-99:7<=9:9~f6ec29086=4?{%0:`?7>02B8=n5G31c8 6412;>27)?61;541>"6>=09>6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810c?<;:18'770=:;307pl<4g83>1<729q/>4j518;8L67d3A9;m6*<27810<=#90;1;:;4$047>f=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10c?<;:18'770=:;307pl;7e83>0<729q/>4j518c8L67d3A9;m6*=39807f=#;;<1>974$0;2>2123-;=87?>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876a=2583>!55>389565rb520>5<1290;w)<6d;3:f>N49j1C?=o4$205>72>3-;2=7985:&221<69m1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=n9h4:=0?76a=2583>!55>389565rb527>5<1290;w)<6d;3:f>N49j1C?=o4$205>72>3-;2=7985:&221<6;01b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=n9h4:=0?76a=2583>!55>389565rb561>5<3290;w)<6d;3:=>N49j1C?=o4$205>72>3-;2=7985:&221<612c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65`23694?"4:?09>454}c65e?6=<3:1>n;%00;4=489'5<7=?>?0(<8;:268m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3f8987>5$205>74>32wi;;750;694?6|,;3o6<76;I12g>N48h1/>>653518 6412;>27)?61;541>"6>=08;6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9l672=83.8>;4=2898yg7f<3:187>50z&1=a<6101C?611<65f14094?"4:?0o6=:0;6)==6;50?k55<3807b<=4;29 6412;8276sm34494?3=83:p(?7k:0;b?M56k2B85=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907b<=4;29 6412;8276sm34094?3=83:p(?7k:0;b?M56k2B85=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907b<=4;29 6412;8276sm1`794?3=83:p(?7k:0;b?M56k2B8>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876a=2583>!55>389565rb0c4>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<4i2c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0o6=<0;6)==6;50?k55<3>07d?:6;29 6412>90b><;:498k743290/??8523;8?xd6i?0;6;4?:1y'6?l;I13e>"5;1088l5+334961?<,83:6:9:;%350?5>3`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976g>5583>!55>3=87c==4;18?l72=3:1(><9:618j6432=10c?<;:18'770=:;307pl3<729q/>4j518`8L67d3A9;m6*=39800a=#;;<1>974$0;2>2123-;=875=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907d?:5;29 6412>90b><;:598k743290/??8523;8?xd39o0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?l72<3:1(><9:618j6432:10e<;::18'770=?:1e??:54:9j500=83.8>;483:l061<232e9>94?:%112?45121vn9?8:185>5<7s-82h7?6b:J05f=O;9k0(?=7:213?!55>38?56*>908430=#9?>156g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9j502=83.8>;483:l061<432c:984?:%112?143g9987:4;n010?6=,:8=6?<6;:a7c`=83<1<7>t$3;g>4?e3A9:o6F<0`9'770=:=30(<7>:656?!71<3287d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1>?74;|`745<72>0;6=u+28f950e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj:li6=4;:183!4>l3;256F<1b9K75g<,;936>:k;%112?4312.:5<48749'532=;m1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1>?74;|`747<72?0;6=u+28f9590b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1>?74;|`0b`<72=0;6=u+28f951/??8525;8 4?62>=>7)?94;31f>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21d>?:50;&063<5:010qo:60;290?6=8r.95i4>989K74e<@::j7)<<8;17<>"4:?09845+1839323<,8o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398k743290/??8523;8?xd3n=0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?l72<3:1(><9:618j6432:10e<;::18'770=?:1e??:54:9j500=83.8>;483:l061<232e9>94?:%112?45121vn96j:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>6584e>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`7=>7)?94;f8m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554o307>5<#;;<1>?74;|`7=1<72<0;6=u+28f95=>7)?94;06?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;n010?6=,:8=6?<6;:a0<4=83?1<7>t$3;g>4?f3A9:o6F<0`9'66>=;:?0(><9:36:?!7>93=<96*>65822>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:m161<72-99:7<=9:9~f1?4290>6=4?{%0:`?7>i2B8=n5G31c8 75?2:9>7)==6;07=>"6180<;85+176954=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9l672=83.8>;4=2898yg2>93:187>50z&1=a<6101C?47d3`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976a=2583>!55>389565rb7fb>5<2290;w)<6d;3:e>N49j1C?=o4$31;>6533-99:7<;9:&2=4<0?<1/=;:54g9j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65`23694?"4:?09>454}c4gg?6=>3:1>n;%00;4=489'5<7=?>?0(<8;:428m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554i076>5<#;;<1;>5a33690>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5849j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65f14794?"4:?0o6=?0;6)==6;50?k55<3?07b<=4;29 6412;8276sm6d794?2=83:p(?7k:0;:?M56k2B8>7>5$205>255<#;;<1;>5a33696>=h:;>1<7*<27816<=53;294~"51m0:555G30a8L66f3-8847=;0:&063<5<01/=4?57678 4032:<0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632e9>94?:%112?45121vn;;8:186>5<7s-82h7?6a:J05f=O;9k0(?=7:210?!55>38?56*>908430=#9?>18k5f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21d>?:50;&063<5:010qo8:9;292?6=8r.95i4>9c9K74e<@::j7)<<8;107>"4:?09845+1839323<,84i072>5<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?l72<3:1(><9:618j6432:10e<;::18'770=?:1e??:54:9l672=83.8>;4=2898yg02j3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi:;<50;694?6|,;3o6<76;I12g>N48h1/>>6532d8 6412;>27)?61;541>"6>=0?46g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9l672=83.8>;4=2898yg0193:1?7>50z&1=a<6111C?601<65f14094?"4:?0i5:=0;6)==6;01=>=zjl3;2m6F<1b9K75g<,;936>==;%112?4312.:5<48749'532=94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=56;294~"51m0:5o5G30a8L66f3-8847=<2:&063<5<01/=4?57678 4032<:0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865`23694?"4:?09>454}c7g>n;%112?4312.:5<48749'532=0<1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=n9h4:=0?76g>5783>!55>3=87c==4;78?j45<3:1(><9:30:?>{e=ml1<7:50;2x 7?c28327E=>c:J04d=#::21?>k4$205>72>3-;2=7985:&221<302c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65`23694?"4:?09>454}c7ga?6=;3:1>n;%00;4=489'5<7=?>?0(<8;:248m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:m161<72-99:7<=9:9~f036290>6=4?{%0:`?7>i2B8=n5G31c8 75?2:9:7)==6;07=>"6180<;85+17690c=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9l672=83.8>;4=2898yg32;3:1:7>50z&1=a<61k1C?061<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21d>?:50;&063<5:010qo;:5;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a10e=83>1<7>t$3;g>4?>3A9:o6F<0`9'66>=;:n0(><9:36:?!7>93=<96*>6587<>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21d>?:50;&063<5:010qo;:b;297?6=8r.95i4>999K74e<@::j7)<<8;10`>"4:?09845+1839323<,884i072>5<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76a=2583>!55>389565rb64`>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<392c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0o6=<0;6)==6;50?k55<3>07d?:6;29 6412>90b><;:498k743290/??8523;8?xd0>m0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?l72<3:1(><9:618j6432:10e<;::18'770=?:1e??:54:9j500=83.8>;483:l061<232e9>94?:%112?45121vn:8j:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>65875>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`0`5<72:0;6=u+28f95<><@:;h7E=?a:&17=<4;k1/??8525;8 4?62>=>7)?94;32f>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098k743290/??8523;8?xd4kl0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,8o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi8om50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;30e>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`7fa<72?0;6=u+28f95:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865`23694?"4:?09>454}c6aa?6=<3:1>n;%00;4=489'5<7=?>?0(<8;:03`?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532e9>94?:%112?45121vn9m?:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>65827d=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a0f7=83<1<7>t$3;g>4?e3A9:o6F<0`9'770=:=30(<7>:656?!71<3?97d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1>?74;|`7g7<72=0;6=u+28f951/??8525;8 4?62>=>7)?94;32g>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21d>?:50;&063<5:010qo:l4;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+176956g1<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21b=8850;&063<0;2d8>94:;:m161<72-99:7<=9:9~f1e2290=6=4?{%0:`?7>j2B8=n5G31c8 6412;>27)?61;541>"6>=0>>6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9j502=83.8>;483:l061<432c:984?:%112?143g9987:4;n010?6=,:8=6?<6;:a0f0=83>1<7>t$3;g>4?>3A9:o6F<0`9'66>=;=<0(><9:36:?!7>93=<96*>65825f=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10c?<;:18'770=:;307pl;c983>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>45f3`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976g>5583>!55>3=87c==4;18?l72=3:1(><9:618j6432=10e<;9:18'770=?:1e??:55:9l672=83.8>;4=2898yg2d13:1:7>50z&1=a<61k1C?38?56*>908430=#9?>19?5f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:m161<72-99:7<=9:9~f1ef290?6=4?{%0:`?7>12B8=n5G31c8 75?2:>=7)==6;07=>"6180<;85+176954e1<65f14094?"4:?0o6=:0;6)==6;50?k55<3807b<=4;29 6412;8276sm4ba94?1=83:p(?7k:0;`?M56k2B84;h366?6=,:8=6:=4n207>4=1>65f14694?"4:?0o6=<0;6)==6;50?k55<3>07d?:6;29 6412>90b><;:498k743290/??8523;8?xd3km0;6;4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?l72<3:1(><9:618j6432:10e<;::18'770=?:1e??:54:9l672=83.8>;4=2898yg2dm3:187>50z&1=a<6101C?47d3`;>=7>5$205>255<#;;<1;>5a33695>=n9<91<7*<27847>h4:=0976a=2583>!55>389565rb5f3>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<6;h1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=n9h4:=0?76g>5783>!55>3=87c==4;78?j45<3:1(><9:30:?>{ec:J04d=#;;<1>974$0;2>2123-;=87;=;h365?6=,:8=6:=4n207>5=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907d?:5;29 6412>90b><;:598k743290/??8523;8?xd3l;0;694?:1y'6?l;I13e>"5;108895+334961?<,83:6:9:;%350?76k2c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=1>65`23694?"4:?09>454}c6fb?6=?3:1>n;%112?4312.:5<48749'532=9:k0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj=l;6=49:183!4>l3;2n6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94:2:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?j45<3:1(><9:30:?>{ec:J04d=#::21?964$205>72>3-;2=7985:&221<69j1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1>?74;|`5a5<72:0;6=u+28f95<><@:;h7E=?a:&063<5<01/=4?57678 40328;i7d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo8kf;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a2a3=83>1<7>t$3;g>4?>3A9:o6F<0`9'770=:=30(<7>:656?!71<3>;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f3b3290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:895f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi:h<50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;377>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`51a<72:0;6=u+28f95<><@:;h7E=?a:&063<5<01/=4?57678 40328;i7d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo8:c;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a204=83>1<7>t$3;g>4?>3A9:o6F<0`9'770=:=30(<7>:656?!71<3>;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f336290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:895f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi:8h50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;377>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`6`d<72:0;6=u+28f95<><@:;h7E=?a:&063<5<01/=4?57678 40328;i7d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo;k9;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a1f`=83>1<7>t$3;g>4?>3A9:o6F<0`9'770=:=30(<7>:656?!71<3>;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f0eb290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:895f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi9im50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;377>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`612<72:0;6=u+28f95<><@:;h7E=?a:&063<5<01/=4?57678 40328;i7d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo;:6;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a11e=83>1<7>t$3;g>4?>3A9:o6F<0`9'770=:=30(<7>:656?!71<3>;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f02e290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:895f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi98750;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;377>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`41a<72;0;6=u+28f9501<@:;h7E=?a:&063<0j2B:4n5+19f950d<,83:6:9:;h364?6=,:8=6<;m;:m161<72-99:7<=9:9~f20529096=4?{%0:`?72?2B8=n5G31c8 6412>h0D<6l;%3;`?72j2.:5<48749j506=83.8>;4>5c98k743290/??8523;8?xd3nm0;6?4?:1y'6?l;I13e>"4:?08b9'5=b=9:656?l7283:1(><9:07a?>i5:=0;6)==6;01=>=zj<>26=4=:183!4>l389:6F<1b9K75g<,:8=6:l4H0:`?!7?l38956*>908430=n9<:1<7*<27821g=854?:383>5}#:0n1>?84H23`?M57i2.8>;48b:J2?74$0;2>2123`;><7>5$205>43e32e9>94?:%112?45121vn8:8:181>5<7s-82h7<=6:J05f=O;9k0(><9:6`8L4>d3-;3h7<=9:&2=4<0?<1b=8>50;&063<6=k10c?<;:18'770=:;307pl:4783>7<729q/>4j52348L67d3A9;m6*<2784f>N60j1/=5j523;8 4?62>=>7d?:0;29 64128?i76a=2583>!55>389565rb5da>5<4290;w)<6d;013>N49j1C?=o4$205>7?33A;3o6*>8e816<=#90;1;:;4i073>5<#;;<1=8l4;h0;a?6=,:8=6ll4H206?>i5:=0;6)==6;01=>N51<10qo::4;29349K74e<@::j7)?94;18 6412;4652z56g?51>3wb;k4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=857>58;294~"51m0:?85G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021>v9:c;152?{n?o0;6)==6;:b?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<==n:?i1<7*<27812f=O;9307d<;a;29 6412;>j7E=?9:9j63>=83.8>;4=7c98yg0bk3:1?7>50z&1=a<6111C?38?56*>908430=#9?>1=95f14394?"4:?0o6=;0;6)==6;50?k55<3;07b<=4;29 6412;8276sm6g494?5=83:p(?7k:0;;?M56k2B84;h366?6=,:8=6:=4n207>4=5}#:0n1=464H23`?M57i2.8>;4=489'5<7=?>?0(<8;:068m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:m161<72-99:7<=9:9~f31429086=4?{%0:`?7>02B8=n5G31c8 6412;>27)?61;541>"6>=0:=o5f14394?"4:?0o6=;0;6)==6;50?k55<3;07b<=4;29 6412;8276sm5d494?5=83:p(?7k:0;;?M56k2B8=7>5$205>255<#;;<1;>5a33695>=h:;>1<7*<27816<=53;294~"51m0:555G30a8L66f3-99:7<;9:&2=4<0?<1/=;:510`8m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:m161<72-99:7<=9:9~f00429086=4?{%0:`?7>02B8=n5G31c8 6412;>27)?61;541>"6>=0:86g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810c?<;:18'770=:;307pl:6e83>6<729q/>4j518:8L67d3A9;m6*<27810<=#90;1;:;4$047>47e3`;>=7>5$205>255<#;;<1;>5a33695>=h:;>1<7*<27816<=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;483:l061<732e9>94?:%112?45121b=8<50;&063<0;2d8>94>;o0:5?6<3th:nl4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85729m772=821d>?:50;&063<5:010e<;=:18'770=?:1e??:51:l1=4<732wi=om50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:618j6432910c?<;:18'770=:;307d?:2;29 6412>90b><;:09m6<7=821vn5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;50?k55<3:07b<=4;29 6412;8276g>5383>!55>3=87c==4;38j7?62910qo?l0;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27847>h4:=0;76a=2583>!55>389565f14094?"4:?093:07pl>c383>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;>5a33695>h5180;76sm1b694?5=83:p(?7k:0;4?M56k2B8=7>5$205>255<#;;<1>?74;h366?6=,:8=6:=4n207>4=i:0;1<65rb0a5>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?143g9987>4;n010?6=,:8=6?<6;:k217<72-99:79<;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;483:l061<63g82=7>4;|`2ed<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?:1e??:50:9l672=83.8>;4=2898m435290/??85729m772=92d95<4?;:a5de=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>90b><;:198k743290/??8523;8?l72:3:1(><9:618j643281e>4?50:9~f4gb29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=87c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;50?k55<3;0b?7>:198yg7e83:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0i5:=0;6)==6;01=>=n9<81<7*<27847>h4:=0:7c<61;28?xd6j;0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e9k>1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:=4n207>5=>7>5$205>25=zj8h=6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79<;o110?6<3f8987>5$205>74>32c:9?4?:%112?143g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;483:l061<732e9>94?:%112?45121b=8<50;&063<0;2d8>94>;o0:5?6<3th8i;4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85729m772=821d>?:50;&063<5:010e<;=:18'770=?:1e??:51:l1=4<732wi?h:50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:618j6432910c?<;:18'770=:;307d?:2;29 6412>90b><;:09m6<7=821vn>k=:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;50?k55<3:07b<=4;29 6412;8276g>5383>!55>3=87c==4;38j7?62910qo=j0;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27847>h4:=0;76a=2583>!55>389565f14094?"4:?093:07pl6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;>5a33695>h5180;76sm3ea94?5=83:p(?7k:0;4?M56k2B8=7>5$205>255<#;;<1>?74;h366?6=,:8=6:=4n207>4=i:0;1<65rb2fb>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?143g9987>4;n010?6=,:8=6?<6;:k217<72-99:79<;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;483:l061<63g82=7>4;|`5b<<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?:1e??:50:9l672=83.8>;4=2898m435290/??85729m772=92d95<4?;:a35d=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>90b><;:198k743290/??8523;8?l72:3:1(><9:618j643281e>4?50:9~f26>29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=87c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;50?k55<3;0b?7>:198yg17?3:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0i5:=0;6)==6;01=>=n9<81<7*<27847>h4:=0:7c<61;28?xd08<0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e?991<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:=4n207>5=>7>5$205>25=zj>::6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79<;o110?6<3f8987>5$205>74>32c:9?4?:%112?143g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;483:l061<732e9>94?:%112?45121b=8<50;&063<0;2d8>94>;o0:5?6<3th=ji4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85729m772=821d>?:50;&063<5:010e<;=:18'770=?:1e??:51:l1=4<732wi:kl50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:618j6432910c?<;:18'770=:;307d?:2;29 6412>90b><;:09m6<7=821vn;99:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;50?k55<3:07b<=4;29 6412;8276g>5383>!55>3=87c==4;38j7?62910qo878;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27847>h4:=0;76a=2583>!55>389565f14094?"4:?093:07pl98783>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;>5a33695>h5180;76sm69694?5=83:p(?7k:0;4?M56k2B8=7>5$205>255<#;;<1>?74;h366?6=,:8=6:=4n207>4=i:0;1<65rb7:1>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?143g9987>4;n010?6=,:8=6?<6;:k217<72-99:79<;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;483:l061<63g82=7>4;|`53`<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?:1e??:50:9l672=83.8>;4=2898m435290/??85729m772=92d95<4?;:a22e=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>90b><;:198k743290/??8523;8?l72:3:1(><9:618j643281e>4?50:9~f31f29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=87c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;50?k55<3;0b?7>:198yg0003:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0i5:=0;6)==6;01=>=n9<81<7*<27847>h4:=0:7c<61;28?xd2n:0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e>9?1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:=4n207>5=>7>5$205>25=zj?:86=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79<;o110?6<3f8987>5$205>74>32c:9?4?:%112?143g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;483:l061<732e9>94?:%112?45121b=8<50;&063<0;2d8>94>;o0:5?6<3th>jk4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85729m772=821d>?:50;&063<5:010e<;=:18'770=?:1e??:51:l1=4<732wi9kj50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:618j6432910c?<;:18'770=:;307d?:2;29 6412>90b><;:09m6<7=821vn8hm:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;50?k55<3:07b<=4;29 6412;8276g>5383>!55>3=87c==4;38j7?62910qo;i9;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27847>h4:=0;76a=2583>!55>389565f14094?"4:?093:07pl:f683>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;>5a33695>h5180;76sm5g794?5=83:p(?7k:0;4?M56k2B8=7>5$205>255<#;;<1>?74;h366?6=,:8=6:=4n207>4=i:0;1<65rb453>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?143g9987>4;n010?6=,:8=6?<6;:k217<72-99:79<;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;483:l061<63g82=7>4;|`6<5<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?:1e??:50:9l672=83.8>;4=2898m435290/??85729m772=92d95<4?;:a12c=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>90b><;:198k743290/??8523;8?l72:3:1(><9:618j643281e>4?50:9~f01d29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=87c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;50?k55<3;0b?7>:198yg30i3:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0i5:=0;6)==6;01=>=n9<81<7*<27847>h4:=0:7c<61;28?xd2?10;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;>5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e=><1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:=4n207>5=>7>5$205>25=zj<=?6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79<;o110?6<3f8987>5$205>74>32c:9?4?:%112?143g9987?4n3;2>5=7>53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;483:l061<732e9>94?:%112?45121b=8<50;&063<0;2d8>94>;o0:5?6<3th:h94?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85729m772=821d>?:50;&063<5:010e<;=:18'770=?:1e??:51:l1=4<732wi?hj50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:618j6432910c?<;:18'770=:;307d?:2;29 6412>90b><;:09m6<7=821vn:?>:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;50?k55<3:07b<=4;29 6412;8276g>5383>!55>3=87c==4;38j7?62910qo87e;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27847>h4:=0;76a=2583>!55>389565f14094?"4:?093:07pl90c83>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;>5a33695>h5180;76sm59:94?5=83:p(?7k:0;4?M56k2B8=7>5$205>255<#;;<1>?74;h366?6=,:8=6:=4n207>4=i:0;1<65rb24;>5<1290;w)<6d;31a>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=998160?2:<=6pg8f;29 64121k07dl<:18'770=9j76sm74494?0=83:p(?7k:00f?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349;6=4+334967?<3`2=6=4+3349<3=5}#:0n1=?k4H23`?M57i2.8>;4>3c9'532=;2B9855+1839323;47a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg21j3:1:7>50z&1=a<6:l1C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76gm3;29 64128?i76a=4183>!55>389565f8783>!55>32=76g=3883>!55>38=o65fa783>!55>38?m65rb372>5<1290;w)<6d;305>N49j1C?=o4$047>42<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn?;m:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e:c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`11c<72?0;6=u+28f9567<@:;h7E=?a:&221<43-99:7?4}4>?0ve:h50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5309K74e<@::j7)?94;18 641289i7E<;8:&2=4<0?<1Q>4651z152?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj;<86=49:183!4>l3;8=6F<1b9K75g<,85+334956d<@;>37)?61;541>\5110:w>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a632=83<1<7>t$3;g>4563A9:o6F<0`9'532=;2.8>;4>3c9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl=6483>3<729q/>4j51238L67d3A9;m6*>6580?!55>3;8n6F=499'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45e3A8?46*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f700290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512`8L72?3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm24094?0=83:p(?7k:012?M56k2B8"4:?0:?o5G25:8 4?62>=>7W<68;3x730=u`=m6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=4?:783>5}#:0n1=>?4H23`?M57i2.::94<;%112?74j2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg42<3:1:7>50z&1=a<6;81C?<9:01a?M4302.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb376>5<1290;w)<6d;305>N49j1C?=o4$047>6=#;;<1=>l4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi>8850;494?6|,;3o6<=>;I12g>N48h1/=;:53:&063<6;k1C>964$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd5=>0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>N5<11/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c063:1>n;%350?5<,:8=6<=m;I07<>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn?;6:185>5<7s-82h7?<1:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e<9k1<7650;2x 7?c289<7E=>c:J04d=#9?>1=95+334963c<,83:6:9:;[0:5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65f27:94?"4:?09;o54o363>5<#;;<1>?74;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<88;29 6412;=37E=?9:9~f16d29036=4?{%0:`?74>2B8=n5G31c8 4032:1/??8527g8L72?3-;2=7985:X1==<5s>?h6>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998123d2:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?:>:18'770=:>207b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9:54?:%112?40j21vn9>j:18;>5<7s-82h7?<6:J05f=O;9k0(<8;:29'770=:?o0D?:7;%3:5?10=2P9554={67`>6012tc5$205>=g<3`2=6=4+3349<3=:6=4+334962><3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj=:m6=47:183!4>l3;8:6F<1b9K75g<,85+334963c<@;>37)?61;541>\51109w:;l:245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k12=<72-99:7<8b:9~f17729036=4?{%0:`?74>2B8=n5G31c8 4032:1/??8527g8L72?3-;2=7985:X1==<5s>?h6>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998123d2:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?:>:18'770=:>207b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9:54?:%112?40j21vn9?=:18;>5<7s-82h7?<6:J05f=O;9k0(<8;:29'770=:?o0D?:7;%3:5?10=2P9554={67`>6012tc5$205>=g<3`2=6=4+3349<3=:6=4+334962><3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj=;86=47:183!4>l3;8:6F<1b9K75g<,85+334963c<@;>37)?61;541>\51109w:;l:245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k12=<72-99:7<8b:9~f17329036=4?{%0:`?74>2B8=n5G31c8 4032:1/??8527g8L72?3-;2=7985:X1==<5s>?h6>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998123d2:<=6pg8f;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?:>:18'770=:>207b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9:54?:%112?40j21vn>mi:18;>5<7s-82h7?<7:J05f=O;9k0(<8;:068 6412;\51109w:;l:245>xo0n3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m70?290/??8526`8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k13=<72-99:7<88:J04<=58;294~"51m0:?;5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021>v9:c;152?{n?o0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?l4393:1(><9:35;?>i5<90;6)==6;01=>=n:?i1<7*<27812f=O;9307d<;a;29 6412;>j7E=?9:9j63>=83.8>;4=7c98yg5c=3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1?h5f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi?i?50;494?6|,;3o6<7m;I12g>N48h1/??8525;8 4?62>=>7)?94;375>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3f8987>5$205>74>32wi?i<50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;48m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554i076>5<#;;<1;>5a33690>=n9<<1<7*<27847>h4:=0>76a=2583>!55>389565rb522>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<6;j1b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=n9h4:=0?76g>5783>!55>3=87c==4;78?j45<3:1(><9:30:?>{e<<<1<7=50;2x 7?c28337E=>c:J04d=#::21?974$205>72>3-;2=7985:&221<6<2c:9<4?:%112?143g9987>4;h366?6=,:8=6:=4n207>4=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:01f?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;h361?6=,:8=6:=4n207>1=1965`23694?"4:?09>454}c62=?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:96~12k39=:7sf7g83>!55>32j76g76;29 64121<07dl<:18'770=9!55>389565f27a94?"4:?09:n5G31;8?l43i3:1(><9:36b?M57121b>;650;&063<5?k10qo:9c;290?6=8r.95i4>989K74e<@::j7)<<8;10<>"4:?09845+1839323<,85<#;;<1;>5a33694>=n9<81<7*<27847>h4:=0:76g>5283>!55>3=87c==4;08?j45<3:1(><9:30:?>{ec:J04d=#;;<1>974$0;2>2123-;=87?=7:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=h:;>1<7*<27816<=8i7>54;294~"51m0:545G30a8L66f3-8847=<0:&063<5<01/=4?57678 4032=:0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;n010?6=,:8=6?<6;:a06b=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<39;7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=:m7>56;294~"51m0:5o5G30a8L66f3-8847=97d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1>?74;|`75=<72>0;6=u+28f95=7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=53;294~"51m0:555G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5379j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;n010?6=,:8=6?<6;:a326=8391<7>t$3;g>4??3A9:o6F<0`9'770=:=30(<7>:656?!71<39m7d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo99f;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+176970=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a7c>=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<38n7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=56;294~"51m0:5o5G30a8L66f3-8847=<7:&063<5<01/=4?57678 4032:i0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865`23694?"4:?09>454}c1eg?6=?3:1>n;%112?4312.:5<48749'532=9:i0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj>936=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94:1:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl83683>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>g=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a2g3=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3?:7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5b:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl93383>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>071<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21b=8850;&063<0;2d8>94:;:m161<72-99:7<=9:9~f356290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0i7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5509j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65f14794?"4:?0o6=?0;6)==6;50?k55<3?07b<=4;29 6412;8276sm5`g94?1=83:p(?7k:0;`?M56k2B81<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21b=8850;&063<0;2d8>94:;:m161<72-99:7<=9:9~f637290?6=4?{%0:`?7>12B8=n5G31c8 6412;>27)?61;541>"6>=0h7d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f230290?6=4?{%0:`?7f82B8=n5G31c8 641283n7E<;7:J21c=#90;1;:;4i072>5<#;;<1=8m4;h366?6=,:8=6<;k;:k12=<72-99:7<9b:9l672=83.8>;4=2898yg1203:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1>=5f14394?"4:?0o6=;0;6)==6;50?k55<3;07d?:3;29 6412>90b><;:398m433290/??85729m772=;21b=8;50;&063<0;2d8>94;;:k213<72-99:79<;o110?3<3f8987>5$205>74>32wi;8750;494?6|,;3o6<7m;I12g>N48h1/??8525;8 4?62>=>7)?94;0e?l7293:1(><9:618j6432910e<;=:18'770=?:1e??:51:9j505=83.8>;483:l061<532c:994?:%112?143g9987=4;h361?6=,:8=6:=4n207>1=5}#:0n1=l>4H23`?M57i2.8>;4>9d9K611<@8?m7)?61;541>o6=80;6)==6;36g>=n9<81<7*<27821a=5$205>74>32wi8o750;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;30e>o6=80;6)==6;50?k55<3:07d?:2;29 6412>90b><;:098m434290/??85729m772=:21b=8:50;&063<0;2d8>94<;:k210<72-99:79<;o110?2<3`;>:7>5$205>255<#;;<1>?74;|`7fd<72?0;6=u+28f95:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865`23694?"4:?09>454}c62f?6=<3:1>n;%112?7>m2B98:5G14d8 4?62>=>7d?:1;29 64128?h76g>5383>!55>3;>h65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`75f<72>0;6=u+28f95?0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj=;o6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94=3:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl<6883>7<729q/>4j57b9K74e<@::j7)==6;::?!7>93=<96T=998260?2te9>94?:%112?45121b=8>50;&063<6=k10qo9:e;296?6=8r.95i48c:J05f=O;9k0(><9:9;8 4?62>=>7W<68;3x30e=uf8987>5$205>74>32c:9=4?:%112?72j21vn5<7s-82h7?79:J05f=O;9k0(><9:6`8 4?62>=>7d?:0;29 64128?i76a=2583>!55>38956F<2498yg5bi3:1>7>50z&1=a<6001C?3=i7)?61;541>o6=90;6)==6;36f>=h:;>1<7*<27816<=O;;?07pl80e83>7<729q/>4j519;8L67d3A9;m6*<2784f>"6180<;85f14294?"4:?0:9o54o307>5<#;;<1>?74H206?>{e>1k1<7<50;2x 7?c28227E=>c:J04d=#;;<1;o5+18393235$205>74>3A99965rb724>5<5290;w)<6d;3;=>N49j1C?=o4$205>2d<,83:6:9:;h364?6=,:8=6<;m;:m161<72-99:7<=9:J060=52;294~"51m0:445G30a8L66f3-99:79m;%3:5?10=2c:9=4?:%112?72j21d>?:50;&063<5:01C??;4;|`2e7<72;0;6=u+28f95=?<@:;h7E=?a:&063<0j2.:5<48749j506=83.8>;4>5c98k743290/??8523;8L64232wi:h=50;094?6|,;3o6<66;I12g>N48h1/??857c9'5<7=?>?0e<;?:18'770=9h0(<7>:656?l7283:1(><9:07a?>i5:=0;6)==6;01=>N4:<10qo;kd;296?6=8r.95i4>889K74e<@::j7)==6;5a?!7>93=<96g>5183>!55>3;>n65`23694?"4:?09>45G3378?xd2=h0;6?4?:1y'6?l;I13e>"4:?0908430=n9<:1<7*<27821g=76sm46g94?0b290;w)<6d;076>N49j1C?=o4Z6g9g~702821>o4=c;0;>71=:009m7?k:0`95d<6m3w/=;;55:&223<23-;=;7;4$04;>0=#91<186*<168101=#;821?<84nc79=>he>330bko50:l24a<73-;9<7<66:&264<51?1/=;>55:&224<23-;=>7;4$040>0=#9?3196*>6`86?!71j3?0(<8l:49'53b==2.::h4:;%35b?3<,8=;685+16391>"6?;0>7)?83;78 4132<1/=:;55:&233<23-;<;7;4$05;>0=#9>3196*>7`86?!70j3?0(<9l:49'52b==2.:;h4:;%34b?3<,82;685+19391>"60;0>7)?73;78 4>32<1/=5;54:&106<50o1/>9k5879'61`=0?1/>9j529a8 71>2=1/>:o54:&2<2<5::1C>4>4$205>7253-=96?8k;%3:5?10=2.9;<486:k10f<722c:=k4?::k100<722c:=h4?::k:1?6=@;9<7W<68;3x730=u2c2;7>5H314?_4>03;p?;85}:k103<722c98o4?::k054<72-88:7=>0:l170<73-89=7=?e:l165<632c8;%015?57m2d9>=4?;:me4?6=,;9=6hh4n316>5=#:;;1i55a2329b>=hml0;6)<<6;ge?k44=3;0(?<>:d:8j7472l10chj50;&1735<#::<1ik5a22797>"5:80n46`=218`?>ibj3:1(?=9:dd8j7522=1/>??5e99m676=j21dil4?:%002?ca3g8897;4$302>`>>85eg9m663=?2.9><4j8:l1655$315>``655+2339a==i:;:1;65`f783>!44>3om7c<<5;;8 7462l20b?h5:90?76ai3;29 7512ll0b?=::b9'677=m11e>?>53:9lb7<72-88:7ki;o001?b<,;8:6h64n303>7=h5;<0n7)<=1;g;?k4583;07bk6:18'660=mo1e>>;5f:&164=4?;:m257<72-88:7?>1:l170<73-89=7??f:l165<632e:==4?:%002?7692d9?84>;%015?77n2d9>=4?;:m253<72-88:7?>5:l170<73-89=7?>3:l165<632e:=94?:%002?76=2d9?84>;%015?76;2d9>=4?;:k050<72-88:7=>4:l170<73-89=7=>2:l165<632c8=>4?:%002?56<2d9?84>;%015?56:2d9>=4?;:k6=?6=,;9=6864n316>5=#:;;1995a2329g>=n=>0;6)<<6;7;?k44=3;0(?<>:468j7472k10e8850;&173<202d9?84=;%015?333g89<7o4;h41>5<#::<1955a22797>"5:80>86`=218:?>o193:1(?=9:4:8j7522=1/>??5559m676=021b:=4?:%002?3?3g8897;4$302>0246`=3485?!4593??7c<=0;48?l3b290/>>85599m663=?2.9><4:4:l165<232c>h7>5$315>0>655+233911=i:;:1865f5b83>!44>3?37c<<5;;8 7462<>0b?h5:90:76g:5;29 7512<20b?=::b9'677===1e>?>50:9ja3<72-88:7k:;o001?6<,;8:6h=4n303>4=1<7*=378f1>h5;<0:7)<=1;g0?k4583:07dj::18'660=l=1e>>;50:&164=4i;:kg7?6=,;9=6i:4n316>4=#:;;1oi5a2329a>=nl;0;6)<<6;f7?k44=380(?<>:bf8j7472m10ei?50;&1735<#::<1h95a22790>"5:80hh6`=218a?>odn3:1(?=9:e68j7522<1/>??5ce9m676=i21bhh4?:%002?b33g889784$302>fb>85d59m663=02.9><4ld:l165<032con7>5$315>a2645+2339ga=i:;:1:65fd`83>!44>3n?7c<<5;c8 7462jn0b?h5:90876gk7;29 7512m>0b?=::e9'677=km1e>?>52:9j`3<72-88:7j;;o001?c<,;8:6nj4n303>4=h5;<0m7)<=1;ag?k4583:07dm<:18'660=k;1e>>;50:&164=4i;:k`5?6=,;9=6n<4n316>4=#:;;1no5a2329a>=nk90;6)<<6;a1?k44=380(?<>:c`8j7472m10eoh50;&1735<#::<1o?5a22790>"5:80in6`=218a?>oel3:1(?=9:b08j7522<1/>??5bc9m676=i21bon4?:%002?e53g889784$302>gd6`=3484?!4593hi7c<=0;:8?lef290/>>85c39m663=02.9><4mb:l165<032ch57>5$315>f4645+2339fg=i:;:1:65fc983>!44>3i97c<<5;c8 7462kh0b?h5:90876gl5;29 7512j80b?=::e9'677=jk1e>?>52:9jg1<72-88:7m=;o001?c<,;8:6ol4n303>4=h5;<0m7)<=1;`a?k4583:07d87:18'660=>>1e>>;50:&164<1;2d9>=4l;:k52?6=,;9=6;94n316>4=#:;;1:>5a2329f>=n><0;6)<<6;44?k44=380(?<>:718j7472h10e:?50;&173<1?2d9?84<;%015?043g89<774;h53>5<#::<1::5a22790>"5:80=?6`=218;?>o1n3:1(?=9:758j7522<1/>??5629m676=?21b:h4?:%002?003g889784$302>35>85669m663=02.9><493:l165<332c=n7>5$315>31645+233926=i:;:1?65f6`83>!44>3<<7c<<5;c8 7462?90b?h5:90;76a>0283>!44>3;;>6`=3483?!4593li7c<=0;d8?j7793:1(?=9:021?k44=3;0(?<>:g`8j7472l10c<>?:18'660=9980b?=::39'677=nk1e>?>5d:9lbc<72-88:7??2:l170<43-89=7hm;o014?e<3fln6=4+2249554695+2339bg=i:;:1n65`fe83>!44>3;;>6`=3486?!4593li7c<=0;c8?j77k3:1(?=9:021?k44=3<0(?<>:g`8j7472010c<>m:18'660=9980b?=::69'677=nk1e>?>58:9l55g=83.9?;4>039m663=02.9><4ib:l165<032e:<44?:%002?77:2d9?846;%015?`e3g89<784;n33=;o001?g<,;8:6kl4n303>0=6o5+2339bg=i:;:1865`11494?"5;?0:"5:80mn6`=2180?>i68<0;6)<<6;336>h5;<0o7)<=1;da?k4583807b??4;29 75128:97c<<5;g8 7462oh0b?039m663=n2.9><4ib:l165<732cn>7>5$315>`76=5+2339`c=i:;:1=65fe183>!44>3o:7c<<5;38 7462ml0b?54;0;40M57i2.95i4<9b9Y3`<3s8i1=k4>c;3e>x"4:8085k5+26296>"5>o08>i5+15597f3<,8>36>7l;[0:3:17b=60;29 7512:2m7c<<5;28?j5?m3:1(?=9:2:e?k44=3;07b=7d;29 7512:2m7c<<5;08?j5?k3:1(?=9:2:e?k44=3907b=7b;29 7512:2m7c<<5;68?j5?i3:1(?=9:2:e?k44=3?07b=69;29 7512:2m7c<<5;48?j5>03:1(?=9:2:e?k44=3=07b=67;29 7512:2m7c<<5;:8?j5>>3:1(?=9:2:e?k44=3307b=65;29 7512:2m7c<<5;c8?j5><3:1(?=9:2:e?k44=3h07b=63;29 7512:2m7c<<5;a8?j5>:3:1(?=9:2:e?k44=3n07b=61;29 7512:2m7c<<5;g8?j5?13:1(?=9:2:e?k44=3l07b=n0;29?j52i3:17b=6a;29?j5213:17o=>f;295?6=8r.95i4>4e9K74e<@::j7)==6;11a>"6180<;85`15a94?"4:?09>454}c130?6=>3:1>n;%3:`?4>>2.::94<;%112?74j2B9855+1839323<@>=0V?77:0y023l1<7*<278;e>=nj:0;6)==6;36f>=h:=:1<7*<27816<==n::31<7*<27812f=56;294~"51m0:>h5G30a8L66f3-;2h7<66:&221<43-99:7?!55>3;>n65`25294?"4:?09>454i9494?"4:?03:65f22;94?"4:?09:n54i`494?"4:?098l54}c136?6=>3:1>n;%3:`?4>>2.8>;4>3c9'532=9=1/=4?57678L21;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k17<<72-99:7<9c:9l616=83.8>;4=2898m72f290/??8525c8L66>32wi>kj50;494?6|,;3o6<==;I12g>N48h1/=;:5159'770=9:h0(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54ic194?"4:?0:9o54i31:>5<#;;<1>;m4;n074?6=,:8=6?<6;:k;2?6=,:8=6584H22:?>o5N48010qo339K74e<@::j7)?94;37?!55>3;8n6*>908430=]:021=v=96;j3c<72-99:76n;:ka7?6=,:8=6<;m;:k17<<72-99:7<9c:9l616=83.8>;4=2898m=0=83.8>;476:J04<=j6=4+334961g<@::276sm31394?0=83:p(?7k:011?M56k2B8l4$0;2>2123S8247?t3749yl1a290/??858`98mg5=83.8>;4>5c98m75>290/??8527a8?j4383:1(><9:30:?>o?>3:1(><9:948L66>32c98l4?:%112?43i2B8<454}c134?6=>3:1>n;%350?733-99:7?4651z152?{n?o0;6)==6;:b?>oe;3:1(><9:07a?>o5;00;6)==6;05g>=h:=:1<7*<27816<=N48010e?:n:18'770=:=k0D>>6;:a6d`=83<1<7>t$3;g>4553A9:o6F<0`9'532=9=1/??8512`8 4?62>=>7W<68;3x730=u`=m6=4+33495$205>74>32c3:7>5$205>=0<@::276g=4`83>!55>38?m6F<0898yg4fk3:1:7>50z&1=a<6;;1C?"6180<;85U28:95~51>3wb;k4?:%112?>f32ci?7>5$205>43e32c9?44?:%112?41k21d>9>50;&063<5:010e5850;&0632B8<454i36b>5<#;;<1>9o4H22:?>{e:ho1<7850;2x 7?c28997E=>c:J04d=#9?>1=95+334956d<,83:6:9:;[0:>6;:k10d<72-99:7<;a:J04<=56;294~"51m0:?=5G30a8L66f3-;=87=4$205>45e3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10el850;&063<5=>7W<68;3x730=u`=m6=4+3349=ni?0;6)==6;07e>=nj:0;6)==6;36f>=h:=:1<7*<27816<="4:?0:?o5+1839323;47a:9j<3<72-99:769;:kb2?6=,:8=6?:n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j63e=83.8>;4=6b9K75?<3th9o;4?:783>5}#:0n1=>>4H23`?M57i2.::94<;%112?74j2.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07do9:18'770=:=k07dl<:18'770=9!55>38=o6F<0898yg4d93:1:7>50z&1=a<6;91C?<9:01a?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8=o7>5$205>70d3A9;565rb3a3>5<1290;w)<6d;304>N49j1C?=o4$047>6=#;;<1=>l4$0;2>2123S8247?t3749yl1a290/??858`98m=0=83.8>;476:9je3<72-99:7<;a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m70d290/??8527a8L66>32wi>oh50;494?6|,;3o6<=?;I12g>N48h1/=;:53:&063<6;k1/=4?57678^7??28q8:;4ri6d94?"4:?03m65f8783>!55>32=76gn6;29 6412;>j76gm3;29 64128?i76a=4183>!55>389565f27a94?"4:?09:n5G31;8?xd5jk0;6;4?:1y'6?l;I13e>"6>=087)==6;30f>"6180<;85U28:95~51>3wb;k4?:%112?>f32c3:7>5$205>=0<3`k=6=4+334961g<3`h86=4+334950d<3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454}c0ae?6=>3:1>n;%350?5<,:8=6<=m;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?l>1290/??858798md0=83.8>;4=4`98mg5=83.8>;4>5c98k727290/??8523;8?l41k3:1(><9:34`?M57121vn?j7:185>5<7s-82h7?=f:J05f=O;9k0(<8;:29'770=9:h0D?:7;%3:5?10=2P9554>{245>xo0n3:1(><9:9c8?lg1290/??8525c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>{e:m?1<7850;2x 7?c288m7E=>c:J04d=#9?>1?6*<27827g=O:=20(<7>:656?_4>03;p?;85}h5e>5<#;;<14l54i`494?"4:?098l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h:5>5<#;;<14;54i31:>5<#;;<1>;m4;|`1`2<72?0;6=u+28f957`<@:;h7E=?a:&221<43-99:7?4}4>?0ve:h50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10qo319K74e<@::j7)?94;18 641289i7)?61;541>\5110:w>89:|k4b?6=,:8=65o4;h:5>5<#;;<14;54i`494?"4:?098l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h05g?6=,:8=6?8l;I13=>=zj;on6=49:183!4>l3;8<6F<1b9K75g<,85+334956d<,83:6:9:;[0:21bm;4?:%112?43i21bn>4?:%112?72j21d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:a6`b=83<1<7>t$3;g>4573A9:o6F<0`9'532=;2.8>;4>3c9'5<7=?>?0V?77:0y023l1<7*<278;e>=n0?0;6)==6;:5?>of>3:1(><9:36b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n:?i1<7*<27812f=O;9307pl=eb83>3<729q/>4j51228L67d3A9;m6*>6580?!55>3;8n6*>908430=]:021=v=96;j3c<72-99:76n;:k;2?6=,:8=6584;hc5>5<#;;<1>9o4;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=56;294~"51m0:?=5G30a8L66f3-;=87=4$205>45e3-;2=7985:X1==<6s:<=6pg8f;29 64121k07d69:18'770=0?10el850;&063<5=>7W<68;3x730=u`=m6=4+3349=ni?0;6)==6;07e>=nj:0;6)==6;36f>=h:=:1<7*<27816<="4:?0:?o5+1839323;47a:9j<3<72-99:769;:kb2?6=,:8=6?:n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j63e=83.8>;4=6b9K75?<3th9i<4?:783>5}#:0n1=>>4H23`?M57i2.::94<;%112?74j2.:5<48749Y6<>=9r9=:7sf7g83>!55>32j76g76;29 64121<07do9:18'770=:=k07dl<:18'770=9!55>38=o6F<0898yg4b83:1:7>50z&1=a<6;91C?<9:01a?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8=o7>5$205>70d3A9;565rb3`3>5<4290;w)<6d;3:<>N49j1C?=o4$31;>6223-99:7<;9:&2=4<0?<1/=;:5239j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;n010?6=,:8=6?<6;:a6a?=8391<7>t$3;g>4??3A9:o6F<0`9'770=:=30(<7>:656?!71<3897d?:1;29 6412>90b><;:198m435290/??85729m772=921d>?:50;&063<5:010qo989K74e<@::j7)<<8;171>"4:?09845+1839323<,85=1=65f14194?"4:?0i5:=0;6)==6;01=>=zj::=6=4<:183!4>l3;246F<1b9K75g<,:8=6?:6;%3:5?10=2.::94=2:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1>?74;|`1e2<72>0;6=u+28f95:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj;k36=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94<3:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl=a883>0<729q/>4j518c8L67d3A9;m6*<27810<=#90;1;:;4$047>641<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298k743290/??8523;8?xd5ih0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907d?:5;29 6412>90b><;:598m431290/??85729m772==21d>?:50;&063<5:010qo<6f;297?6=8r.95i4>999K74e<@::j7)==6;07=>"6180<;85+176903=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?j45<3:1(><9:30:?>{e:m91<7950;2x 7?c283h7E=>c:J04d=#;;<1>974$0;2>2123-;=87?;5:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl=cc83>6<729q/>4j518:8L67d3A9;m6*<27810<=#90;1;:;4$047>101<65f14094?"4:?0i5:=0;6)==6;01=>=zj;k;6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94:3:k214<72-99:79<;o110?6<3`;>>7>5$205>255<#;;<1;>5a33696>=n9<>1<7*<27847>h4:=0876g>5483>!55>3=87c==4;68?l72>3:1(><9:618j6432<10c?<;:18'770=:;307pl=cb83>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>221<65f14094?"4:?0o6=:0;6)==6;50?k55<3807d?:4;29 6412>90b><;:298m432290/??85729m772=<21b=8850;&063<0;2d8>94:;:m161<72-99:7<=9:9~f7g6290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:?6g>5083>!55>3=87c==4;28?l72:3:1(><9:618j6432810e<;<:18'770=?:1e??:52:9j502=83.8>;483:l061<432c:984?:%112?143g9987:4;h362?6=,:8=6:=4n207>0=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:018m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554i076>5<#;;<1;>5a33690>=n9<<1<7*<27847>h4:=0>76a=2583>!55>389565rb3c0>5<2290;w)<6d;3:e>N49j1C?=o4$205>72>3-;2=7985:&221<6:01b=8?50;&063<0;2d8>94?;:k217<72-99:79<;o110?7<3`;>?7>5$205>255<#;;<1;>5a33697>=h:;>1<7*<27816<=7>56;294~"51m0:5o5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:51528m436290/??85729m772=821b=8<50;&063<0;2d8>94>;:k216<72-99:79<;o110?4<3`;>87>5$205>2554i076>5<#;;<1;>5a33690>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5439j507=83.8>;483:l061<732c:9?4?:%112?143g9987?4;h367?6=,:8=6:=4n207>7=1?65`23694?"4:?09>454}c0``?6=>3:1>n;%112?4312.:5<48749'532=9;k0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865`23694?"4:?09>454}c0b2?6=?3:1>n;%112?4312.:5<48749'532=9:l0e<;>:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=6=4+334936=i;;>1865f14494?"4:?0i5:=0;6)==6;01=>=zj;k>6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::948;h365?6=,:8=6:=4n207>5=1=65f14194?"4:?0o6==0;6)==6;50?k55<3907d?:5;29 6412>90b><;:598m431290/??85729m772==21d>?:50;&063<5:010qo9b9K74e<@::j7)==6;07=>"6180<;85+1769<5=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9j503=83.8>;483:l061<332c:9;4?:%112?143g9987;4;n010?6=,:8=6?<6;:a6a7=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;97d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:k211<72-99:79<;o110?5<3`;>97>5$205>255<#;;<1;>5a33691>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-8847=:18'770=?:1e??:50:9j504=83.8>;483:l061<632c:9>4?:%112?143g9987<4;h360?6=,:8=6:=4n207>6=5}#:0n1=474H23`?M57i2.9?54<3`9'770=:=30(<7>:656?!71<3>27d?:1;29 6412>90b><;:198m435290/??85729m772=921b=8=50;&063<0;2d8>94=;:m161<72-99:7<=9:9~f7be290>6=4?{%0:`?7>i2B8=n5G31c8 75?2:8m7)==6;07=>"6180<;85+17690d=n9<;1<7*<27847>h4:=0;76g>5383>!55>3=87c==4;38?l72;3:1(><9:618j6432;10e<;;:18'770=?:1e??:53:9l672=83.8>;4=2898yg4ci3:187>50z&1=a<6101C?1?1<65f14094?"4:?0o6=:0;6)==6;50?k55<3807b<=4;29 6412;8276sm2g394?4=83:p(?7k:0::?M56k2B85<#;;<1=8l4;n010?6=,:8=6?<6;I111>=zj::<6=4=:183!4>l3;356F<1b9K75g<,:8=6:l4$0;2>2123`;><7>5$205>43e32e9>94?:%112?4512B8>854}c0a6?6=:3:1>n;%112?1e3-;2=7985:k215<72-99:7?:b:9l672=83.8>;4=289K773<3th9j44?:383>5}#:0n1=574H23`?M57i2.8>;48b:&2=4<0?<1b=8>50;&063<6=k10c?<;:18'770=:;30D><:;:a6c5=8344=a;3g>4d=9h0:i7sab4810>he>38?7chn:19m55b=82.:>=4=979'577=:0<0(<8?:49'537==2.::?4:;%357?3<,8<>685+17491>"6>>0>7)?98;78 40>2<1/=;o55:&22g<23-;=o7;4$04g>0=#9?o196*>6g86?!7083?0(<9>:49'524==2.:;>4:;%340?3<,8=>685+16491>"6?>0>7)?88;78 41>2<1/=:o55:&23g<23-;0=#9>o196*>7g86?!7?83?0(<6>:49'5=4==2.:4>4:;%3;0?3<,82>695+19490>"60>09>>5+251963g<,;>o6?6l;%07a?>13-8?j769;%04=?2<,;=j695+3059612<,:;36?:;;I0:4>"4:?098?5+73812a=#90;1;:;4$352>20h6=44i03e>5<>6=44i03f>5<=9r9=:7s4i8594?N5;>1Q>4651z152?{=6=44i36a>5<6=5+233975c5<#::<1?<>4n316>4=#:;;1?=k4n303>5=h5;<0;7)<=1;g;?k4583l07bkj:18'660=mo1e>>;51:&164=4j;:mf`?6=,;9=6hh4n316>7=#:;;1i55a2329`>=hmj0;6)<<6;ge?k44=390(?<>:d:8j7472j10chl50;&1735<#::<1ik5a22791>"5:80n46`=218b?>ia13:1(?=9:dd8j7522?1/>??5e99m676=121dj54?:%002?ca3g889794$302>`>>85eg9m663=12.9><4j8:l165<132em97>5$315>``6l5+2339a==i:;:1965`f583>!44>3om7c<<5;`8 7462l20b?h5:90976ai1;29 7512ll0b?=::d9'677=m11e>?>51:9la<<72-88:7ki;o001?`<,;8:6h64n303>5=6=5+233955`5<#::<1=4=#:;;1==h4n303>5=6=5+23395455<#::<1=<;4n316>4=#:;;1=<=4n303>5=6=4+22497426=5+23397445<#::<1?<:4n316>4=#:;;1?<<4n303>5=h5;<0;7)<=1;77?k4583i07d;8:18'660==11e>>;51:&164<2<2d9>=4m;:k62?6=,;9=6864n316>7=#:;;1995a2329e>=n>;0;6)<<6;7;?k44=390(?<>:468j7472010e;?50;&173<202d9?84;;%015?333g89<764;h43>5<#::<1955a22791>"5:80>86`=2184?>o2n3:1(?=9:4:8j7522?1/>??5559m676=>21b9h4?:%002?3?3g889794$302>0246`=348;?!4593??7c<=0;68?l3d290/>>85599m663=12.9><4:4:l165<432c>n7>5$315>0>6l5+233911=i:;:1>65f5`83>!44>3?37c<<5;`8 7462<>0b?h5:90:76gj4;29 7512l?0b?=::09'677=m:1e>?>50:9j`0<72-88:7j;;o001?6<,;8:6nj4n303>c=h5;<0:7)<=1;ag?k4583o07dj=:18'660=l=1e>>;52:&164=4k;:kg5?6=,;9=6i:4n316>6=#:;;1oi5a2329g>=nl90;6)<<6;f7?k44=3>0(?<>:bf8j7472k10enh50;&1735<#::<1h95a22792>"5:80hh6`=218:?>ocl3:1(?=9:e68j7522>1/>??5ce9m676=021bhn4?:%002?b33g889764$302>fb>85d59m663=i2.9><4ld:l165<232co57>5$315>a26o5+2339ga=i:;:1865fd983>!44>3n?7c<<5;a8 7462jn0b?h5:90:76gle;29 7512m>0b?=::g9'677=km1e>?>50:9jg6<72-88:7m=;o001?6<,;8:6ol4n303>c=h5;<0:7)<=1;`a?k4583o07dm?:18'660=k;1e>>;52:&164=4k;:kab?6=,;9=6n<4n316>6=#:;;1no5a2329g>=njl0;6)<<6;a1?k44=3>0(?<>:c`8j7472k10eoj50;&1735<#::<1o?5a22792>"5:80in6`=218:?>odj3:1(?=9:b08j7522>1/>??5bc9m676=021bol4?:%002?e53g889764$302>gd6`=348:?!4593hi7c<=0;48?le?290/>>85c39m663=i2.9><4mb:l165<232ch;7>5$315>f46o5+2339fg=i:;:1865fc783>!44>3i97c<<5;a8 7462kh0b?h5:90:76gmc;29 7512j80b?=::g9'677=jk1e>?>50:9j2=<72-88:788;o001?6<,;8:6;=4n303>f=h5;<0:7)<=1;40?k4583h07d8::18'660=>>1e>>;52:&164<1;2d9>=4n;:k45?6=,;9=6;94n316>6=#:;;1:>5a2329=>=n?90;6)<<6;44?k44=3>0(?<>:718j7472110e;h50;&173<1?2d9?84:;%015?043g89<794;h4f>5<#::<1::5a22792>"5:80=?6`=2185?>o1l3:1(?=9:758j7522>1/>??5629m676==21b:n4?:%002?003g889764$302>35>85669m663=i2.9><493:l165<532c=57>5$315>316o5+233926=i:;:1=65f6583>!44>3<<7c<<5;a8 7462?90b?>851108j752291/>??5fc9m676=n21d==?50;&173<68;1e>>;51:&164=4j;:m245<72-88:7??2:l170<53-89=7hm;o014?b<3flm6=4+22495546>5+2339bg=i:;:1o65`fd83>!44>3;;>6`=3487?!4593li7c<=0;`8?j`c290/>>851108j7522<1/>??5fc9m676=i21d==m50;&173<68;1e>>;56:&164=46;:m24g<72-88:7??2:l170<03-89=7hm;o014?><3f;;m7>5$315>4653g889764$302>cd5<#::<1==<4n316><=#:;;1jo5a23292>=h9921<7*=378247=i::?1m6*=208ef>h5:90>76a>0683>!44>3;;>6`=348a?!4593li7c<=0;68?j77>3:1(?=9:021?k44=3i0(?<>:g`8j7472:10c<>::18'660=9980b?=::e9'677=nk1e>?>52:9l552=83.9?;4>039m663=m2.9><4ib:l165<632emo7>5$315>4653g8897h4$302>cd>85e09m663=92.9><4kf:l165<732wx?:h50;0xZ61a348m?7j?;|q03a<72;qU?:j4=3d0>f`52z\03f=::o91hh5rs25a>5<5sW9{t;>k1<77}Y;>301?h<:e58yv5?03:1>vP<899>6c5=l?1v>68:181[5??279j>4le:p7=0=838pR>69;<0e7?db3ty8484?:3y]7=3<5;l86oj4}r1;0?6=:rT849522g19gf=z{:286=4={_1;7>;5n:0hn6s|39094?4|V:2970k=5c59~w61?2909wS=88:?1b6=279j>467:p30d=838pR:;m;<136?4412wx;::50;1xZ213348o57?:1:?1e3<6=81v:;9:187[12>279n=4>509>6c0=9<801?j=:072?xu4190;6?uQ382897`42oo0q~=7e;296~X40l16>k=5fe9~w6>c2909wS=7d:?1b6<68j1v>6l:181[5?k279j>4>0c9~w6>e2909wS=7b:?1b6<68?1v>6n:181[5?i279j>4>049~w6?>2909wS=69:?1b6<68=1v>77:181[5>0279j>4ic:p7<1=838pR>78;<0e7?ce3ty85;4?:3y]7<0<5;l86ho4}r1:1?6=:rT858522g19b<=z{:3?6=4={_1:0>;5n:0m46s|38194?4|V:3870k=5f09~w6>>2909wS=79:?1b6i650;0xZ6g7348o47<;0:p6a3=839pR>;n;<0g1?438279on4>509~w7ga2909wS=6a:?1ec<5<91v?ol:180[521279mn4=419>6d6=9<;0q~?j0;296c}:;8l1=9m4=221>g5<5;lo6o=4=3d`>g5<5:::6o=4=223>g5<5;l86?::;<0e7?43>279j>4=4c9>6c5==016>k=5569>6c5==?16>k=5639>6c5==m16>k=55b9>6c5==k16>k=55`9>6c5==<16>k=5e79>6c5=m=16>k=5d49>6c5=l:16>k=5d39>6c5=l816>k=5db9>6c5=lk16>k=5d`9>6c5=l016>k=5c29>6c5=k816>k=5c19>6c5=jo16>k=5c`9>6c5=k016>k=5c99>6c5=k>16>k=5699>6c5=>?16>k=5649>6c5=?816>k=56b9>6c5=>k16>k=56`9>6c5=>016>k=5659>6c5=m;16>k=5e19~w6642908w0=?4;`0?857;38?<63<038;2>{t;9>1<7727349;:7?:2:p754=839p1>><:c1896652;>;70=?6;365>{t;9?1<7;t=3dg>75>348mo7<<9:?044<5;016?=>522;896612;8?7p}=fc83>a}::on1>9>4=3ce>75>348jo7<<9:?1e`<5;016>n75a79>6f>=i?16>n95a79>6f0=i?16>n?5a79>6f6=i?16>oh5a79>6gd=i?16>oo5a79~w7`f2908w0;5n?0:9>522g1961e5az?044<5<916>hh5a79>6`c=i?16>hj5a79>6`e=i?16>h95a79>6`0=i?16>h;5a79>6`7=i?16>h>5a79~w7`b290?w0=?0;074>;5l10j:63=d48b2>;5l>0j:6s|2`f94?5|5;km6o=4=3cf>g5<5;kj6?<;;|q1eg<72;q6>lm5b29>6d6=:;>0q~;<0be?729279h?4>559~w7`0290nw032=70434348j97?:5:p6gc=83>p1?m6:c1897e62;>;70;5i<0:9<5rs3a6>5<0s48h57<;0:?1e2<6==16>l65146897ea28?:70;5l80:9?522g1935=z{;ho6=4;{<0`l;51408yv4d<3:1;v3=c98105=::h=1=8<4=3c;>435348hn7?:1:?1g`<6=:16>i<5147897`42?l0q~0i?63=bg8105=::h91=8<4=3c5>4343ty9o>4?:cy>6f1=:=:01?o8:075?84f13;>>63=a08216=::jl1=8=4=3af>433348o>7?:6:?1f0<6=;16>o:5140897`>28?;70?:4}r0`6?6=lr79o;4=419>6d>=9:63=cg8210=::h91=8:4=3ag>434348j:7?:6:?1`4<6=:16>o;5141897d328?870;5n:0=h6s|2c594?2|5;i:6o=4=3`a>727348j=7?:1:?1f0<6=81v?l9:18684d83h870;51o0:9<522c79502<5;h?6<;>;|q1f6<72;q6>oh5b29>6c?=:;>0q~?:4}r0g3?6=6a?=9<801?j<:072?84f>3;>>6s|2g:94?74s48nj769;<0fa?>1348nh769;<0fg?>1348n;769;<0f2?>1348n9769;<0f5?>1348n<769;<0g=?45<279ml4>559>6d6=9<>01?ml:076?84c93;>:63=f2825`=::o91?66a348m?7=>5:?1b6<49:1v?k;:18784bn3h870;5km0:9<522e3950757z?1ac<5<916>l95141897g?28?870;5i;0:9>522`79505<5;l86;?4}r0f7?6=nk5140897b528?87p}=e`83>2}::lo1>9>4=3c4>436348j47?:1:?1=c<6=;16>l<5146897g228??709>4=3af>436348o>7?:2:p6`?=83hp1?kk:363?84f?3;>963=a88214=::h;1=8:4=3ae>433348j?7?:1:?1e3<6==16>il5140897bf28?970=?7;364>;5n:0>j6s|2g294?4|5;oh6o=4=3d2>7433ty9i54?:ey>6`e=:=:01?o7:075?84f13;>?63=a08210=::jl1=884=3c0>434348hh7?:4:?1e3<6=<16>i?5146897be28?870;5n80:9=522g191`=z{;no6=4;{<0f3?d4348n=7<;0:?1gc<6=;16>il51438yv4ck3:19v3=e78a7>;5m9098=522b`9504<5;ni6<;;;<0ge?7292wx>k<50;0x97c22k901>>8:307?xu5lo0;6?u22d39f6=::mh1>?:4}r0ga?6=:r79i=4m3:?1`d<5:=1v?h::18184a>389863=f2825c=z{;k>6=4<{<0b3?45<279ml4>549>6a5=99522`c9504<5;n86<;=;|q1e=<72:q6>l75236897gf28?870{t:h>1<7431348j97<=4:p6>6s|2e294?4|5;n86<;9;<0g5?45<2wx>no50;0x97ee2;8?70{t9l21<7432348j=7<=4:p5`e=838p1?o?:075?84f:38986s|21;94?4|5;ih6<;;;<0`b?45<2wx=kk50;0x97ed28?=70{t:8>1<7743348j>7?:5:p5`7=838p1?mj:307?84dl3;>96s|1d794?4|5;k=6?<;;<0b1?72>2wx=h850;0x97b52;8?70{zj:<<6=4>:183!55>389n6F<0`9K50c<,82o6<;m;%3:7?2b3`89n7>5$205>74e32wi8ko50;394?6|,:8=6?N6=l1/=5j523;8 4?4282n7b<=b;29 6412;8i7E<65:9~f23d290:6=4?{%112?45j2B8c28?i7)?63;3;b>o5:k0;6)==6;01f>=zj><:6=4>:183!55>389n6F<0`9K50c<,82o6<;m;%3:7?73n2c9>o4?:%112?45j21vn9hl:182>5<7s-99:7<=b:J04d=O9;3;?i6g=2c83>!55>389n65rb466>5<6290;w)==6;01f>N48h1C=8k4$0:g>74>3-;2?76>;n01f?6=,:8=6?t$205>74e3A9;m6F>5d9'5=b=:;30(<7<:6:8k74e290/??8523`8?xd2<;0;6<4?:1y'770=:;h0D>>n;I36a>"60m09>45+18193<=h:;h1<7*<27816g=51;294~"4:?09>o5G31c8L43b3-;3h7<=9:&2=6<3k2e9>o4?:%112?45j21vn:9=:183>5<7s-82h7<62:J04==O:0:0@?7=:2y'6k389j6srb3;4>5<7290;w)<6d;0:3>"6180<;85G2828H64?2=q/>4l52208 7?f2;9:7)<6c;007>"51009?=5r}r153?6=;rT8::523719506<5:<<6?9?4=517>72634>897<;1:?773<5<8168>95253891>22;>:70:76;075>;30>098<5249:9617<5=226?:>;<6a1?d434>i97<<9:?7ad27?io4n6:?7af27?ii4n6:?427<5:=168kl529g891332;>:70:<9;075>;38h09:55241a9617<5=:o6?:>;<63a?43927?046=:=;019?>:362?826:38?=63;128104=:<8>1>9?4=52a>726349hj7<98:?0`6<5<8168<752538yv2al3:1>v3;8d8213=:?:4}r56a?6=91q68o;57g9>0c3=?o168k<57g9>0`g=?o168hl57g9>0`e=?o168hj57g9>0ad=?o168im57g9>0ab=?o168ik57g9>0a`=?o168h>57g9>0`7=?o168h<57g9>0db=?o168lk57g9>0d`=?o168o>57g9>0g7=?o168o<57g9>0g5=?o168o:57g9>30c=:;>0q~=6b;29:1;k5236393c=:;>81;k5236193c=:;>>1;k5236793c=:;k91;k523c693c=:;kn1;k523cg93c=:;kl1;k523b293c=:;j;1;k523b093c=:;j91;k523b693c=:;k?1;k523c493c=:;k=1;k523c:93c=:;k31;k523cc93c=:;kh1;k523ca93c=:;?>1>?:4=5d;>2`<5=?<6:h4=571>2`<5=2j6:h4=51`>2`<5=?86:h4=54;>2`<5=<;6:h4=542>2`<5=<96:h4=540>2`<5=2`<5=<=6:h4=544>2`<5=9;6:h4=512>2`<5=996:h4=510>2`<5=9?6:h4=516>2`<5=9=6:h4=514>2`<5=2>6:h4=5:5>2`<5=2<6:h4=5:;>2`<5=226:h4=5;g>2`<5=3n6:h4=5;e>2`<5=k;6:h4=5c2>2`<5=k96:h4=5c0>2`<5=k?6:h4=577>2`<5=926:h4=24;>2`<5>?=6:h4=5d5>2`<5=2`<5;?i6:h4=37g>2`<5;?m6:h4=342>2`<5;<86:h4=347>2`<5;<>6:h4=345>2`<5;<<6:h4=371>2`<5;?86:h4=377>2`<5;?>6:h4=375>2`<5;?<6:h4=37;>2`<5;?26:h4=52b>2`<5=:h6:h4=52g>2`<5=:n6:h4=52e>2`<5=;;6:h4=532>2`<5=;96:h4=530>2`<5=;?6:h4=52a>2`<5:im6:h4=2f0>2`<5=;26:h4=55f><3<5==n6494=51;>63e3ty?9k4?:4y>17`=j:169=h5b29>14`=j:169>h5b29>031=:=:0q~::e;291~;2:l0i?63:0d8a7>;29l0i?63:3d8a7>;3>?098=5rs57g>5<2s4?9h7l<;<73`?d434?:h7l<;<70`?d434>=97<;0:p00e=83?p185250`9f6=:=:h1n>524719616>m7>55z?66d?l4m3:?727<5<91v9;6:18683513h870;?9;`0?83613h870;<9;`0?821938?<6s|44:94?3|5<836o=4=42;>g5<5<;36o=4=41;>g5<5=<;6?:?;|q7bd<72:qU8ko4=5da>74334>mm7<=b:p03>=832p1987:363?85203;>>638678214=:??31=8<4=277>436349>:7?:1:?017<6=816;;h51438yv5d03:1?v34363ty8o:4?:2y>7fg=:=:01:;7:076?81213;>86s|3b494?5|5:i26?:?;<56529~w60>290>9v384484b>;0;0=90;0=;0;0==0;0;00;0;m0;0;o0;0<80;0<:0;0;k0;1k;0;1kj0;1kl0;1l90;1l;0;1j>0;1jk0;1jm0;1jo0;1k80;1j00;1<10;1;1;1;1;:0;1;>0;1;00;1;k0;1;m0;1;<0;2jj0;2k?0;2k10;2kh0;2kj0;2j80;2j<0;2j>0;2j00;2jk0;2j:0529~w6g?2909w0=mb;074>;0>m0:9;5rs2c4>5<5s49im7<;0:?42a<6=<1v>o9:18185e138?<6386b8217=z{:k>6=4={<1a509~w6g32909w0=m7;074>;0>j0:995rs2c0>5<5s49i:7<;0:?42f<6=:1v>o=:18185e=38?<6386b8213=z{:h96=4={<1`0?43827<:h4>539~w6d62909w0=l3;074>;0>l0:9<5rs2`3>5<5s49h>7<;0:?42`<6==1v>oi:18185d938?<6386d8216=z{:kn6=4={<1`4?43827<:h4>579~w6gc2909w0=mf;074>;0>l0:985rs2c`>5<5s49ii7<;0:?42a<6=;1v>om:18185el38?<6386e8214=z{:kj6=4={<1a0?43827<:i4>559~w6g62909w0=m3;074>;0>j0:985rs675>5<2s4>{t=9=1<772734?ho7l<;|q643<72;q69=k5252890ee2k90q~;?5;296~;28m098=525bc9f6=z{<:?6=4={<73g?43827>o44m3:p155=838p18>m:363?83d03h87p}:0383>7}:=9k1>9>4=4a4>g552z?64<<5<9169n85b29~w0672909w0;?8;074>;2k<0i?6s|4gd94?05s49:j7?;c:?2e=;4><098l523e49<==:;lh145523e59fd=:;m31nl523e`9fd=:;mn1nl523ed9fd=:;l;1nl523d19fd=:;l?1nl523d59fd=:>o=1455271g9<==:>ok1nl526ga9fd=:>oo1nl527129fd=:?981nl527169fd=:?9<1nl5271:9fd=:?9k1nl526g:9fd=:>>>1455269`9<==:>>=1nl5266;9fd=:>>h1nl5266f9fd=:>>l1nl526939fd=:>191nl526979fd=:>1=1nl526679fd=:=o;1455261:9<==:=o>1nl525g49fd=:=o21nl525gc9fd=:=oi1nl525gg9fd=:>9:1nl526109fd=:>9>1nl525g09fd=:=?o145525979<==:=>;1nl525619fd=:=>?1nl525659fd=:=>31nl5256`9fd=:=>n1nl5256d9fd=:=1;1nl5257d9fd=:<>o19;5246g912=:<>o1945246g920=:<>o1:;5246g92==:<>o1i=5246g9613<5==n6?:9;<64a?43j27?;h4=4b9>02c=;890199j:236?8240397}:=8n1>9>4=76g>g552z?65f<5<916:9m5b29~w0742909w0;>b;074>;1=<4?:3y>14?=:=:01;:6:c18yv3683:1>v3:198105=:>=21n>5rs404>5<5s4?9j7<;0:?5`7;708k1;`0?xu2:<0;6?u253f9616<5?n;6o=4}r710?6=:r7>>n4=419>2f`=j:1v8<<:181835j38?<639cd8a7>{t=;81<7727347}:=:n1>9>4=670>g552z?67f<5<916;8<5b29~w0542909w0;;0=80i?6s|52094?4|5<9j6?:?;<564?d43ty>?<4?:3y>16?=:=:01::i:c18yv3483:1>v3:398105=:?=o1n>5rs647>5509>330=9<>019><:071?827<3;>>63<578216=:;<81=8=4=67;>43634=>57?:2:?011<6==16?9h51418920a28?870=:0;367>{t??=1<7jt=2ab>g5<5><<6?:?;<160?72:27<:;4>529>055=9<>019>;:077?81113;>=63856812==:;=l1=8?4=275>435349>>7?:2:?42c<6=;16?8>51438yv11i3:1iv3;0>h098=523469505<5><=6<;=;<17b?72:27?<>4>529>052=9<901:86:070?85283;>>638598217=:?<31=8;4=275>433349>>7?:4:?42c<6==1v8ll:18183ek38?<63:478215=z{?9m6=4={<40b?43827>8:4>519~w3e52909w08l2;074>;2<10:9=5rs666>5<5s4=?97<;0:?60<<6=91v?=j:18;850838?=63<708104=:;>81>9?4=250>726349<87<;1:?030<5<816>>h52368961128?:7p}>dg83>f}:;;:1>?o4=0c;>gg<5:n=6oo4=7d4>gg<5?o=6564=757>gg<5?<86564=4d2>gg<5gg<547b3ty8984?:8y>17>=0?169?75879>17g=0?169?l5879>17e=0?169?j5879>17c=0?169?h5879>700=:;>0q~=:1;29=~;28103:63:088;2>;28h03:63:0c8;2>;28j03:63:0e8;2>;28l03:63:0g8;2>;4=;09>95rs270>5<>s4?:4769;<72=?>134?:m769;<72f?>134?:o769;<72`?>134?:i769;<72b?>1349>87<=4:p701=833p18=7:948905>21<018=n:948905e21<018=l:948905c21<018=j:948905a21<01>;7:307?xu4>90;6?u234d9672<5:<>64m4}r155?6=:r78:94>519>733=1m1v>;j:181852n3;><63<648;f>{t;?81<7d7<5:<86?<;;|q42g<72;q6;;o5b29>33`=:;>0q~998;296~;0>>0i?638688161=z{><>6=4={<550?d434==:7<=4:p606=838p1>9?:c1894g52;8?7p}=5`83>7}:;>;1n>521`19672o7>52z?0377433ty9:?4?:3y>723=j:16=l952368yv53m3:1=9u23c19<3=:;k>14;523cf9<3=:;ko14;523cd9<3=:;j:14;523b39<3=:;j814;523b19<3=:;j>14;523c79<3=:;k<14;523c59<3=:;k214;523c;9<3=:;kk14;523c`9<3=:;ki14;5235d9672<5;?:6584}r065?6=;r78n>4m3:?114<5<916=no51428yv42j3:1?v3;5=k098=521c:9507h7>53z?0fa5gg=9<;0q~<:f;297~;4jl0i?63=5g8105=:9ki1=8?4}r055?6=;r78nk4m3:?124<5<916=ok51438yv41;3:1?v3;5>:098=521b2950753z?0g45f4=9<;0q~<95;297~;4k;0i?63=648105=:9j>1=8?4}r052?6=;r78o>4m3:?123<5<916=n851438yv41?3:1?v3;5>>098=521b:9507>7>53z?0f05dg=9<;0q~<:3;297~;4j?0i?63=528105=:9hi1=8?4}r060?6=;r78n:4m3:?111<5<916=lk51438yv42=3:1?v3;5=<098=521c29507:7>53z?0f<5g4=9<;0q~<:7;297~;4jh0i?63=568105=:9k>1=8?4}r06;5=0098=521e6950753z?2e=<5>116=nl5182894ef2;8?7p}>a983>6}:9h21>?:4=0`4>=><58n>6564}r3a3?6=;r7:n:4=259>5g?=0116=i85899~w4d>2908w0?m9;010>;6jk03463>d68;<>{t9kh1<7=t=0`a>74334;ih767;<3g?3ty:ni4?:2y>5gb=:;>012120q~?mf;297~;6jo09>9521b39<==:9mk1455rs0a2>5<4s4;h=7<=4:?2g6u21b79672<58i<6564=0fg>=>53z?2g2<5:=16=l75899>5fe=011vac8;<>;6km0346s|1``94?5|58ki6?<;;<3b`?>?34;hi767;|q2ea<72:q6=lj5236894ga21201ag8161=:9k;145521e29<==z{8h:6=4<{<3a5?45<27:n>478:?2`4=}:;>:1>9>4=31e>43134;j?7?:2:?2e1<6=;16=l;5140894g028?970?n6;366>;6i;0:9=5rs24a>5<0s49<=7<;0:?17c<6=<16=l=5143894g328?870?n5;367>;6i>0:9>521`4950556z?037<5<916>>h5146894g328?:70?n5;360>;6i>0:99521`4950255z?036<5<916>>h5141894g228?:70?n7;361>;6i?0:985rs24f>5<3s49<87<;0:?17c<6=;16=l95144894g128?:7p}<6g83>6}:;>?1>9>4=31e>43634;j;7?:1:p7`3=839p1>k::307?85b?32370=i6;:;?xu4m:0;6>u23d19672<5:o>6564=2d6>=>53z?0a4<5:=16?h=5899>7c2=011v>ji:18085cn389863;4n:0346s|3ef94?5|5:no6?<;;<1gb?>?349m>767;|q0`g<72:q6?il5236896bc21201>h>:9:8yv5c13:1?v36}:;m<1>;64=2ga>4?7349nm7<=4:p071=83>63;7e8217=:<<<1=8?4=53a>70?34>;>7?:3:p070=83?p19=9:363?82713;>>63;008211=:<981=8<4=51;>61c3ty?>84?:4y>063=:=:019>7:071?82783;>>63r7??94=419>051=9<801>hi:071?85c=3;>963;018216=:<:21?:l4}r617?6=?r7??>4=419>050=9<8019>?:077?85c=3;>:6361f3ty?>?4?:7y>064=:=:019>::071?85a13;>>6361>3ty?><4?:6y>067=:=:019>;:076?85aj3;>?6343434>847=78:p076=832p19=?:363?827;3;>963433349m;7?:1:?0b<<6==168>653958yv27j3:19v3;0c8105=:;ln1=8?4=2af>435349o=7?:4:?75=<6=<1v9?;:18682?13h870:>4;074>;4m10:9<523bg9507<5:n96<;=;|q756<72;4l;0:9>5240c9503:>7>55z?757<5<916?h:5143896eb28?870=k2;360>;39h0:995rs532>5<3s4>:=7<;0:?0a7<6=816?i>51408917f28?87p};1183>0}:<8:1>9>4=2g3>436349hi7?:5:?0`7<6=<16843634>:m7?:1:p05c=83?p1967:c18916b2;>;70=kc;365>;4l80:985240:9507;h7>55z?7<27ag=9<;01>j>:070?82603;>86s|41a94?3|5=2=6o=4=52`>727349o47?:1:?0`4<6=;168<651418yv27i3:19v3;848a7>;38h098=523e39507<5=;36<;=;<1fe?7282wx8<;50;;x915721<019=>:948915521<019=<:948915321<019=::948915121<019=8:948917e2;8?7p};4083>7}:<<81n>524509672=57>52z?7127433ty?>l4?:3y>064=j:16?k752368yv25j3:1>v3;328a7>;4nj09>95rs50`>5<5s4>887l<;<1eb?45<2wx8?j50;0x91522k9019>?:307?xu3:l0;6?u24249f6=:<9;1>?:4}r61b?6=:r7??:4m3:?747<5:=1v9=n:18182a03h870:{t<>i1<774334>f:p7fe=83;?w0:75;:5?82?>32=70:77;:5?82?032=70:79;:5?85dl389863;0`8;2>;38j03:63;0e8;2>;38l03:63;0g8;2>;39903:63;108;2>;39;03:63;128;2>;39=03:63;0c8;2>;4ko03:63;39003:6s|44694?5|5=??6?:?;<661?72927??548759~w15>290nw0:=63;078214=:<9=1=8?4=52;>43634>;57?:1:?77g<6=8168:j51438916428?:70:?4;365>;3>h0:9<5242;9616<5=;<60`?72;2wx8;l50;6x911c28?870:9a;366>;3>k098=5247a95053<7>55z?7<0<5<9168d;362>;39>0:9?5rs5:2>5<2s4>3:7<;0:?75c<6=<168>k51408917c28??70:>7;360>{t<181<7;t=5:4>72734>:j7?:3:?77`<6=:1687}:<121>9>4=53e>4353ty?494?:3y>0=?=:=:019?l:076?xu30h0;6nu249c9616<5=::6<;>;<661?72;27??i4>509>7c>=9<;01>h8:071?85ak3;>=63;1b8216=:<8n1=8<4=2ag>43534>:;7?:5:?0b`<6=:1v9;8:18b822?38?<63;698a7>;3>903:63;608;2>;3>;03:63;628;2>;3>=03:63;648;2>;3>?03:63;668;2>{t<<91<7=t=570>72734>;3<;0:9>5242:9300m47>5az?7b=<5<91684j5879>00d6=0?168l?5879>0d4=0?168l=5879>0d2=0?168k951418yv24k3:1?v3;3b8105=:<8l1=884=53`>4363ty??k4?:3y>014=9<8019=7:27:?xu3<90;6?u24509507<5=936>7n;|q706<72;q68:k5bb9>06>=;130q~:;9;296~;3?l0h863;3980=4=z{=>j6=4={<64a?e234>847=62:p01d=838p199j:b48915?2:387p};4b83>7}:<>o1o:5242:97<2?h7>52z?73`6?03ty?9=4?:3y>02c==j168>6538:8yv2293:1>v3;7d86`>;3;108545rs567>5<5s4>{t<>>1<7=t=543>g5<5=3o6o=4=55f>ce<97>53z?724g5<5==n6<>9;|q73=<72:q68;:5b29>0d7=j:168:k51158yv2013:1?v3;648a7>;3i;0i?63;7d824==z{==j6=4<{<652?d434>j?7l<;<64a?7712wx8:l50;1x91002k9019o;:c18911b28:j7p};8c83>7}:<0;1>?:4=5da>4373ty?m84?:2y>0db=:=:01>h8:076?824039<46s|4`494?5|5=kn6?:?;<1e27??54<819~w1g02908w0:nf;074>;4n00:9<5242:97=7j47>53z?7f5<5<916?km51448915?2:297p};a883>6}:9>4=2de>43634>847=73:p0dg=839p19l=:363?82783;>=63;3980<1=z{=ki6=4<{<6a7?43827?<<4>579>06>=;1?0q~:nc;297~;3j=098=524109507<5=936>69;|q72a<72;q68==52368911b2?h0q~:9e;296~;38=09>95246g92f=z{=9:307?820m37}:<9=1>?:4=55f>3`<>7>52z?74=<5:=168:k5719~w1142909w0:?9;010>;3?l0<=6s|40g94?5|5=;m6?<;;<661?72:27??i4>579~w6ea2909w0:>f;365>;4ko098=5rs535>5<5s4>:;7<=4:?710<6=?1v>hn:18785aj3898634323ty8h94?:2y>7a3=:;>019>>:076?827:3;>96s|3gf94?3|5:ln6?<;;<1e=?72=278jk4>549>056=9<<019>=:077?xu4l:0;6lu23e19616<5=::6<;<;<662?72:27??i4>549>7c>=9<901>h8:070?85ak3;>?63;1b8213=:<8n1=8=4=2df>4353ty?4k4?:3y>0`b=j:1684>52368yv2b>3:1=:u24dc9616<5=l?6<;;;<6;a?72;27?4i4>509>0<2=9<>0197=:072?82>;3;>=63;bb8210=:43234>h=7?:4:?7g1<6=<168n;5146891e?28?>70:l9;360>;3kj0:98524bf9502<5=n;6<;:;<6g5?72<27?ik4>549>0c6=9<>019l6:076?82ei3;>86s|4dg94?4|5=l96o=4=5d2>7433ty?4n4?:8y>0db=0?168lk5879>0d`=0?168o>5879>0g7=0?168o<5879>0g5=0?168o:5879>0=b=:;>0q~:m6;297~;3lk0i?63;ae8a7>;30l09>95rs5`4>5<4s4>oo7l<;<6ba?d434>i47<=4:p0gd=839p19jk:c1891ga2k9019lj:307?xu3jo0;6>u24eg9f6=:524b09672h?7>53z?7`cg5<5=in6?<;;|q7gc<72:q68h<5b29>0g2=j:168i<52368yv2b;3:1>v3;e`8a7>;31;09>95rs5g7>5<5s4>nn7l<;<6:7?45<2wx8h;50;0x91cd2k90197;:307?xu3n:0;6?u24g79f6=:1>?:4}r6e6?6=;r7?j?4=419>0<7=9<8019h?:072?xu3n<0;6>u24g79616<5=l?6<;=;<6e3?72:2wx8i=50;6x91be2;>;70:7e;365>;3j00:9;524cc9503o87>54z?7`f<5<9168om5144891dc28?>70:ma;365>{t72734>ih7?:1:?7g5<6=?168n?51478yv2c>3:18v3;dd8105=:43134>h97?:5:p0a1=83>p19ji:363?82d=3;>=63;c98213=:0f?=9<;019ml:075?82dl3;>96s|4e;94?2|5=o:6?:?;<6``?72927?h=4>579>0a7=91=8=4=5:f>43334>3h7?:4:?7=1<6=:1684<5146891?428?970:mc;366>;3jm0:9?524b29504<5=i:6<;=;<6`0?72:27?o84>539>0f>=9<8019m6:071?82dk3;>>63;ce8217=:43534>nj7?:2:?7b5<6=;168o75140891df28?97p};e983>4>|5=oh6?:?;<6:4?72;27?j94>549>0=c=9<3;>=63;938216=:<091=8:4=5``>43634>ih7?:3:?7g5<6=8168n?5141891e328?:70:l5;367>;3k10:9<524b;9505<5=ih6<;>;<6``?72;27?h=4>509>0a7=9<9019ki:072?82a83;>?63;b88214=:;30l0:9?5249f9504<5=3?6<;=;<6:6?72:27?5>4>529>0gc=9<;019m=:072?82d>3;>=63;c`8214=:43634>m=7?:1:?7f=<5>11v9l::18182e=38?<63;908214=z{=l=6=4m{<6e0?72>27?nn4>559>0f6=9<>019m;:077?82d03;>863;cb8211=:43334>m:7<;0:?7b2<6=8168o751468yv2>k3:1?v3;a58105=:<0;1=8=4=5ge>4343ty?584?:3y>07}:9>4=5a7>4343ty?544?:3y>0d7=:=:019m7:070?xu31h0;6?u24`09616<5=ih6<;<;|q7=g<72;q68l=5252891b728?87p}9e`83>7}:>lk1>?:4=614>4353ty=j=4?:3y>2c6=:;>01;kn:34;?xu1n<0;6?u26g79672<5?oj6oo4}r4f=?6=:r7=i44=259>2`g=011v;ki:18180bn3898639e8812==z{?l?6=4={<4e0?45<27=i44ma:p2`>=838p1;k7:307?80b13237p}9ed83>7}:>lo1>?:4=7g;>70?3ty=j>4?:3y>2c5=:;>01;k7:cc8yv0b?3:1>v39e68161=:>l21455rs7gg>5<5s411v;h=:18180a:3898639e68ae>{t>l<1<7743347}:>l<1nl526g4967252z?5b=<5:=16;<>5899~w26f2908w09?a;010>;1n10346380g8;<>{t?921<7=t=62;>74334=;m767;<52e?>?3ty<<;4?:2y>350=:;>01:>7:9:8927>2120q~9?4;297~;08=09>9527149<==:?821455rs621>5<4s4=;>7<=4:?441?:307?817:323709>6;:;?xu1nl0;6>u26gg9672<5>:;6564=636>=>53z?5bf<5:=16:kk5899>342=011v;hn:18080ai3898639fb8;<>;09:0346s|6g594?5|5?l<6?<;;<4ee?>?34=:>767;|q44f<72:q6:k9527:8926b283;709?d;010>{t>l;1<774334=8;7?:3:p31>=832p1:;?:363?80ci3;>8639db8210=:>mo1=884=7g6>43534mk1=8=4=7f`>43334708j2;362>;1m:0:9=5rs63g>5<5s4=8o7l<;<524?45<2wx;i:307?xu0:?0;6?u27569f6=:?8k1>?:4}r511?6=:r7<8>4m3:?45<<5:=1v:<;:181813:3h8709>8;010>{t?;91<7g5<5>;<6?<;;|q467<72;q6;9>5b29>340=:;>0q~9=1;296~;0;o0i?638148161=z{>8;6=4={<50a?d434=:87<=4:p34`=838p1:=k:c1892742;8?7p}81d83>7}:?:k1n>52700967252z?47<4=259~w3b02908w08i3;367>;1ml0:9<526d6967253z?5b6<6==16:hk5141893c22;8?7p}9d883>6}:>o>1=8=4=7ge>43634?639db8161=z{?no6=4<{<4e1?72;27=j=4>509>2ac=:;>0q~8kf;297~;1n<0:99526g29505<5?nm6?<;;|q5`6<728?p1::j:948922a21<01:;?:948923621<01:;=:948923421<01:;;:948923221<01:=6:362?814i38?=6383e8104=:?:o1>9?4=61e>72634=?<7<;1:?404<5<816;9<5253892242;>:709;4;075>;0;k098<5272a9617<5?n?6?<;;|q403<72:q6;9k5252893`528?8708jd;365>{t?=31<79t=672>72734;1m;0:995rs66b>5<2s4=>>7<;0:?5`d<6=816:im5140893bb28?8708j0;365>{t?=h1<7:t=670>7273451408yv13k3:18v38558105=:>mo1=8<4=7fe>434347?:1:p31b=839p1:;::363?80cn3;>>639e38216=z{>8i6=4;{<50=?43827=in4>509>2c0=9<801:>k:073?xu0:l0;69u272c9616<5?oh6<;=;<4e2?72927=jo4>509~w24a290?w09;1n;0:9?526df9504<5?lo6<;>;|q475<72k5252893`528?:708jd;360>;1no0:9<5272:950456z?47c<5<916:k=5140893cb28?9708k4;361>;0880:9<5272:95057>56z?405<5<916:k=5143893cb28??708k4;360>;08:0:9<5272:950256z?404<5<916:k:5140893ca28?9708k4;366>;08<0:9<5272:950356z?407<5<916:k:5143893ca28??708k4;367>;08>0:9<5272:950056z?406<5<916:k;5140893`728?9708k5;366>;0800:9<52725950356z?401<5<916:k;5143893`728??708k5;365>;08k0:9<5272:950754z?47g<5<916:i;5141893`>28?:709<7;362>{t?;n1<7:t=61`>72734951438yv01?3:1>v39668161=:>k>1=8<4}r45`?6=:r7=:i4=259>231=:?20q~882;296~;1?;09>9526759fd=z{?<=6=4={<452?45<27=::478:p23e=838p1;8l:307?801>38=46s|66394?4|5?=:6?<;;<452?df3ty=:84?:3y>233=:;>01;89:9:8yv01j3:1>v396c8161=:>??1>;64}r444?6=:r7=;=4=259>233=jh1v;8;:181801<3898639648;<>{t>?k1<774334<=87<98:p23`=838p1;8i:307?801<3hj7p}96283>7}:>?91>?:4=747>=>52z?526<5>116:;752368yv01m3:1>v39628ae>;1?:09>95rs756>5<5s4<<97<=4:?502;8?70885;:;?80?k3237p}98483>6}:>1?1>?:4=7:4>=><5?3<6564}r4;7?6=;r7=4>4=259>2=3=0116:485899~w3>62908w0871;010>;10:034639948;<>{t>>l1<7=t=75e>74334<3=767;<4:0?>?3ty=;i4?:2y>22b=:;>01;9i:9:893?42120q~88b;297~;1?k09>95266f9<==:>081455rs75:>5<4s4<<57<=4:?53gu26669672<5?=<6564=7:e>=>53z?531<5>116:5l5182893>f2;8?7p}95d83>7}:>?:4=7`7>4343ty=o84?:9y>2fb=:=:01;;8:077?80213;>96395c8213=:>?81=8<4=742>43634<>o7?:6:?51c<6=<1v;m;:18:80dk38?<639568216=:><31=8:4=77a>43234<=>7?:3:?524<6=;16:8m51478933a28?=70890;364>{t>0k1<7g5<5?2o6?<;;|q5=<<72;q6:o65b29>2=e=:;>0q~8n3;296~;1k80i?639968161=z{?k96=4={<4`4?d434<2:7<=4:p2d7=838p1;li:c1893?22;8?7p}9a183>7}:>ko1n>52686967252z?5fa4=259~w3?b2909w08mc;`0?80>:38986s|68f94?4|5?hi6o=4=7;2>7433ty=5n4?:3y>2gg=j:16:4>52368yv0>j3:1>v39b68a7>;10o09>95rs7;;>5<5s4u267d9502<5?;1>809>95rs776>5<4s4<<<7?:4:?52g<6=:16:;<52368yv02>3:1?v39708216=:>?i1=8?4=774>7433ty=954?:2y>227=9<>01;8l:070?802138986s|64c94?5|5?=96<;<;<45`?72927=9o4=259~w33d2908w0882;360>;1>m0:9>5264a9672<7>514y>2fd=0?16:nm5879>2fb=0?16:nk5879>2f`=0?16:i>5879>2a7=0?16:i<5879>2g0=:=;01;l8:362?80ei38?=639bc8104=:>ki1>9?4=7`g>726345253893e62;>:708m8;075>;1j0098<52643967253z?5gg<5<916:;h51418930f28?:7p}9c783>2}:>jo1>9>4=774>43534<>57?:3:?51g<6==16:;<51438933d28?:708:f;360>{t>j=1<7;t=7ae>72734<>;7?:1:?51<<6=;16:8l51418933c28?:7p}9c983>1}:>m:1>9>4=77:>43634<>n7?:1:?51a<6=;1v;m6:18780c938?<6395c8217=:>4363ty=ol4?:2y>2a4=:=:01;;l:071?802n3;>?6s|6`:94?2|5?h=6?:?;<45=?72927=;>4>539>2=g=9<:0q~8nb;290~;1j>098=5267;9504<5?=86<;>;<44;7089f;366>;1>h0:9?5266c950755z?5fg<5<916:;h51438930f28??7088c;365>;1j<0:9?5rs7cf>5<1s47088e;365>;1j<0:9>5rs7ce>5<1s4;1j<0:995rs7`3>5<1s4;1j<0:985rs7`2>5<1s4;1j<0:9;5rs7`1>5<1s4;1j=0:985rs7`0>5<1s4;1j<0:9<5rs7c:>5<3s41}:>k31>9>4=772>43134<3i7?:1:?5f1<6=81v8k;:18183b<3898639308217=z{i94=699~w0ca2909w0;jf;010>;2m=0im6s|5d194?4|5?3ty>i44?:3y>1`?=:;>018k<:34;?xu2ml0;6?u25dg9672<5i?4=259>1`5=011v8k7:18183b0389863:e3812==z{i?4ma:p1`7=838p18k>:307?83b:3237p}:e683>7}:=l=1>?:4=4g2>70?3ty>in4?:3y>1`e=:;>018k>:cc8yv3b83:1>v3:e18161=:=l;1455rs4g6>5<5s4?n<7<98:?6a3<5:=1v8km:18183b83hj70;i0;010>{t=o81<774334<;m767;|q541<72:q6:=:5236890`521201;>6:9:8yv07:3:1?v39038161=:>9>145526069<==z{?:;6=4<{<434?45<27=6}:=oi1>?:4=4df>=><5?;:6564}r7ee?6=;r7>jl4=259>1ce=0116:<>5899~w0`?2908w0;i8;010>;2nh0346390g8;<>{t=o<1<7=t=4d5>74334?m4767;<43a?>?3ty>j94?:2y>1c2=:;>018h9:9:8936c2120q~;i1;297~;2n809>9525g69<==:>9i1455rs725>5<4s4?m=7<98:?54=<61916:=952368yv3cj3:1>v3:db8161=:>:;1=8=4}r476?6=0r7=8l4=419>1a2=9<>018j9:076?83c03;>:63:dg8217=:=mo1=8?4=4f:>43134?oo7?:5:p217=833p1;:6:363?83c<3;>?63:d78211=:=m21=8;4=4fe>43434?oi7?:2:?6`<<6=<169im5144890bc28?;7p}91683>7}:>:<1n>5261c967252z?5707433ty==h4?:3y>26e=j:16:<<52368yv06l3:1>v393c8a7>;19809>95rs73`>5<5s4<8m7l<;<424?45<2wx:2k901;>i:307?xu19h0;6?u262:9f6=:>9o1>?:4}r42=?6=:r7=?:4m3:?54a<5:=1v;?7:181804<3h8708?c;010>{t>8?1<7g5<5?:36?<;;|q574<72;q6:>h5b29>267=:;>0q~;k0;297~;2mj0:99525d59505<5{t=m81<7=t=4gg>43334?n47?:3:?6`c<5:=1v8j<:18083bm3;>?63:e88214=:=m>1>?:4}r7g1?6=;r7>ih4>559>1`?=9<9018j9:307?xu2l>0;6>u25dd9505<5;<7g;2l009>95rs4ag>5<6=r7=85476:?50<27=8l476:?50g27=8n476:?50a27=8h476:?50c27=?>4=409>262=:=;01;=8:362?804038?=639388104=:>:k1>9?4=71a>72634<8o7<;1:?57a<5<816:>k5253893522;>:708<6;075>;2kl09>95rs763>5<4s41=8<4=4f5>43434?o47?:4:?6`c<6=8169i75143890bd28??7p}94583>0}:>=i1>9>4=4f7>43634?o:7?:2:?6`=<6=:169io51438yv03=3:18v394e8105=:=m<1=8?4=4f;>43634?om7?:2:p210=83>p1;:j:363?83c03;>>63:d88216=:=mi1=8?4}r473?6=;r7=8k4=419>1a?=9<8018jl:070?xu1:<0;69u26219616<5;<7e4?72:27=<:4>519~w34?290?w08<4;074>;2m?0:9?525g29507<56<;>;|q56<<72=q6:>95252890cd28?970;j7;366>;2n>0:9<5rs70b>5<2s4<847<;0:?6af<6=8169h95146890`>28?:708<2;366>{t>;h1<78t=71:>72734?nh7?:2:?6a=<6=;169nk5147890`e28?:708<2;367>{t>;i1<78t=71b>72734?nh7?:1:?6a=<6==169nk5146890`c28?:708<2;360>{t>;n1<78t=71a>72734?ni7?:2:?6a<<6=;169nk5140890`a28?:708<2;361>{t>;o1<78t=71`>72734?ni7?:1:?6a<<6==169nk51418936628?:708<2;362>{t>;l1<78t=71g>72734?nj7?:2:?6ad<6=;169nh51408936428?:708<1;361>{t>::1<78t=71f>72734?nj7?:1:?6ad<6==169nh51438936228?:708<2;365>{t>;<1<7:t=716>72734?hj7?:3:?6b6<6=816:>?51448yv05?3:18v39378105=:=jo1=884=72a>43634<8=7?:1:p137=838p188>:307?83fm3;>>6s|57594?4|5<<<6?<;;<755?4102wx9;m50;0x900d2;8?70;91;`b?xu2>90;6?u25729672<5<<:6564}r752?6=:r7>:;4=259>136=:?20q~;9b;296~;2>k09>9525729fd=z{:=478:p133=838p188::307?832n38=46s|57c94?4|5<9h4?:3y>10c=:;>018;i:9:8yv31<3:1>v3:658161=:=;64}r75=?6=:r7>:44=259>10c=jh1v8;k:181832l389863:5d8;<>{t=?81<770?34?=?7<=4:p13>=838p18;k:cc8900c2;8?7p}:6g83>7}:=?l1>?:4=4:4>=>53z?6<4<5:=169;h5899>1=0=011v89i:180830n389863:808;<>;2180346s|56f94?5|5<=o6?<;;<74b?>?34?2<767;|q63g<72:q69:l52368901c2120186i:9:8yv3013:1?v3:788161=:=>h1455259g9<==z{<=<6=4<{<743?45<27>;4478:?66}:=>91>?:4=456>=><5<2i6564}r745?6=;r7>;<4=259>125=011695o5899~w00b2908w0;9e;010>;2?803463:888;<>{t=191<7=t=44f>70?34?397?60:?6<1<5:=1v8;7:1818321389863:ad8216=z{9<4>559>105=9>63:5c8214=:=<<1=884=47:>4323ty>nh4?:8y>1f0=:=:018;>:070?832;3;>863:548210=:=43534?>:7?:5:?61<<6=?1698o51428yv3><3:1>v3:b28a7>;20>09>95rs4;0>5<5s4?i>7l<;<7;2?45<2wx94j50;0x90de2k90187>:307?xu21j0;6?u25cc9f6=:=0:1>?:4}r7:f?6=:r7>n44m3:?6{t=031<7g5<5<2o6?<;;|q6==<72;q69o85b29>1=e=:;>0q~;67;296~;2j<0i?63:8c8161=z{<3=6=4={<7a0?d434?3m7<=4:p1<3=838p18l>:c1890>>2;8?7p}:9383>7}:=k:1n>52597967252z?6ffmh4=259~w02c2908w0;99;360>;2>=0:9>5254c967253z?62d<6=:169;;51438903e2;8?7p}:4g83>6}:=?k1=8:4=446>43434?>o7<=4:p106=839p188m:070?831>3;>=63:508161=z{:;4>529>105=:;>0q~;:4;297~;2>j0:9>525759507<56?<;;|q613<72:q69;m51468900028?870;:6;010>{t==k1<7?:{<7`1?>134?h:769;<7`3?>134?h4769;<7`=?>134?hm769;<7`f?>134?ho769;<7a4?43927>n<4=409>1g2=:=;018l::362?83e>38?=63:b68104=:=k21>9?4=4`:>72634?im7<;1:?6fg<5<8169o<5253890d42;>:70;;b;010>{t=kn1<7=t=4a6>72734?=57?:3:?621<6=81v8m?:18483d038?<63:508217=:=<91=8=4=476>43334?>o7?:1:?613<6=81698751468yv3d93:19v3:c88105=:=<;1=8?4=470>43534?>97?:3:?612<6=81v8m=:18783di38?<63:528214=:=4353ty>o>4?:5y>1fd=:=:018;::071?832>3;>?63:588214=z{9;4>539>10?=9<90q~;n2;290~;2j9098=525719507<5<;70;93;366>;2>m0:9<52560950754z?6f1<5<9169;751408900328?970;84;365>{t=h=1<7;t=4`6>72734?=57?:1:?621<6==169:85143890ga28?97p}:a983>3}:=k<1>9>4=44b>43534?=97?:2:?60g<6=<169:65143890ga28?87p}:a883>3}:=k=1>9>4=44b>43634?=97?:4:?60g<6==169:o5143890ga28??7p}:a`83>3}:=k21>9>4=44a>43534?=:7?:2:?60g<6=;169:m5143890ga28?>7p}:ac83>3}:=k31>9>4=44a>43634?=:7?:4:?60g<6=:169:k5143890ga28?=7p}:ab83>3}:=kk1>9>4=44`>43534?=;7?:2:?60f<6=;1695>5143890gb28?>7p}:ae83>3}:=kh1>9>4=44`>43634?=;7?:4:?60f<6=81695<5143890ga28?:7p}:a283>1}:=k81>9>4=46`>43434?<<7?:1:?6e`<6=?1v8o;:18783e;38?<63:4c8213=:=121=8?4=4cf>4363ty<:n4?:3y>33e=:;>01:8i:076?xu0>m0;6?u277f9672<5>=;6<;>;|q42`<72;q6;;k52368921728?97p};bb83>7}:?:4=5`f>4353ty?ni4?:3y>0gb=:;>019lj:070?xu3k90;6?u24b29672<5=i96<;=;|q7g4<72;q68n?5236891e528?87p};c583>7}:1>?:4=5a5>4353ty?o84?:3y>0f3=:;>019m9:070?xu3k10;6?u24b:9672<5=ij6<;=;|q7g<<72;q68n75236891ef28?87p};cb83>7}:?:4=5af>4353ty?oi4?:3y>0fb=:;>019mj:070?xu3l90;6?u24e29672<5=n96<;=;|q7`4<72;q68i?5236891b528?87p};eg83>7}:?:4=5d2>4353ty?j=4?:3y>0c6=:;>019h>:070?xu6m10;6>u26d29672<5?nm6<;;;<4f6?72:2wx=k=50;0x93b22;8?708k4;365>{t9l;1<7=t=77g>74334<>o7?:4:?51c<6=;1vh44>559>1ae=9<80q~?j9;296~;2ko09>9525bg950753z?612<5:=1698851468903>28?97p}>ec83>7}:==i1>?:4=46a>4363ty<9n4?:2y]30e<5>?o6<;?;<56g?45j2wx;;?50;1xZ20634==>7?:0:?424<5:k1v9hl:180[2ak27?ji4>519>0ce=:;h0q~;;5;297~X2<<169975236890222;8i7p}:4283>6}Y==9018:7:307?833;389n6s|55094?5|V<>970;;7;010>;2<;09>o5rs462>5<4sW??=63:478161=:==;1>?l4}r661?6=:r7?994m3:?710<5:=1v9=k:18182413h870:{t9k21<7=t=0`;>74334;i;7<98:?2`0<6191vb8812==:9m<1=4>4}r3ag?6=;r7:nn4=259>5gd=:?201u21cg9672<58ho6?87;<3g82wx=n>50;1x94e72;8?70?mf;05<>;6l00:5=5rs0a1>5<4s4;h>7<=4:?2g4<5>116=io51828yv7d<3:1?v3>c58161=:9j91>;64=0fa>4?73ty:o;4?:2y>5f0=:;>01919~w4gf2908w0?na;010>;6i009:5521ba95<653z?2ef<5:=16=ll527:894ec283;7p}>ad83>6}:9ho1>?:4=0cg>70?34;hi7?60:p5g6=839p1cg82=5=z{8h96=4<{<3a6?45<27:n<4=699>5a6=90:0q~?m4;297~;6j=09>9521c1963><58n:6<7?;|q2f3<72:q6=o85236894d22;<370?k2;3:4>{t;l21<7=t=2g;>743349n;7<98:?0b3<6191v>k9:18085b>3898634}r1f0?6=;r78i94=259>7`5=:?201>h;:0;3?xu4m;0;6>u23d09672<5:o:6?87;<1e7?7>82wx?h>50;1x96c72;8?70=kf;05<>;4n;0:5=5rs2ff>5<4s49oi7<=4:?0`a<5>116?k?51828yv5ck3:1?v3;64=2d3>4?73ty8hl4?:2y>7ag=:;>01>j6:34;?85bn3;2<6s|3e:94?5|5:n36?<;;<1g3?410278ih4>919~w3`>2908w08i9;010>;1n109:55271d95<653z?44g<5:=16;=o527:8927f283;7p}80883>6}:?931>?:4=62;>70?34=:57?60:p351=839p1:>8:307?817>38=46381982=5=z{>:>6=4<{<531?45<27<<94=699>341=90:0q~9?3;297~;08:09>952710963><5>;=6<7?;|q444<72:q6;=?5236892672;<3709>5;3:4>{t>ol1<7=t=7de>743344}r4ef?6=;r7=jo4=259>2cg=:?201:?=:0;3?xu1??0;6>u26649672<5?=>6?87;<4;g?7>82wx:5650;1x93>?2;8?70877;05<>;11>0:5=5rs7:5>5<4s4<3:7<=4:?5<0<5>116:4851828yv0?<3:1?v39858161=:>191>;64=7;6>4?73ty=4?4?:2y>2=4=:;>01;6>:34;?80><3;2<6s|69294?5|5?2;6?<;;<44b?41027=5>4>919~w31b2908w088e;010>;1?m09:55268095<653z?53f<5:=16::l527:893?6283;7p}97`83>6}:>>k1>?:4=75:>70?34<2<7?60:p22>=839p1;97:307?800?38=46398g82=5=z{j?4=699>25?=90:0q~8?5;297~;18<09>952616963><5?;?6<7?;|q546<72:q6:==5236893652;<3708>3;3:4>{t>9;1<7=t=722>74334<;<7<98:?557<6191v8hi:18083an389863:fd812==:>8;1=4>4}r7e`?6=;r7>ji4=259>1ce=:?201;??:0;3?xu2nk0;6>u25g`9672<582wx9k750;1x90`>2;8?70;i8;05<>;18l0:5=5rs4d4>5<4s4?m;7<=4:?6b3<5>116:=j51828yv3a=3:1?v3:f48161=:=o>1>;64=72`>4?73ty>;=4?:2y>126=:;>0188i:34;?83?>3;2<6s|59094?5|5<296?<;;<7;5?41027>5<4>919~w0>72908w0;70;010>;2?o09:55258295<653z?63`<5:=169:j527:890>a283;7p}:7b83>6}:=>i1>?:4=45a>70?34?3i7?60:p12g=839p189n:307?830138=463:8e82=5=z{<=36=4<{<74;:4=699>1=e=90:0q~;86;297~;2??09>952567963><5<2i6<7?;|q631<72:q69::5236890142;<370;7a;3:4>{t=>81<7=t=451>74334?<=7<98:?6<<<6191vd282=5=z{:oo6=4={<1f`?45<278in4>919~w2762909w09>1;010>;0990:5=5rs7:f>5<5s4<3i7<=4:?5m:181807j38986390`82=5=z{<236=4={<7;4:4>919~w6112909w0=98;`0?850>38986s|74594?4|5>?=6o=4=674>7433ty?j:4?:3y>0c0=j:168k952368yv21k3:1>v3;6c8a7>;3>j09>95rs0gg>5<5s48>=7l<;<3`f?45<2wx=hk50;0x973e2k901?:4}r3e4?6=:r799k4m3:?2`2<5:=1v:18184193h870?k8;010>{t9o81<7g5<58n26?<;;|q2b1<72;q6>;:5b29>5ag=:;>0q~?i5;296~;5><0i?63>dc8161=z{8l=6=4={<052?d434;oo7<=4:p5c1=838p1?88:c1894bc2;8?7p}>f983>7}::<81n>521ba967252z?1166o=4=0ae>7433ty:jn4?:3y>600=j:16=i>52368yv7al3:1>v3=568a7>;6l809>95rs0de>5<5s48>47l<;<3g6?45<2wx>=>50;0x94d22;8?70?k3;:;?xu5880;6?u224;9f6=:9m91>?:4}r036?6=:r7?<:181827k3h870=je;010>{t:9>1<7g5<5:om6?<;;|q140<72;q68=k5b29>7c6=:;>0q~7<=4:p65>=838p19?>:c1896`42;8?7p}=0`83>7}:<881n>523g6967252z?7564;`0?85a>38986s|21f94?4|5:o<6?<;;<1fg?>?3ty905d=j:16?hm52368yv47n3:1?v34363ty9==4?:3y>7f`=j:16?nk52368yv4693:1>v3;4l;09>95rs53:>5<5s4>:57<;0:?75f<6==1v??=:18185c9389863549~w7722909w0:>9;`0?826038986s|20494?4|5=9n6?<;;<60`?72<2wx><950;0x917f2;8?70:>8;362>{t:821<774334==j7?:6:p64?=838p1:=7:307?814?3;>86s|20c94?4|5?h>6?<;;<4a0?72<2wx>{t:8i1<774334?ji7?:4:p71`=83;9w0=:0;010>;5=k03:63=5e8;2>;5=o03:63=608;2>;5>:03:63=658;2>;5><03:63=678;2>;5>>03:63=538;2>;5=:03:63=558;2>;5=<03:63=578;2>;5=>03:63=598;2>;5=003:6s|20f94?4|5>?36?<;;<563?7292wx>2;8?709:7;366>{t:8l1<774334>i47?:1:p5`4=838p19ln:307?82e03;>>6s|1d194?4|5=;h6?<;;<62f?7292wx=h:50;0x917c2;8?70:>b;366>{t;?21<7=t=24;>727349=57?:0:?033<6=;1v:;k:18f812l38986385d8215=:<1k1n>524059507<5=::6<;=;<661?72<27??i4>539>7c>=9<801>h8:077?85ak3;>>63;1b8217=:<8n1=8?4=2ag>436349mi7?:1:~j450l3:1=vF<0`9J67d=9r;1qW<63;3x731=uS;?n750;3xL66f3td:?5?50;0xL66f3td:?5<50;3xL66f3td:?5=50;3xL66f3td:?5:50;3xL66f3td:?5;50;3xL66f3td:?5850;3xL66f3td:?5950;3xL66f3td:?5650;3xL66f3td:?5750;3xL66f3td:?5o50;3xL66f3@89n7?t3;Y51d=9r;2?7srn01;f?6=9rB84=51819yxh6=j<1<7?tH22b?L45j3;p=7sU15`95~7>;3wvb<;l7;295~N48h1B>?l51z39y_73j3;p=4=5}|l21f>=83;pD>>n;H01f?7|:3wQ=9l51z3:7?{zf8?h57>51zJ04d=N:;h1=v<5}[37f?7|9091qp`>5bc94?7|@::j7D<=b;3x6?{]9=h1=v?63;~j43dj3:1=vF<0`9J67d=9r81qW?;b;3x5<5=utd:9nm50;3xL66f3td:9nj50;3xL66f3td:9nk50;3xL66f3td:9nh50;3xL66f3td:9i>50;3xL66f3td:9i?50;3xL66f3td:9i<50;3xL66f3td:9i=50;3xL66f3td:9i:50;3xL66f3td:9i;50;3xL66f3td:9i850;3xL66f3td:9i950;3xL66f3td:9i650;3xL66f3td:9i750;3xL66f3td:9io50;3xL66f3td:9il50;3xL66f3td:9im50;3xL66f3td:9ij50;3xL66f3td:9ik50;3xL66f3td:9ih50;3xL66f3td:9h>50;3xL66f3td:9h?50;3xL66f3td:9h<50;3xL66f3td:9h=50;3xL66f3td:9h:50;3xL66f3td:9h;50;3xL66f3td:9h850;3xL66f3td:9h950;3xL66f3td:9h650;3xL66f3td:9h750;3xL66f3td:9ho50;3xL66f3td:9hl50;3xL66f3td:9hm50;3xL66f3td:9hj50;3xL66f3td:9hk50;3xL66f3td:9hh50;3xL66f3td:9k>50;3xL66f3td:9k?50;3xL66f3td:9k<50;3xL66f3td:9k=50;3xL66f3td:9k:50;3xL66f3td:9k;50;3xL66f3td:9k850;3xL66f3td:9k950;3xL66f3td:9k650;3xL66f3td:9k750;3xL66f3td:9ko50;3xL66f3td:9kl50;3xL66f3td:9km50;3xL66f3td:9kj50;3xL66f3td:9kk50;3xL66f3td:9kh50;3xL66f3td::=>50;3xL66f3td::=?50;3xL66f3td::=<50;3xL66f3td::==50;3xL66f3td::=:50;3xL66f3td::=;50;3xL66f3td::=850;3xL66f3td::=950;3xL66f3td::=650;3xL66f3td::=750;3xL66f3td::=o50;3xL66f3td::=l50;3xL66f3td::=m50;3xL66f3td::=j50;3xL66f3td::=k50;3xL66f3td::=h50;3xL66f3td::<>50;3xL66f3td::50;3xL66f3td::??50;3xL66f3td::?<50;3xL66f3td::?=50;3xL66f3td::?:50;3xL66f3td::?;50;3xL66f3td::?850;3xL66f3td::?950;3xL66f3td::?650;3xL66f3td::?750;3xL66f3td::?o50;3xL66f3td::?l50;3xL66f3td::?m50;3xL66f3td::?j50;3xL66f3td::?k50;3xL66f3td::?h50;3xL66f3td::>>50;3xL66f3td::>?50;3xL66f3td::><50;3xL66f3td::>=50;3xL66f3td::>:50;3xL66f3td::>;50;3xL66f3td::>850;3xL66f3td::>950;3xL66f3td::>650;3xL66f3td::>750;3xL66f3td::>o50;3xL66f3td::>l50;3xL66f3td::>m50;3xL66f3td::>j50;3xL66f3td::>k50;3xL66f3td::>h50;3xL66f3td::9>50;3xL66f3td::9?50;3xL66f3td::9<50;3xL66f3td::9=50;3xL66f3td::9:50;3xL66f3td::9;50;3xL66f3td::9850;3xL66f3td::9950;3xL66f3td::9650;3xL66f3td::9750;3xL66f3td::9o50;3xL66f3td::9l50;3xL66f3td::9m50;3xL66f3td::9j50;3xL66f3S82?7?t37:9y_73j3;p>4=5}|l221c=83;pD>>n;|l274>=83;pD>>n;|l277>=83;pD>>n;|l277?=83;pD>>n;|l277e=83;pD>>n;|l277b=83;pD>>n;|l277c=83;pD>>n;|l277`=83;pD>>n;|l2766=83;pD>>n;|l2767=83;pD>>n;|l2764=83;pD>>n;|l2765=83;pD>>n;|l2762=83;pD>>n;|l2763=83;pD>>n;|l2760=83;pD>>n;|l2761=83;pD>>n;|l276>=83;pD>>n;|l276?=83;pD>>n;|l276g=83;pD>>n;|l276d=83;pD>>n;|l276e=83;pD>>n;|l276b=83;pD>>n;|l276c=83;pD>>n;|l276`=83;pD>>n;|l2716=83;pD>>n;|l2717=83;pD>>n;|l2714=83;pD>>n;|l2715=83;pD>>n;|l2712=83;pD>>n;|l2713=83;pD>>n;|l2710=83;pD>>n;|l2711=83;pD>>n;|l271>=83;pD>>n;|l271?=83;pD>>n;|l271g=83;pD>>n;|l271d=83;pD>>n;|l271e=83;pD>>n;|l271b=83;pD>>n;|l271c=83;pD>>n;|l271`=83;pD>>n;|l2706=83;pD>>n;|l2707=83;pD>>n;|l2704=83;pD>>n;|l2705=83;pD>>n;|l2702=83;pD>>n;|l2703=83;pD>>n;|l2700=83;pD>>n;|l2701=83;pD>>n;|l270>=83;pD>>n;|l270?=83;pD>>n;|l270g=83;pD>>n;|l270d=83;pD>>n;|l270e=83;pD>>n;|l270b=83;pD>>n;|l270c=83;pD>>n;|l270`=83;pD>>n;|l2736=83;pD>>n;|l2737=83;pD>>n;|l2734=83;pD>>n;|l2735=83;pD>>n;|l2732=83;pD>>n;|l2733=83;pD>>n;|l2730=83;pD>>n;|~yEFDs83>j77<5465gg{GHKq;qMN_{|BC \ No newline at end of file +$6545=6:2.Yi{g|inl9$4(5=<*/>6#>1:26?56789>;7=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<<>40123456789:;<=>>1193456789:38???01224>6789:;<5>?012345><89:;4=>?4:234=7739::<<>>043650729;1;=95?1127?5478=1;4=>;;1:BA1=7182:<6>69123456789:;<=??;1;:456789:;<=76009255=699:;<=>>0123456338;:858538?:86?9:HLSQQaoi48?1<3?:;049KPRW]]0OCLJ@<0794;7238<1CXZ_UU8GKGBH48?1<3?;;049KPRW]]0ocxz31483:46<9K;:=0:016547:98;>=:<6<=F301B7@ANO89J??;;38JJUSS2MC[MIG_<083:42<:3CE\XZ5DHRA@LV;93:5=>5=:HLSQQ11491>JSSX\^1HB^MDNR?5?69029HONONA@33?16ANOL?<=>MFCD34==399:?<<>>0:670123<9L?J9H;F136?108K:;1197B12ANOL?J9:;F561?02682?>4O;:8976<=3201;0:?59A595EDG?3?KJMLONA0282DGFIHKJMLONA@CBa>0tdq8>jyi=57/0<>12=<>>98<47G33?2@ANOLMJKHIFGDEB4=?:22;==570123456789:;<=>;;9075a=?;'98?>=<321055=?0123H5;789:;<=36<221CXZ_UU8PPDRR[5=1<3?4959:45?530?974O;;8CBE4=F:2K3>6O64:C:=<>4A@GBEDGFI9OJM=ONb:CG@WGPZHNO^h5NDEPBSWGCL[6;2k5NDEPBSWGCL[6:<3h4AEFQERTFLMX7=<0>1:CG@WGPZHNO^1?=:1GCL[K\^LJKR=3=a>GCL[K\^LJKR=0=a>GCL[K\^LJKR=1=a>GCL[K\^LJKR=6=a>GCL[K\^LJKR=7=a>GCL[K\^LJKR=4=a>GCL[K\^LJKR=5=a>GCL[K\^LJKR=:=a>GCL[K\^LJKR=;=f>GCL[HYHLJKRd9B@ATEZMKOH_2?>g9B@ATEZMKOH_2>0?d8EABUJ[NJHI\310<25>GCL[HYHLJKR=31>58a3HNO^O\KAEFQ8449m2KOH_L]D@FGV979m2KOH_L]D@FGV949m2KOH_L]D@FGV959m2KOH_L]D@FGV929m2KOH_L]D@FGV939m2KOH_L]D@FGV909m2KOH_L]D@FGV919m2KOH_L]D@FGV9>9m2KOH_L]D@FGV9?9m2KOHRICOCCO[UOI;2KO^<>4ADCBEDGFILKJN119BADCFM9IJ>L4BC@AFGDA0123456?3:@VB1=E]OM:<6LZIMF\PDT@M]KYOE64BTQ\MK@H92I:<6M?412@415799:8<<=4C070?F71j2IJ\LMFT@L@A==DK:IJMLO>0:A@GF5DKJKJMLONA@33?FEDKJIH5NML9BA@=7=DM880OEKLK^NJG@HTMV^R\H<4CH78GNDRN:1H@F:4CMI32>EKC82;:6MCK3531>EKC;R37NBD2Y3;40=DDB3;96MCK@34?FJLI8N9;6MCK@3G02=DDBK:H574CMIBSWEKC<1H@FL>9:AOOGTCKEA>7NBDC078GIMC9<1H@FJYc:AOOAPXL@\BHH;4CMIEF0=DDBLS46MCKGZ2<5eEKCORTAXB[IQNZ1>EKC@D:=6MCKHL\@LPNLLUIURESDDEOI:5LTMMPG4119:FJEAO;99427IGNDH>25;?89GMDBN489556JFAEK?518e3MCJHD2>5;2==>BNIMC7=807;EKB@L:6611OELJF<3<;?AOFL@68255KI@FJ818?3MCJHD2:>99GMDBN4?437IGNDH>4:==CAHNB0507;EKB@L:>6<1OEOJF8:FJFAO;8730HDLKI=33:<=CAKNB027;?c9GMGBN48?1<374DH@GM972611OEOJF<0<;?AOEL@69255KICFJ868?3MCIHD2;>99GMGBN4<437IGMDH>5:==CAKNB0:07;EKA@L:?611OEOJF<8<4?AOWIMC[m6JFP@FJT969k2NB\LJFP=394;g>008@IJXN[OZYH@LY^OL@@33MEJHB2>0?;8@JGCG5;:245KO@FL844912NDMIA312<:?AIFLF6:83l4DNCGK97229427IANDN>21;>BHIME78364DNCGK93902NDMIA36?:8@JGCG5=546J@AEM?<;>27;?c9GKGBH48?1<374DN@GK972611OCOJ@<0<;?AIELF69255KOCFL868?3MEIHB2;>99GKGBH4<437IAMDN>5:==CGKND0:07;EMA@J:?611OCOJ@<8<4?AIEW[OL56J@NU]@EWC03ME[MIA_a:FLTDBHX5:5o6J@P@FLT97=87k0HB^NDNR?5;12:`=CZJUXYNMJSRDVH0=C[ZOE46J\S^OL@@723M__URMVCMG\GJTUMJ^BCC?4E39F0==BNLO?99:8;DLBSWCI?2OEN_JJNc9FJZTT\[KFSLl4EO]QWQTFEVH37HV_AOFF@470IU^NNEGG[FII[]XJECZ\109F\UGILLNTE^KPFHNF<>@7IH9HML=4F070?C71:2L9>6H;4:D52C>@FK]EYURDI5:DBHVC53ON87KJL4:DGG@55IDS68BATB<2LO_H:4FE]2<>@BML??89??;GGFACCAM8:;<<>>039EB46;8=HIBG2345DA<2LMJ5??;GDEB7G5:;K9>?O=2028BC@A:OL9>KH=FGDE<>@ANO=IHH64FGDEBC1A02LMJKHIFD33?C@ANOLMIKHIEGDEA463OXNIWKKFR;8BWCBRLNG@55IRNO\QF@63N90KCJ>;H08M540EO[I5:KAQCA682CIYKQKEMCZ[SGK]Li0EO[I_MMT[UQU:2CE?6GAA29JJG>97:KMMQY7?>1BBDZP0958MKOSW93<7D@FT^2B3>OIA]U;N:5FNHV\4F11BBDZP1958MKOSW83<7D@FT^3B3>OIA]U:N:5FNHV\5F1F79JJLRXI?1BBDZPB89JJLRXN@FN=o5FNRGQPZJWWMLXS_K\EU]@MWERA]90ECXj;HL\JBBXIGNTNNJ<;HMA2>OHKFG[:6G@TXRF7>L6<:1A=;<4LH58HLDUI[S=7AANDDF0?IID>2FDOFKK7:NLCLEFD:1GCZ:4LTV20>JR\;>0@XZ<4:NVP1286BZT778IVAHF:1FYU;4MTZ@]0=J]QL>c:O`kphsWjf`SKdg|dSdjce^alqkrbzVir0<>1_sv2e>Kdg|dSdjce^alqkrbzVir0^pw5d=JkfexRgkld]`kphsm{Uhu1?9>0f8Ifirf}UbhakPcnwmp`tXkp6::3Q}t0;8Ifirf}UbhakPcnwmp`tXkp6:2Kdg|dSdjce^alqkrbzVir0>0Pru3:?Heh}g~Teibj_bmvjqcuWjs783?l;LalqkrXamfnSnaznugq[f;<7Uyx<74MbmvjqYnleoTob{atdp\g|:268i0Anaznu]j`icXkfexh|Pcx>6:Zts901Fob{at^kgh`Ydg|diQly=4=5f=JkfexRgkld]`kphsm{Uhu181_sv2=>Kdg|dSdjce^alqkrbzVir0:0>c:O`kphsW`ngiRm`uovfvZe~4>4T~y?6;LalqkrXamfnSnaznugq[f;07;h7@m`uov\majbWje~byk}_b{?<;Yu|830Anaznu]j`icXkfexh|Pcx>::4e^pw5d=JkfexRgkld]`kphsm{Ugyy2?>328Ifirf}UbhakPcnwmp`tXd|~7<3QFNW]35d=JkfexRgkld]`kphsm{Usc2?>0`8Ifirf}UbhakPcnwmp`tXpfx7==0>b:O`kphsW`ngiRm`uovfvZ~hz5;:2Kdg|dSdjce^alqkrbzVrd~1?8>0g8Ifirf}UbhakPcnwmp`tXpfx7=:0Pru3b?Heh}g~Teibj_bmvjqcuWqey0<0>a:O`kphsW`ngiRm`uovfvZ~hz585=l5BcnwmpZocdlUhcx`{es]{kw:468k0Anaznu]j`icXkfexh|Pxnp?0;7f3DidyczPienf[firf}oySua}<4<2e>Kdg|dSdjce^alqkrbzVrd~1811`9Ngjsi|Vco`hQlotlwawYg{6<25A1168J467<2D:<<:4N0217>H6::1E=9=4N0:1?K443G8;?6@=629M6=4:<;O167>H4>:1E?:=4N2:0?K5>:2D??6@;029M045H30:1E84<4N418J0643G?:?6@:229M16587C;:3:L626=I=>90B86<;O7:6>H1;2D=<>5A6018J3443G<8?6@9429M20580B5=4N900?K>4;2D39>5A8618J=?43G3:?6@6329M=05;Q18TDB33YKYX884PDPJKA&%keaT=?cgz#";7654&::;<=>?01"sW%NNOA*><#>?0123456'-)CE\XZPJHVWAW&68':;<=>?012#tV5f3YOYEBJ/"bnh[4kor+*:<=>?01,3456789:;,}]/HHEK$06)89:;<=>?0!'00>VBZ@EO,/}llj #573789$;<=>?0123$uU'DF]+9= ?01234567(,>n7]K]INF9gimX99:fdwQf_bnhb}=5HHEK>06"2@D[YYQEIUVFV?772yY8;6^JRHMG>fjlW88fdw473210*65pR8KMBN==9/:h6^JRHMG>vekc3;99=>?:qQ9HJQ==9/i7]FNSD]PLL@Si2ZBBRLZSHF[f>VNFVH^_COBEc9SMKYN[JFDOF74PJ]KHPRIE:1[^H;4PRAOO3=W[MEEI95_SDL;?UUNFJNNO??4PVP\0ZiXkeaT=`fu_blw_0[Xmxj`R?Po378TRTXTBIMUME_][6:PFCFCFj2XNKNKMRDE@A`=UMYFJOHQ_ASGMP465]SU68VVRF?2XXXO\\T69QWQR^XLo0^^ZPPSKLVLR^WHo0^^ZPPSKLVLR^WK30^h}zlu>3:`=Umzgx1>11^DQF`=Umzgx1??>^DQF`=Umzgx1?>>^DQF`=Umzgx1?=>^DQF`=Umzgx1?<>^DQF`=Umzgx1?;>^DQF`=Umzgx1?:>^DQF`=Umzgx1?9>^DQF`=Umzgx1?8>^DQF<=Umzgx1?1e:Pfwpjs484:SK\M9:Pfwpjs4;4n7_k|umv?6;7XN[H27_k|umv?7;c0]EVG?d9Qavsk|5>5=RH]B89Qavsk|5?5i6\jstnw8086WOXIh6\jstnw838XN[Ho7_k|umv?3;YAZKn0^h}zlu>;:Z@UJm1Yi~{ct=;=[CTE92Y<7^MNNNWW7>UCX:1XIA=4SDV;?VCSZLYNX>5\FG32?VNUMNUNTY\NCU]MCFc<[AXNKRCFN^QJ^@743ZCFSNACLHQJKKYD@LI@m6]DEVAOOLH6i2Y@IZMCKHL12>ULM^CE;6]DEVMVP2=TCL]Y_Y64SJKS[UOI<2YY_Y94SSTBHZG03ZX]MAQM4:QPAQe<[]KYXX^PW@KW2>USI]_X56][AUWP858>3Z^JXX]31?;8WQGS]Z69245\T@VVW95912Y_MY[\<5<:?VRF\\Y79374SUCWQV:16k1XXLZZS=594;?<[]K_Y^28>99PPVGCZZ:37^Z\AEPP5==T\ZKO^^<7;RVPEATT;11XX^OKRR67?V_IK880_T][EL]P]KEOZFDDY^?4T29W@U><\LXFECOC6:VJAJ@A02^BAH]_EB58PKKXFL^87Y\F8:VQMVRF\L>0X_[Jb:VP[QOJMZZNO;5[S^VMI43<\ZUh`fQ>01ok~ZoXkeamtn5[S^aooZ75eapi7Y]Pcmi\5hn}?2^XS~mck59W]UC0=2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3TcX[^:<56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%FaxvPg`g-cjoioVkna}zv_QUQ[65XgVG^TR7Po0:\WR70l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3Tc<6PSV3\WR6082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTkbgag^gntqXX^XT?>Q`_LW[[=Yh9;=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&GfyuQhohld[`kw|pU[[_Q<3^m\IP^X0Ve:?::4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#@czx^elmkaXmdzuR^XR^10[jYJ]QU3Sb?<_RU335=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW:9TcRCZX^:\k42082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTkbgag^gntqXX^XT?>Q`_LW[[=Yh9<=;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&GfyuQhohld[`kw|pU[[_Q<3^m\IP^X0Ve:::>4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#@czx^elmkaXmdzuR^XR^10[jYJ]QU3Sb?86d9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} g`g-cjoioVkna}zv_QUQ[65XgVG^TR7Po4f8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/fcf*wgjWhof|ywPi7;8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/fmjjbYbey~rS]Y]_21\kZKRPV2Tc8l4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#ob_dosp|YajVc>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%ym`Qjmqvz[l2a3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~lcPre]fjZo6=:1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|k_qlwvZvk}z;7<3;j;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vaYwf}xT|a{|2=2=[lhq9VCEZR>:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wbXxg~yS}bzs3>2:26<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/Lov|Zad9'idyczT3\]DJAY609UdS`{w_3]LQQ56?91^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IhsWni:"naznuY0YZAILV;396[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{<3<61>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphs4:4=o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{[2_\CKBX91:TcRczx^0\KPR;:7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczT3\]DJAY609UdS`{w_3]LQQ:46<>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byQ=559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZ5202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi?31?7;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkrXl8692864U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu]g5959=>1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj>_074?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkrXl8U99:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f2[63?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`5+eh}g~Th?2?>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjqYc:5;5955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f1878202_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi<33?74?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkrXl;U;9:5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f1[4303\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`5+eh}g~Th?Q=569V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb5W:==7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&GfyuQhag,dklh`Whl~`aQHNE]2<=YhWD_SS<S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-Nip~Xohl%kbgag^ceqijXOGNT=56Po^OV\Z75Wf;9;;5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$A`{w_fce*binfnUjjxbc_FLG[4>?WfUFYUQ>2^m2<2g<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+HkrpVmjj#i`ioe\ecskdVMEHR?78^m\IP^X9;Ud=5Q\W15f?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}Y`io$lcd`h_`dvhiY@FMU:45Q`_LW[[44Xg82T_Z>PSV24e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-Nip~Xohl%kbgag^ceqijXOGNT=56Po^OV\Z75Wf;3S^Y>759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} Mlw{[wgjWog`Rm`mc]DJAY601UdS@[W_03\k440<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)Je|rT~lcPftno[fijjVMEHR?78^m\IP^X98Ud=>97;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"Cbuy]qehYa}efTobcm_FLG[4>?WfUFYUQ>1^m27ZUP8>>0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'Dg~tR|nm^dvhiYdgdhTKCJP19:\kZKRPV;:Sb?86g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} g`d-cjoioVkmyabPGOF\5=>XgVG^TR?=_n7;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.pbiZga}efTe;j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZehekULBIQ>89]l[HS_W8;Tc8o4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#ob_gwohZ`eW`?<7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&xjaRhzlm]j0c=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&xjaRy}_dl\m4123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.Onq}Y`kj:%kn?!cnwmp^5ZWNDOS<69_n]nq}Y5WF__?<9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&GfyuQhcb2-cf7)kfexV=R_FLG[4>1WfUfyuQ=_NWW043f3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k8$hcx`{<3<6e>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfex1=1709V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Q8QRIAD^3;2ZiXe|rT>RAZT=0=34=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byU<]^EM@Z7?>VeTaxvP2^MVP959=01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]11<=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQ<5e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Uo=1?15e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Uo=1<15e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Uo=1=15b9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Uo=R?:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|Vn:S?;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc0,`kphsWm;T?8j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;6;28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;6:28j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;6928j4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;6828m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;U;9n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:V;>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmpZb5W;?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo< lotlw[a4X;<90Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xr^rmpwYwd|y:0=0:e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rtXxg~yS}bzs3>3:Zoi~8UBB[Q?529V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*quWyd~R~cur0?5;d43\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&YO\#YFDD]SVLK>&{kfni5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,dfha)okglm#`heba-fha(ogke{kmmf,meqoqmVhggRcjm^efj*pfd`n%o~z}/scnhjiwS9W%~lc!r.q5[kinf`~%~lc SER-SLBBWYXBA4 }al]jjvski}o;9<5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$fi`!hbl,wiuYuidUyhRka539V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb bel-dfh(seyUym`Q}d^gm565<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pbiZtcWld8?6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zhgT{Qjn2d8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"|k_qlwv979W`d}=RGAV^27g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*wbXzz~T~hi30?6`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}Uyij2=>5`8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|VxnkR>;b:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$~~zmlj-mcrtczz~%k}{.sf\vvrXzlmT>9m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy xr^pppZtbo5:58n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`484?n6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"z|Prrv\v`aX8=h0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ74l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`a382_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%yhR||t^cpv`aXl8>;7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"|k_sqw[duumnUo>>m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXkfgi?i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae re]qwqYdgdh:?k5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'~xT|cz}<0<\mkp6W@D]S==4UBD5?PHR[LNh7X]JR^TJWLDKM:1]ON?<;WGQ]ZNNOAUM^H_ZEOAZ=>PNM^U_U]K9;VGBS@J>3^OJ[HB30?`8S@GPME6:6=06;VGBS@J;97<0[HLXET;8S@DPM\6;2o5XECUFQ97=8730[HLXET>2:g=PZ@^NSKG]SUa8SWOSMVGDHHQNc:UQMQCXEFNNSO?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP6?]IUKP<0T^ZCICg8\VRJIJXDS_OB_03e?]USWHN]MCMJD^OBGWIXIJI^S@okd^DE3>^T\VMEH:5WSU]UGFd^XKFXNSD@IO^NJWQ0YXWQFEARQP0^]\Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#@czx^e`g5(`k8$hcx`{[2_\CKBX919Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ36?371>YXWQFEARQP13]\[uej{R;V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;>7;?96QP_YNMIZYX9:UTS}mbsZ0^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ36?371>YXWQFEARQP15]\[uej{R9V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;>7;9i6QP_YNMIZYX90>3g9\[Z^KFDUTS<9P_^ofi*Kj}qUjhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ:100a?ZYXPEDFSRQ>8^]\i`k(zmUnbRj`_wcomaYFFMU95Ra>2c9\[Z^KFDUTS<7P_^O`kphsWjf`S.bmvjq]4UVMEHR?70^m\ip~X:VE^X9?=QP_lgn+BHCW89TcR}llj]`kphsS8:VShzam]1[j:687;T_Z><2:]\[]JIEVUT>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?1;76m7RQPXMLN[ZY5=VUT|nc|[2_-tfk(EhnoSJ@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV\|jt;=7;:>85P_^ZOJHYXW;:=l5P_^ZOJHYXW;2TSRQa0062[lhq9;20SRQWLOO\[Z4>WVUfi`!|ph]phdpb'zbTbhv{<7<01a=XWVRGB@QP_3]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zhgT~iQjn^k26==XWVRGB@QP_22\[Zkbe&y{eR}cawg,wmYimq~783==8:]\[]JIEVUT?l5P_^ZOJHYXW?UTS@m`uov\gimX9dbqSn`{_ymq86869830SRQWLOO\[Z1XWVUe<<=9_hlu54??86]jjs7X9h1Tb=?>0^kmrg=Xf9;:8Piot2e>Yi88>:Sd`yb:]m4426W`d}=n5nllmppZcjx}si7lbborv\bpjk;2iggl5llj]245kor8li7nbd_023im|+keaT{dtPv2]1(~eheyeeiczPn`of9fjlW8:;aet#urg\tmgtmVkgej`beov>pwsb$|ynS`ga_n]imqrbz4lj`~k#urg\id~XaV`bxyk}=gcow`*r{lUoucQh`qf[vnnn}7mma}j,tqf[lhddbUx{dzlhntfv8`fdzo'y~kPdxl\v`ehfocl1kocsd.ea`beijaTb{}cd?E@GMXI\^D }|fmu{saZubd4NHAR]_,own[jssWjf`1<"lljkm5Zvbz`eo15=!32107654;:&h`fga2^rfvlic519%?>=<321076*r{lU{czk}_emtj8`fdzo'y~kPrdqfp8ru}l&~hQcobif`8ru}l&~hQfnbnh[vrhxyoo1kocsd.vw`Yt|h~~0hnlrg/qvcXn{onvh3iamqf(pubWjf`S{ocie?eeiub$oonhoolk^vzt`;TAGMGI!mcobi\ibtX|pzn1L[[O-objpgkWf|n~gke01ok~Zo(kea;=85llj]245korVc$oaei_cwe57=ddbU:<=cgz^k,gimaj880oaeP112nlYn'jf`ju?<;bnh[467eapTe"mckhl252=ddbU:<=cgz^k,gimnf8Uiyk?7;bnh[467eapTe"mcknww5Zdrn820oaeP112nlYn'mifS~~Pioqw57=ddbU:<=cgz^k\gimap01h`fQ>2ljy<>ekcV;fdwj4cmi\5hn}WK_MKk5llj]2im|Xkg~7<3h4cmi\5hn}Wjd0<0i;bnh[4korViex1<1f:aooZ7j`sUhby2<>g9`hnY6eapTocz34?d8gimX9dbqSn`{<4bce?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8374dhl?50<76>1oec2>5?48`lh;97<0hd`32?48`lh;;7<0hd`34?48`lh;=7<0hd`36?48`lh;?7<0hd`38?48`lh;17=0hb{{<1<;?air|5;;255kotv?548?3me~x1?=>99gkpr;9:437iazt=37:d=cg|~7=84?>99gkpr;9<4<7iazt=3=3>bh}}692:5kotv?7;169gkpr;?7=0hb{{<9<4?air|53596kbpu{4?cgt|jf`86hffn334)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4;'oRm`uov\p|vb59&hSio{a^alqkrX`nd0:#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:9?&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe3>6-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y64)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7; nQgar]bhhit|Vof|yw21-a\lduXiegdyQiumn>5)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7; nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>4)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs59&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k20-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`4;'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>5)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0?#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa87+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb21-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7: nQrdnleaYumny65545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf6?!mPpsmd[`kw|pUu}k20-a\twi`Wlg{xtQ{yqg\e}ot5<&hS}|`g^gntqX|pznSolh<7/gZvugnUna}zv_u{saZtcka7> nQrne\ahvsqV~r|hQxabj>1)eXx{elShctx]w}ucXmic18"l_qplcZcjx}sTxt~j_vp`l83+kVzycjQiumn\pmtb{aUj~k}t^tbh873$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;6;%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?6(fYwzfmTjxbc_u{saZtfka7> nQrne\bpjkW}s{iR|kci?6(fYwzfmTjxbc_u{saZqfka7> nQrne\bpjkW}s{iRykci?6(fYwzfmTjxbc_u{saZquka7> nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=5.`[wbXllzd0?9,b]q`Z`umx7: nQ}d^rmpwYpam~c19"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQznegqbiipWee|1="l_tqf[fijefdTc{k}fmmt95*dW|ynSnabmnl\qkbbzofd{0>#c^wpaZbbnhgxR}jtukmcv;7$jU~hQkotv\vvr:9%iTy~kPeba>4)eX}zoTinmPaykp95*dW|ynShml_sc`l86+kVxiRklc^pggm;7$jU~hQjcb]tefn:8%iTy~kPeba\saeo59&hSx}j_da`[rtd`4:'oR{|e^gnf`bcmmUyij3?,b]vw`Yaaoe::Rhcafq>4)eX}zoTjzh{_ecweZeh}g~6=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=5.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x7e3ocmc!hffn]dakcui}eyS{6P2-y~6c=aaoeTkh`jr`vlvZp?W;&+Tdbfny"@KWC'Oldn~lz`r!30*724ienf[firf}oy0<>1119j`icXkfexh|310<24>ocdlUhcx`{es>26;773`ngiRm`uovfv97468:0eibj_bmvjqcu48>5==5fdmg\gjsi|lx7=80>0:kgh`Ydg|di2>6?33?lbkmVidyczjr=34:c=nleoTob{atdp?5;`i;hfoaZeh}g~n~R?>f:kgh`Ydg|diQ>2g9j`icXkfexh|P12d8majbWje~byk}_06e?lbkmVidyczjr^36b>ocdlUhcx`{es]22c=nleoTob{atdp\52ckbe&MEHR?<_n]gkZpfd`nTAXVP55]l5c=jmd%LBIQ>3^m\`jYqiecoS@[W_46\k44f3dof#J@K_01\kZuddbUhcx`{[02^[`wrieU9Sb2>0?31a>kbe&MEHR?<_n]pgimXkfexV??]^grqdjX:Ve7==0>_GPA6`=jmd%LBIQ>3^m\wfjlWje~byU>0\]fupgkW;Ud0<>11^QT47c0]PS44f3dof#J@K_01\kZuddbUhcx`{[02^[`wrieU9Sb2>0?01a>kbe&MEHR?<_n]pgimXkfexV??]^grqdjX:Ve7==0=_GPA74=jmd%FmijPaefq[miklR=VSJ@K_01\kZgclV2TCXZPxnp?2;76;;1fi`!Baef\eabuWaeghV9R_FLG[45XgVkohR6POTV\|jt;>7;:=0:Zts9k1fi`!BcnwmpZuddbUhcx`{_b{?0;7b3dof#@m`uov\wfjlWje~byQly=6=[wr6j2gna"Clotlw[vekcVidyczPcx>6:4ckbe&Ghcx`{_raooZeh}g~Tot28>^pw5g=jmd%Fob{at^q`hnYdg|dSnw38?3f?hcj'DidyczPsbnh[firf}Uhu161_sv2f>kbe&Ghcx`{_raooZeh}g~Tot26>0g8i`k(Eje~byQ|cmi\gjsi|Vir040Pru3`?hcj'DidyczPsbnh[firf}Ugyy2?>308i`k(Eje~byQ|cmi\gjsi|Vf~x1>1_HLU[57d3dof#@m`uov\wfjlWje~byQwos>3:4b0:4ekbe&Ghcx`{_raooZeh}g~Ttb|36?3`?hcj'DidyczPsbnh[firf}Usc28>0a8i`k(Eje~byQ|cmi\gjsi|Vrd~1611b9nah)JkfexR}llj]`kphsWqey040=f:ofi*Kj}qUjhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ>13d8i`k(EdsSljkr^jlha]0UVkohQgomfX3XYj}qU:?RAZT331b>kbe&GfyuQndep\ljjcS>WTmij}_imo`^1ZWdsS<=POTV057`3^MVP17482gna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR3988m7`kb/Lov|Zgcl{UccajT7\]b`atX`ffoW:SPmtz\56YH]]>9>k5bel-Nip~XimnySeacdZ5^[dbczVbd`iU8]^ov|Z74WF__9<=?;lgn+HkrpVkohQgomfX3XYflmxTdbbk[6_\ip~X9:UDYY;>13d8i`k(EdsSljkr^jlha]0UVkohQgomfX3XYj}qU:?RAZT401b>kbe&GfyuQndep\ljjcS>WTmij}_imo`^1ZWdsS<=POTV557`3^MVP275n2gna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR?98<0ahc Mlw{[wc`W{nTmij}1035?hcj'Dg~tR|jg^pg[dbcz;;::6cjm.Onq}YumnUyhRokds1253=jmd%FaxvPrde\vaYflmx?=<84mdo,IhsW{olSjPaefq14713dof#@czx^pfcZtcWhno~;?>6:ofi*Kj}qUyijQ}d^cg`w16981fi`!]erwop969WOXI=?5bel-Qavsk|5;;2RH]B038i`k(Zly~`y2>>^DQF471:Z@UJ8;0ahc Rdqvhq:46VLYN^DQF475:Z@UJ8;0ahc Rdqvhq:06VLYN^DQF`=jmd%Tb=?=7^kmrc=jmd%Tb=?=7^kmr6773dof#R`?135\mkp49890ahc _o2262Ynf>T_Z>>7:ofi*Yi888T_Z>PSV2e?hcj'Vd;=?9Piot654=jmd%Tb=?=7^kmr0YA981fi`!Pn1313Zoi~4mdo,[k66;=Ubb{?>119nah)flmxTdbbk<1<24>kbe&kohQgomf?5;773dof#ljkr^jlha:568:0ahc aefq[mikl595==5bel-b`atX`ffo090>0:ofi*gcl{Uccaj35?33?hcj'hno~Rf`le>5:46WTmij}_imo`^1ZWdsS<=POTV?4;4e3dof#ljkr^jlha]0UVkohQgomfX3XYj}qU:?RAZT=3=6g=jmd%jhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ32?0a?hcj'hno~Rf`leY4YZgcl{UccajT7\]nq}Y6;VE^X1=12c9nah)flmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^783o5bel-b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR;>78i7`kb/`fgvZnhdmQ3^MVP919n2gna"okds]kkibX8o1fi`!ndep\ljjcW8l0ahc aefq[miklV8m7`kb/`fgvZnhdmU8j6cjm.cg`wYogenT8k5bel-b`atX`ffoS8h4mdo,eabuWaeghR8i;lgn+dbczVbd`iQ89:ofi*gaaoeh7`kb/em\rdjnl;80ahc dn]ueiocWhno~Rf`leY4YZGILV9;Sb<<;lgn+aiX~hfbhRokds]kkib\?TUJBIQ<0^m257=jmd%ocRxnlhf\``jfq8?0ahc dn]ueiocWnf~iR}jt0;8i`k(lfU}magk_fnvaZub|VY\<<;4mdo,bl`hWhffc~zPelrw}`=jmd%mekaPelrw}4b4mdo,bl`hWf0<<1119nah)aaoeTcxz312<24>kbe&lbjbQ`uu>20;`0:ofi*`nnfUyiljPeo36?hcj'ocmcR|jae]fjZnhdmo0ahc fhdl[rtXmg;<7`kb/gkekZquWldTka{j_rgw5g=jmd%mekaPws]fjZak}lUxiyQ\W13;?hcj'{nThlzn_`nnkvrXzlkou<<4mdo,vaYci}kTcxz30?31?hcj'{nThlzn_nww8486:2gna"|k_ecweZir|585=?5bel-q`Zbf|hUdyy2<>008i`k(zmUomyoPotv?0;753dof#jPd`vb[jss4<4:>6cjm.pg[agsiVe~x181139nah)ulVnjxlQ`uu>4:47kbe&xnkR|k_`fgv9699;1fi`!}ef]q`Zgcl{6:2<<4mdo,v`aXzmUjhi|32?31?hcj'{olSjPaefq8686:2gna"|jg^pg[dbcz5>5=?5bel-qabYulVkoh2:>008i`k(zlmT~iQndep?2;753dof#kh_sf\`drf494:>6cjm.pfcZtcWmkm1?1139nah)umnUyhRjnt`>1:442:ofi*tboVxoSio{a=7=57=jmd%yijQ}d^fbpd:16880ahc rde\vaYci}k7;3j4mdo,v`aXzmUnbh5bel-qabYulVoe=<<4mdo,v`at'DxjaR|jgr3`?hcj'{ol"C}al]qabuXagy~`lzj0038i`k({jf`Snaznu>3:47038i`k({jf`Snaznu>::461g9nah)tx`Ux`lxj/Lov|Zusi}oTbhv{203e?hcj'zzbS~bnvd-Nip~X{}kiR`jxu1252=jmd%x|dQ|l`tf+aoXzlm7<3?8;lgn+vvnWzfjzh!ki^pfc9799>1fi`!|ph]phdpb'mcT~hi32?34?hcj'zzbS~bnvd-gmZtbo595=:5bel-ptlYtdh|n#igPrde?0;703dof#~~f_rnbr`)caVxnk1;1169nah)tx`Ux`lxj/ek\v`a;>7;<7`kb/rrj[vjf~l%oeR|jg=5=53=jmd%x|dQ|l`tf+aoXzlmT<<84mdo,wuoX{ek}i"jf_sgd[4713dof#~~f_rnbr`)caVxnkR<>6:ofi*uwaVygm{k dh]qabY49?1fi`!|ph]phdpb'mcT~hiP4048i`k({ycTaoye.fj[wc`W<;=7`kb/rrj[vjf~l%oeR|jg^422>kbe&y{eR}cawg,`lYumnU<=h5bel-ptlYtdh|n#iaPbtdeawYumn6;2e:ofi*uwaVygm{k dn]aqc`bzVxnk1=11d9nah)tx`Ux`lxj/em\fp`am{Uyij2;>0g8i`k({ycTaoye.fl[gsanlxT~hi35?3f?hcj'zzbS~bnvd-gkZdrnooySkh<7<2a>kbe&y{eR}cawg,`jYe}oln~R|jg=5=5a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]35a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]25a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]15a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]05a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]75a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]65a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]55a=jmd%x|dQ|l`tf+aiXj|lmiQ}ef]45d=jmd%x|dQ|l`tf+utbdfkoS`g|o0:8i`k({ycTaoye.qk[kc|5:5=55bel-ptlYtdh|n#~fPndzw848612gna"}i^qoesc({aUeiuz31?12f>kbe&y{eR}cawg,wmYimq~7=3=PF0`8i`k({ycTaoye.qk[kc|5;5?RI>8:ofi*uwaVygm{k si]ma}r;:7;27`kb/rrj[vjf~l%xdR`jxu>1:47>3dof#~~f_rnbr`)t`Vdnty2=>33:?hcj'zzbS~bnvd-plZhbp}692>?7;lgn+vvnWzfjzh!|h^lf|q:46830ahc sqk\wigqm&ycSckwt=1=54?kbe&y{eR}cawg,wmYimq~793<>9:ofi*uwaVygm{k si]ma}r;=79:46cjm.qsmZukio$eQaeyv?2;7>3dof#~~f_rnbr`)t`Vdnty29>03:?hcj'zzbS~bnvd-plZhbp}6=2??6;lgn+vvnWzfjzh!|h^lf|q:16:;37`kb/rrj[vjf~l%xdR`jxu>4:4?<74mdo,wuoX{ek}i"}g_og{p919;8=0ahc sqk\wigqm&ycSkh<1<23>kbe&y{eR}cawg,wmYumn6:2<94mdo,wuoX{ek}i"}g_sgd8786?2gna"}i^qoesc({aUyij2<>058i`k({ycTaoye.qk[wc`4=4:;6cjm.qsmZukio$eQ}ef>6:417:ofi*uwaVygm{k si]qab:068<0ahc sqk\wigqm&ycSkh_135?hcj'zzbS~bnvd-plZtboV;::6cjm.qsmZukio$eQ}ef]153=jmd%x|dQ|l`tf+vnXzlmT?<84mdo,wuoX{ek}i"}g_sgd[1713dof#~~f_rnbr`)t`VxnkR;>6:ofi*uwaVygm{k si]qabY19?1fi`!|ph]phdpb'zbT~hiP70`8i`k({ycTaoye.qweqcXflr0=0>b:ofi*uwaVygm{k sucwaZhbp}6:22:4g0>9:ofi*uwaVygm{k sucwaZtboV::56cjm.qsmZukio$yo{e^pfcZ7612gna"}i^qoesc({}kiR|jg^02=>kbe&y{eR}cawg,wqgsmVxnkR=>9:ofi*uwaVygm{k ty]amqYimq~:m6cjm.qsmZukio$xuQmiu]ma}r69h1fi`!|ph]phdpb'}rTndzPndzw64g6m1{o`Qnrscz[5Y5l2zhaRo}r`{\4Z5c3yifSl|}ax]3[1bvdeVky~lwP0^5g?uejWhxymtQ?_9f8tfkXi{xjuR>P9d9sghYfz{krS<2=>d9sghYfz{krS<2<>d9sghYfz{krS<2;>d9sghYfz{krS<2:>d9sghYfz{krS<29>d9sghYfz{krS<28>d9sghYfz{krS<27>d9sghYfz{krS<26>e9sghYfz{krS6m1{o`Qnrscz[7Y5l2zhaRo}r`{\6Z5c3yifSl|}ax]1[1bvdeVky~lwP2^5g?uejWhxymtQ=_9f8tfkXi{xjuR2=>d9sghYfz{krS>2<>d9sghYfz{krS>2;>d9sghYfz{krS>2:>d9sghYfz{krS>29>d9sghYfz{krS>28>d9sghYfz{krS>27>d9sghYfz{krS>26>e9sghYfz{krS>Q=d:r`iZguzhsT?R=k;qan[dtuipU8S9j4pbo\ewtfqV9T9i5cl]bvwg~W:U=h6~lm^cqvdX;V=o7}mb_`pqe|Y4W1n0|ncPaspb}Z5X1>1{o`Qheoc8tfkXg|~7<3o4pbo\kpr;97k0|ncPotv?6;evdeVe~x1=1e:r`iZir|V:TCO[Ie:r`iZir|V;TCO[Ie:r`iZir|V8TCO[Ie:r`iZir|V9TCO[I2g9sghu\8T${o`!HNE]03ZiXxjgTob{atZ32YZJb{z^cmcQ;_n13?uej{R:V"}mb/FLG[61XgVzhaRm`uovX54[XDlyxXeoa_5]l562UdS}mb_bmvjq]69TUGi~}[h`l\0Zi6WZ];?85clqX4X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnT5?85clqX4X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTUdS}{csd]tmaroW{olW84pbop_5[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:4523yifV>R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743=9;qanw^6Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?<;74=2zha~U?]/r`i*AILV91\]b`aY7WF__040<9:r`iv]7U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8X[^:8h6~lmrY3Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~4048i6~lmrY3Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~404:8;5clqX4X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYg{6829Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?0;76<91{o`}T0\,sgh)JimnTKCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU]{kw:268;8h6~lmrY3Y+vde&Ghc`~nr^r`iZeh}g~P=1\]DJAY4?VeT@h}|Ticm[7YhWe0<0UdSAk|sUjbjZ4XgVf~x1<13d9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2<>2g8tfktS9W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek17`=wkdyP

9Po^NfwvRoigU9SbQcuufj76cRaPltvgm15b3yifV>R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww`l05:2zha~U?]/r`i*Kdg|dS}mb_bmvjqYdq5:5>>5clqX4X(wkd%Fob{at^r`iZeh}g~Tot2>0?05?uej{R:V"}mb/LalqkrXxjgTob{at^az8469W{~9>6~lmrY3Y+vde&Ghcx`{_qan[firf}Uhu1?1249sghu\8T${o`!BcnwmpZvdeVidyczPcx>2:Zts:;1{o`}T0\,sgh)JkfexR~lm^alqkrXkp692?;4pbop_5[)xjg$Anaznu]sghYdg|dSnw32?]qp747}mbsZ2^*uej'DidyczPpbo\gjsi|Vir0>0Pru01?uej{R:V"}mb/LalqkrXxjgTob{at^az8185=2zha~U?]/r`i*Kdg|dS}mb_bmvjqYdq5>5Sz=2:r`iv]7U'zha"Clotlw[uejWje~byQly=7=60=wkdyP

?5clqX4X(wkd%Fob{at^r`iZeh}g~Tot29>378tfktS9W%|nc MbmvjqYwkdUhcx`{_b{?2;Yu|;80|nc|[1_-tfk(Eje~byQcl]`kphsWjs7;3<:;qanw^6Z&yif#@m`uov\tfkXkfexRmv<6<\vq453yifV>R.qan+Heh}g~T|ncPcnwmpZe~414996~lmrY3Y+vde&Ghcx`{_qan[firf}Uhu161_sv16>vdezQ;Q#~lm.O`kphsWyifSnaznu]`}9?9:<1{o`}T0\,sgh)JkfexR~lm^alqkrXkp622R|{229sghu\8T${o`!BcnwmpZvdeVidyczPltv?4;4>3yifV>R.qan+Heh}g~T|ncPcnwmpZjr|5:5SD@Y_100?uej{R:V"}mb/LalqkrXxjgTob{at^zlv969:=1{o`}T0\,sgh)JkfexR~lm^alqkrXpfx7==0=4:r`iv]7U'zha"Clotlw[uejWje~byQwos>25;403yifV>R.qan+Heh}g~T|ncPcnwmpZ~hz5;:2R|{229sghu\8T${o`!BcnwmpZvdeVidyczPxnp?5;443yifV>R.qan+Heh}g~T|ncPcnwmpZ~hz585>>5clqX4X(wkd%Fob{at^r`iZeh}g~Ttb|33?00?uej{R:V"}mb/LalqkrXxjgTob{at^zlv929::1{o`}T0\,sgh)JkfexR~lm^alqkrXpfx793<<;qanw^6Z&yif#@m`uov\tfkXkfexRv`r=4=66=wkdyP

R.qan+Wct}e~7<3?9;qanw^6Z&yif#_k|umv?5586>2zha~U?]/r`i*Tb{|f0RaPltvgm72d3yifV>R.qan+ZYXPEDFSRQ<_^]Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj71e9:r`iv]7U'zha"~lm^alqkr;994:56~lmrY3Y+vde&zhaRm`uov?548602zha~U?]/r`i*vdeVidycz31?3;?uej{R:V"}mb/qan[firf}692<64pbop_5[)xjg$|ncPcnwmp959911{o`}T0\,sgh)wkdUhcx`{<5<2<>vdezQ;Q#~lm.r`iZeh}g~793?7;qanw^6Z&yif#}mb_bmvjq:16820|nc|[1_-tfk(xjgTob{at=5=5==wkdyP

8:r`iv]7U'zha"~lm^alqkr;179;7}mbsZ2^*uej'yifSnaznuY25XYwkdUhcx`{[03^[DHCW:8Tc>:4pbop_5[)xjg$|ncPcnwmp^76UVzhaRm`uovX54[XIGNT??Q`_RU252=wkdyP

vdezQ;Q#~lm.r`iZeh}g~T=1{o`}T0\,sgh)wkdUhcx`{_234?uej{R:V"}mb/qan[firf}U?=:5clqX4X(wkd%{o`Qlotlw[0703yifV>R.qan+uejWje~byQ9169sghu\8T${o`!cl]`kphsW>;<7}mbsZ2^*uej'yifSnaznu];52=wkdyP

e:r`iv]7U'zha"~zlrg\slbs`Vxnk1711e9sghu\8T${o`!umqf[roc|aUyijQ=1e9sghu\8T${o`!umqf[roc|aUyijQ<1e9sghu\8T${o`!umqf[roc|aUyijQ;1e9sghu\8T${o`!umqf[roc|aUyijQ:1e9sghu\8T${o`!umqf[roc|aUyijQ91e9sghu\8T${o`!umqf[roc|aUyijQ81e9sghu\8T${o`!umqf[roc|aUyijQ71e9sghu\8T${o`!umqf[roc|aUyijQ62g9sghu\9T${o`!HNE]03ZiXxjgTob{atZ32YZJb{z^cmcQ;_n13?uej{R;V"}mb/FLG[61XgVzhaRm`uovX54[XDlyxXeoa_5]l562UdS}mb_bmvjq]69TUGi~}[h`l\0Zi6WZ];?85clqX5X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnT5?85clqX5X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTUdS}{csd]tmaroW{olW84pbop_4[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:4523yifV?R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743=9;qanw^7Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?<;74=2zha~U>]/r`i*AILV91\]b`aY7WF__040<9:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8X[^:8h6~lmrY2Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~4048i6~lmrY2Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~404:8;5clqX5X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYg{6829Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?0;76<91{o`}T1\,sgh)JimnTKCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU]{kw:268;8h6~lmrY2Y+vde&Ghc`~nr^r`iZeh}g~P=1\]DJAY4?VeT@h}|Ticm[7YhWe0<0UdSAk|sUjbjZ4XgVf~x1<13d9sghu\9T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2<>2g8tfktS8W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek17`=wkdyP=P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj76cRaPltvgm15b3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww`l05:2zha~U>]/r`i*Kdg|dS}mb_bmvjqYdq5:5>>5clqX5X(wkd%Fob{at^r`iZeh}g~Tot2>0?05?uej{R;V"}mb/LalqkrXxjgTob{at^az8469W{~9>6~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu1?1249sghu\9T${o`!BcnwmpZvdeVidyczPcx>2:Zts:;1{o`}T1\,sgh)JkfexR~lm^alqkrXkp692?;4pbop_4[)xjg$Anaznu]sghYdg|dSnw32?]qp747}mbsZ3^*uej'DidyczPpbo\gjsi|Vir0>0Pru01?uej{R;V"}mb/LalqkrXxjgTob{at^az8185=2zha~U>]/r`i*Kdg|dS}mb_bmvjqYdq5>5Sz=2:r`iv]6U'zha"Clotlw[uejWje~byQly=7=60=wkdyP=P cl-Ngjsi|VzhaRm`uov\g|:26Vx>?5clqX5X(wkd%Fob{at^r`iZeh}g~Tot29>378tfktS8W%|nc MbmvjqYwkdUhcx`{_b{?2;Yu|;80|nc|[0_-tfk(Eje~byQcl]`kphsWjs7;3<:;qanw^7Z&yif#@m`uov\tfkXkfexRmv<6<\vq453yifV?R.qan+Heh}g~T|ncPcnwmpZe~414996~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu161_sv16>vdezQ:Q#~lm.O`kphsWyifSnaznu]`}9?9:<1{o`}T1\,sgh)JkfexR~lm^alqkrXkp622R|{229sghu\9T${o`!BcnwmpZvdeVidyczPltv?4;4>3yifV?R.qan+Heh}g~T|ncPcnwmpZjr|5:5SD@Y_100?uej{R;V"}mb/LalqkrXxjgTob{at^zlv969:=1{o`}T1\,sgh)JkfexR~lm^alqkrXpfx7==0=4:r`iv]6U'zha"Clotlw[uejWje~byQwos>25;403yifV?R.qan+Heh}g~T|ncPcnwmpZ~hz5;:2R|{229sghu\9T${o`!BcnwmpZvdeVidyczPxnp?5;443yifV?R.qan+Heh}g~T|ncPcnwmpZ~hz585>>5clqX5X(wkd%Fob{at^r`iZeh}g~Ttb|33?00?uej{R;V"}mb/LalqkrXxjgTob{at^zlv929::1{o`}T1\,sgh)JkfexR~lm^alqkrXpfx793<<;qanw^7Z&yif#@m`uov\tfkXkfexRv`r=4=66=wkdyP=P cl-Ngjsi|VzhaRm`uov\|jt;?7887}mbsZ3^*uej'DidyczPpbo\gjsi|Vrd~161229sghu\9T${o`!BcnwmpZvdeVidyczPxnp?=;723yifV?R.qan+Wct}e~7<3?9;qanw^7Z&yif#_k|umv?5586>2zha~U>]/r`i*Tb{|f0]/r`i*YXWQFEARQP2^]\IfijxhxT|ncPcnwmp^76UVMEHR=8_n]OavuS`hdT>RaPltvgm72d3yifV?R.qan+ZYXPEDFSRQ<_^]Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj71e9:r`iv]6U'zha"~lm^alqkr;994:56~lmrY2Y+vde&zhaRm`uov?548602zha~U>]/r`i*vdeVidycz31?3;?uej{R;V"}mb/qan[firf}692<64pbop_4[)xjg$|ncPcnwmp959911{o`}T1\,sgh)wkdUhcx`{<5<2<>vdezQ:Q#~lm.r`iZeh}g~793?7;qanw^7Z&yif#}mb_bmvjq:16820|nc|[0_-tfk(xjgTob{at=5=5==wkdyP=P cl-sghYdg|d050>8:r`iv]6U'zha"~lm^alqkr;179;7}mbsZ3^*uej'yifSnaznuY25XYwkdUhcx`{[03^[DHCW:8Tc>:4pbop_4[)xjg$|ncPcnwmp^76UVzhaRm`uovX54[XIGNT??Q`_RU252=wkdyP=P cl-sghYdg|dS=?8;qanw^7Z&yif#}mb_bmvjqY6911{o`}T1\,sgh)wkdUhcx`{_022<>vdezQ:Q#~lm.r`iZeh}g~T=1{o`}T1\,sgh)wkdUhcx`{_234?uej{R;V"}mb/qan[firf}U?=:5clqX5X(wkd%{o`Qlotlw[0703yifV?R.qan+uejWje~byQ9169sghu\9T${o`!cl]`kphsW>;<7}mbsZ3^*uej'yifSnaznu];52=wkdyP=P cl-sghYdg|dS4?8;qanw^7Z&yif#}mb_nww[wc`9l1{o`}T1\,sgh)w}eynSzgkti]qab:568o0|nc|[0_-tfk(x|fxiRyfduj\v`a;;7;n7}mbsZ3^*uej'yghQxievk[wc`4=4:i6~lmrY2Y+vde&z~`~kPwhfwlZtbo5?5=h5clqX5X(wkd%{ya}j_vkgpmYumn6=2e:r`iv]6U'zha"~zlrg\slbs`Vxnk1711e9sghu\9T${o`!umqf[roc|aUyijQ=1e9sghu\9T${o`!umqf[roc|aUyijQ<1e9sghu\9T${o`!umqf[roc|aUyijQ;1e9sghu\9T${o`!umqf[roc|aUyijQ:1e9sghu\9T${o`!umqf[roc|aUyijQ91e9sghu\9T${o`!umqf[roc|aUyijQ81e9sghu\9T${o`!umqf[roc|aUyijQ71e9sghu\9T${o`!umqf[roc|aUyijQ62g9sghu\:T${o`!HNE]03ZiXxjgTob{atZ32YZJb{z^cmcQ;_n13?uej{R8V"}mb/FLG[61XgVzhaRm`uovX54[XDlyxXeoa_5]l562UdS}mb_bmvjq]69TUGi~}[h`l\0Zi6WZ];?85clqX6X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTP cl-DJAY4?VeT|xb|e^uj`qnXzlmP=5?85clqX6X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTP cl-DJAY4?VeT|xb|e^uj`qnXzlmP=UdS}{csd]tmaroW{olW84pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:4523yifV]^cg`Z6XG\^743=9;qanw^4Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?<;74=2zha~U=]/r`i*AILV91\]b`aY7WF__040<9:r`iv]5U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8X[^:8h6~lmrY1Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~4048i6~lmrY1Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~404:8;5clqX6X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYg{6829Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?0;76<91{o`}T2\,sgh)JimnTKCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU]{kw:268;8h6~lmrY1Y+vde&Ghc`~nr^r`iZeh}g~P=1\]DJAY4?VeT@h}|Ticm[7YhWe0<0UdSAk|sUjbjZ4XgVf~x1<13d9sghu\:T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2<>2g8tfktS;W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek17`=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj76cRaPltvgm15b3yifV>5clqX6X(wkd%Fob{at^r`iZeh}g~Tot2>0?05?uej{R8V"}mb/LalqkrXxjgTob{at^az8469W{~9>6~lmrY1Y+vde&Ghcx`{_qan[firf}Uhu1?1249sghu\:T${o`!BcnwmpZvdeVidyczPcx>2:Zts:;1{o`}T2\,sgh)JkfexR~lm^alqkrXkp692?;4pbop_7[)xjg$Anaznu]sghYdg|dSnw32?]qp747}mbsZ0^*uej'DidyczPpbo\gjsi|Vir0>0Pru01?uej{R8V"}mb/LalqkrXxjgTob{at^az8185=2zha~U=]/r`i*Kdg|dS}mb_bmvjqYdq5>5Sz=2:r`iv]5U'zha"Clotlw[uejWje~byQly=7=60=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:26Vx>?5clqX6X(wkd%Fob{at^r`iZeh}g~Tot29>378tfktS;W%|nc MbmvjqYwkdUhcx`{_b{?2;Yu|;80|nc|[3_-tfk(Eje~byQcl]`kphsWjs7;3<:;qanw^4Z&yif#@m`uov\tfkXkfexRmv<6<\vq453yifVvdezQ9Q#~lm.O`kphsWyifSnaznu]`}9?9:<1{o`}T2\,sgh)JkfexR~lm^alqkrXkp622R|{229sghu\:T${o`!BcnwmpZvdeVidyczPltv?4;4>3yifV25;403yifV>5clqX6X(wkd%Fob{at^r`iZeh}g~Ttb|33?00?uej{R8V"}mb/LalqkrXxjgTob{at^zlv929::1{o`}T2\,sgh)JkfexR~lm^alqkrXpfx793<<;qanw^4Z&yif#@m`uov\tfkXkfexRv`r=4=66=wkdyP>P cl-Ngjsi|VzhaRm`uov\|jt;?7887}mbsZ0^*uej'DidyczPpbo\gjsi|Vrd~161229sghu\:T${o`!BcnwmpZvdeVidyczPxnp?=;723yifV2zha~U=]/r`i*Tb{|f0RaPltvgm72d3yifV9Po^NfwvRoigU9SbQcuufj71eP cl-sghYdg|d0=0>9:r`iv]5U'zha"~lm^alqkr;994:56~lmrY1Y+vde&zhaRm`uov?548602zha~U=]/r`i*vdeVidycz31?3;?uej{R8V"}mb/qan[firf}692<64pbop_7[)xjg$|ncPcnwmp959911{o`}T2\,sgh)wkdUhcx`{<5<2<>vdezQ9Q#~lm.r`iZeh}g~793?7;qanw^4Z&yif#}mb_bmvjq:16820|nc|[3_-tfk(xjgTob{at=5=5==wkdyP>P cl-sghYdg|d050>8:r`iv]5U'zha"~lm^alqkr;179;7}mbsZ0^*uej'yifSnaznuY25XYwkdUhcx`{[03^[DHCW:8Tc>:4pbop_7[)xjg$|ncPcnwmp^76UVzhaRm`uovX54[XIGNT??Q`_RU252=wkdyP>P cl-sghYdg|dS=?8;qanw^4Z&yif#}mb_bmvjqY6911{o`}T2\,sgh)wkdUhcx`{_022<>vdezQ9Q#~lm.r`iZeh}g~T=1{o`}T2\,sgh)wkdUhcx`{_234?uej{R8V"}mb/qan[firf}U?=:5clqX6X(wkd%{o`Qlotlw[0703yifV;<7}mbsZ0^*uej'yifSnaznu];52=wkdyP>P cl-sghYdg|dS4?8;qanw^4Z&yif#}mb_nww[wc`9l1{o`}T2\,sgh)w}eynSzgkti]qab:568o0|nc|[3_-tfk(x|fxiRyfduj\v`a;;7;n7}mbsZ0^*uej'yghQxievk[wc`4=4:i6~lmrY1Y+vde&z~`~kPwhfwlZtbo5?5=h5clqX6X(wkd%{ya}j_vkgpmYumn6=2e:r`iv]5U'zha"~zlrg\slbs`Vxnk1711e9sghu\:T${o`!umqf[roc|aUyijQ=1e9sghu\:T${o`!umqf[roc|aUyijQ<1e9sghu\:T${o`!umqf[roc|aUyijQ;1e9sghu\:T${o`!umqf[roc|aUyijQ:1e9sghu\:T${o`!umqf[roc|aUyijQ91e9sghu\:T${o`!umqf[roc|aUyijQ81e9sghu\:T${o`!umqf[roc|aUyijQ71e9sghu\:T${o`!umqf[roc|aUyijQ62g9sghu\;T${o`!HNE]03ZiXxjgTob{atZ32YZJb{z^cmcQ;_n13?uej{R9V"}mb/FLG[61XgVzhaRm`uovX54[XDlyxXeoa_5]l562S!pbo,CKBX;>UdS}mb_bmvjq]69TUGi~}[h`l\0Zi6WZ];?85clqX7X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnT5?85clqX7X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTS!pbo,CKBX;>UdS}{csd]tmaroW{olW84pbop_6[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>4:4523yifV=R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^743=9;qanw^5Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?<;74=2zha~U<]/r`i*AILV91\]b`aY7WF__040<9:r`iv]4U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8<8X[^:8h6~lmrY0Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~4048i6~lmrY0Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZe~404:8;5clqX7X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYg{682S!pbo,IdbcWNDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?0;76<91{o`}T3\,sgh)JimnTKCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU]{kw:268;8h6~lmrY0Y+vde&Ghc`~nr^r`iZeh}g~P=1\]DJAY4?VeT@h}|Ticm[7YhWe0<0UdSAk|sUjbjZ4XgVf~x1<13d9sghu\;T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2<>2g8tfktS:W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek17`=wkdyP?P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj76cS!pbo,IfijxhxT|ncPcnwmp^76UVMEHR=8_n]OavuS`hdT>RaPltvgm15b3yifV=R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww`l05:2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq5:5>>5clqX7X(wkd%Fob{at^r`iZeh}g~Tot2>0?05?uej{R9V"}mb/LalqkrXxjgTob{at^az8469W{~9>6~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu1?1249sghu\;T${o`!BcnwmpZvdeVidyczPcx>2:Zts:;1{o`}T3\,sgh)JkfexR~lm^alqkrXkp692?;4pbop_6[)xjg$Anaznu]sghYdg|dSnw32?]qp74S!pbo,Ifirf}U{o`Qlotlw[f;;78>7}mbsZ1^*uej'DidyczPpbo\gjsi|Vir0>0Pru01?uej{R9V"}mb/LalqkrXxjgTob{at^az8185=2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq5>5Sz=2:r`iv]4U'zha"Clotlw[uejWje~byQly=7=60=wkdyP?P cl-Ngjsi|VzhaRm`uov\g|:26Vx>?5clqX7X(wkd%Fob{at^r`iZeh}g~Tot29>378tfktS:W%|nc MbmvjqYwkdUhcx`{_b{?2;Yu|;80|nc|[2_-tfk(Eje~byQcl]`kphsWjs7;3<:;qanw^5Z&yif#@m`uov\tfkXkfexRmv<6<\vq453yifV=R.qan+Heh}g~T|ncPcnwmpZe~414996~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu161_sv16>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}9?9:<1{o`}T3\,sgh)JkfexR~lm^alqkrXkp622R|{229sghu\;T${o`!BcnwmpZvdeVidyczPltv?4;4>3yifV=R.qan+Heh}g~T|ncPcnwmpZjr|5:5SD@Y_100?uej{R9V"}mb/LalqkrXxjgTob{at^zlv969:=1{o`}T3\,sgh)JkfexR~lm^alqkrXpfx7==0=4:r`iv]4U'zha"Clotlw[uejWje~byQwos>25;403yifV=R.qan+Heh}g~T|ncPcnwmpZ~hz5;:2R|{229sghu\;T${o`!BcnwmpZvdeVidyczPxnp?5;443yifV=R.qan+Heh}g~T|ncPcnwmpZ~hz585>>5clqX7X(wkd%Fob{at^r`iZeh}g~Ttb|33?00?uej{R9V"}mb/LalqkrXxjgTob{at^zlv929::1{o`}T3\,sgh)JkfexR~lm^alqkrXpfx793<<;qanw^5Z&yif#@m`uov\tfkXkfexRv`r=4=66=wkdyP?P cl-Ngjsi|VzhaRm`uov\|jt;?7887}mbsZ1^*uej'DidyczPpbo\gjsi|Vrd~161229sghu\;T${o`!BcnwmpZvdeVidyczPxnp?=;723yifV=R.qan+Wct}e~7<3?9;qanw^5Z&yif#_k|umv?5586>2zha~U<]/r`i*Tb{|f0RaPltvgm72d3yifV=R.qan+ZYXPEDFSRQ<_^]Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj71eS!pbo,[ZY_DGGTSR:P_^O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek75==wkdyP?P cl-sghYdg|d0=0>9:r`iv]4U'zha"~lm^alqkr;994:56~lmrY0Y+vde&zhaRm`uov?548602zha~U<]/r`i*vdeVidycz31?3;?uej{R9V"}mb/qan[firf}692<64pbop_6[)xjg$|ncPcnwmp959911{o`}T3\,sgh)wkdUhcx`{<5<2<>vdezQ8Q#~lm.r`iZeh}g~793?7;qanw^5Z&yif#}mb_bmvjq:16820|nc|[2_-tfk(xjgTob{at=5=5==wkdyP?P cl-sghYdg|d050>8:r`iv]4U'zha"~lm^alqkr;179;7}mbsZ1^*uej'yifSnaznuY25XYwkdUhcx`{[03^[DHCW:8Tc>:4pbop_6[)xjg$|ncPcnwmp^76UVzhaRm`uovX54[XIGNT??Q`_RU252=wkdyP?P cl-sghYdg|dS=?8;qanw^5Z&yif#}mb_bmvjqY6911{o`}T3\,sgh)wkdUhcx`{_022<>vdezQ8Q#~lm.r`iZeh}g~T=1{o`}T3\,sgh)wkdUhcx`{_234?uej{R9V"}mb/qan[firf}U?=:5clqX7X(wkd%{o`Qlotlw[0703yifV=R.qan+uejWje~byQ9169sghu\;T${o`!cl]`kphsW>;<7}mbsZ1^*uej'yifSnaznu];52=wkdyP?P cl-sghYdg|dS4?8;qanw^5Z&yif#}mb_nww[wc`9l1{o`}T3\,sgh)w}eynSzgkti]qab:568o0|nc|[2_-tfk(x|fxiRyfduj\v`a;;7;n7}mbsZ1^*uej'yghQxievk[wc`4=4:i6~lmrY0Y+vde&z~`~kPwhfwlZtbo5?5=h5clqX7X(wkd%{ya}j_vkgpmYumn6=2e:r`iv]4U'zha"~zlrg\slbs`Vxnk1711e9sghu\;T${o`!umqf[roc|aUyijQ=1e9sghu\;T${o`!umqf[roc|aUyijQ<1e9sghu\;T${o`!umqf[roc|aUyijQ;1e9sghu\;T${o`!umqf[roc|aUyijQ:1e9sghu\;T${o`!umqf[roc|aUyijQ91e9sghu\;T${o`!umqf[roc|aUyijQ81e9sghu\;T${o`!umqf[roc|aUyijQ71e9sghu\;T${o`!umqf[roc|aUyijQ65:pg[`hd3{nTicQ}surlp`=ulVoeS}{pnv\B`=ulVoeS}{pnv\C==umnUmeak<;sqw0>uddb30nbd_H@VB`=tkeaTEO[I_CWEC==txhxmc86;rrj[vjf~l>0~kc9:qpaiYNJ\L>7~zntdg8wqgsmVLXARHId032?vrf|lUM_@QIFe3.Mk753z~jxhQISL]EBa7*Ag;n7~zntd]EWHYANm8:=6}{aug\BVKXNOn9!D`>2:qweqcXNZGTJKj=-Hl2a>usi}oTJ^CPFGf054=t|h~nSK]B_GDg7(Oi9;1xxlzj_GQN[C@c;$Ce=<<4sucwaZ@TEVLMh>#Fn331?vrf|lUM_@QIFe1.Mk26:2ymykPFRO\BCb4%@d>=;5|t`vf[CUJWOLo? Ga5^QT4==sgyfnzhb>5:vlticqmeU_E@K\PDA\QF@33}x~i85xr^gm<>~nd`dsyc?7;ynm|Zgr|fU;Sujm/bqw*}ddltJK|<7:f:BC|2162O0?6>912813a2fsg9957?4n20b>3=#;;91?<74}Rc4>64e2;8>6<=<0730>71c:182>4}Tih08>o4=248276619:09;i:n;%5`>23a3A;:;6x[13094?7=939:3;04`1g<,::o6<7:;W113?4|}8k:6<5z1eg94>{#:;i1?o5+13794>"6::0<;;5+13695=g=:6=46:3a2>7b5sA9;m6*=9e8434=]?m0:w>?5}%044?453-99=7=6f:&12c<4:j1Q??<51z1:b?{]0>0:w>7j:|Xb=?4|;5;h6eg?6=3`=>o7>5;n771?6=,;9=68:;;o001?6<3f???7>5$315>0233g8897?4;n776?6=,;9=68:;;o001?4<3f??=7>5$315>0233g8897=4;n6ee?6=3k99<7>51;294~"51m08?o50;&063<5:010qo=>f;295?6=8r.95i4>4g9K74e<@::j7)==6;11a>"6180<;85`15g94?"4:?09>454}c1`=?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32ci?7>5$205>43e32e98=4?:%112?45121b4;4?:%112?>132c9?44?:%112?41k21bm;4?:%112?43i21vn>mn:185>5<7s-82h7?<0:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>of>3:1(><9:36b?>{e;jh1<7850;2x 7?c289;7E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h:5>5<#;;<14;54i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`66=<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj<8j6=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a17d=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl:2b83>3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f04b290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm53d94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x730=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=<54?:783>5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3713:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=:7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb42b>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi9=l50;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd28j0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c73`?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn8>j:185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e=9l1<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`65=<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<59;292?6=8r.95i4>329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj<;j6=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a14d=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl:1b83>3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f07b290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm50d94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x730=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=?54?:783>5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3413:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=:7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb41b>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi9>l50;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd2;j0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c70`?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn8=j:185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e=:l1<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`42d<72?0;6=u+28f9566<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10el850;&063<5319K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n0?0;6)==6;:5?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj>l3;8<6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a726=8321<7>t$3;g>4503A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?:?50;:94?6|,;3o6<=8;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1b290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4503A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?:=50;:94?6|,;3o6<=8;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1b290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4503A9:o6F<0`9'532=;2.8>;4=6d9K61><,83:6:9:;[0::18'770=:>207b<;0;29 6412;8276g76;29 64121<07d<9c;29 6412;;4=4`9K75?<3`8=47>5$205>71e32wi?:;50;:94?6|,;3o6<=8;I12g>N48h1/=;:53:&063<5>l1C>964$0;2>2123S8247?t3749yl1b290/??858`98mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o?>3:1(><9:948?l41k3:1(><9:34`?M57121b>9o50;&063<5t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f6db290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm3cd94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x730=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg5d93:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=:7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb2a1>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi?n=50;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd4k=0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c1a1?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn>l9:185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e;k=1<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`0f=<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj:hj6=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a7gd=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl>cc83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a5g1=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj8n>6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo?m9;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`2`3<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e9kh1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c3a`?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd6l10;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi=oh50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb0f:>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg7d93:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl>db83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a5f1=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj8no6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo?n9;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`2gf<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e9hh1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c3b`?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd6kl0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi=lh50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb0ae>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg7e93:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl>d383>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a5a5=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zj:l3=i7E=>c:J04d=#;;<1445+1839323<9:07a?>{e;h0D>?l;I13e>"4:?0356*>908430=h:;>1<7*<27816<=k5+a2877>"f<389?6*n5;02?!d?2;2o7)l6:0;5?!c0283=7)?>9;017>"69h095;5+13g950=i::>1<6*=7b826c=#;9i1=?h4$0:;>7?13A82<6*<278a3>"0938=h6*>908430=h0o0;66g=3`83>M44?2d95<4>;:k133<72A8<;65f29`94?N5?>10c5k50;9j6=g=83B9;:54o8f94?=nim0;6E<87:9l5;h0;=?6=@;=<76gnc;29L71032c9;94?:I043>=nj80;6E<87:9je`<72A8<;65f25c94?h5180:76a=6883>M40?21b>>j50;l1=4<632cj=7>5H314?_4>03;p?;95}:k:g?6=@;9<76gnf;29L71032e9;84?:I043>=n:>81<7F=769K661<3`88o7>5n3;2>4=:94;h0;5H354?>o6>i61=0;66g>1983>>i50?0;6)<<6;0;1>h5;<0;7)<=1;04`>h5:90<76a=8583>!44>38396`=3482?!45938i50:0;6)<<6;0;1>h5;<097)<=1;04`>h5:90>76a=8383>!44>38396`=3480?!45938i5080;6)<<6;0;1>h5;<0?7)<=1;04`>h5:90876a=8183>!44>38396`=3486?!45938i5?o0;6)<<6;0;1>h5;<0=7)<=1;04`>h5:90:76a=7d83>!44>38396`=3484?!45938{e;?91<7<50;2x 7?c28?37E=>c:J04d=#0;0:43e3A;3o6*>908430=#9<31?6g>5183>!55>3;>n65`23694?"4:?09>454}c1fg?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd4n?0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi?h950;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb2d6>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg5b=3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a7a`=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj:l:6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo=kd;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`0b5<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e;mh1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn>ki:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c1g=?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd4ml0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi?i950;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb2ga>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg5c>3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1=>64H23`?M57i2.::94<;%112?41m2B9855+1839323o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3010;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2c=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`7<2<7210;6=u+28f956><@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;8783>=<729q/>4j512:8L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:k50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>64H23`?M57i2.::94<;%112?41m2B9855+1839323o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3;>0;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2c=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`773<7210;6=u+28f956><@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;3483>=<729q/>4j512:8L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:k50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>64H23`?M57i2.::94<;%112?41m2B9855+1839323o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3;:0;654?:1y'6?l;I13e>"6>=087)==6;05a>N5<11/=4?57678^7??2;q<9n4<678~m2c=83.8>;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`777<7210;6=u+28f956><@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>7}0=j08:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>o5N48010e?87:18'770=:>h07pl;3083>=<729q/>4j512:8L67d3A9;m6*>6580?!55>38=i6F=499'5<7=?>?0V?77:3y41f<4>?0ve:k50;&063132ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=5}#:0n1=>64H23`?M57i2.::94<;%112?41m2B9855+1839323o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>o5<80;6)==6;04<>=h:=:1<7*<27816<=!55>38?m6F<0898m70?290/??8526`8?xd3>>0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c652?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn98::185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e1<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`726<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=<:6=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a036=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl;6983>3<729q/>4j51228L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=nj:0;6)==6;36f>=h:=:1<7*<27816<==n::31<7*<27812f=>?7>56;294~"51m0:?=5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j<3<72-99:769;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f15d290=6=4?{%0:`?7482B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07dl<:18'770=9j76sm49c94?0=83:p(?7k:013?M56k2B8j4H36;?!7>93=<96*>8c8436=]:021>v9:c;152?{n?l0;6)==6;:b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n0?0;6)==6;:5?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=?96=49:183!4>l3;8<6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a001=83<1<7>t$3;g>4573A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:3<729q/>4j51228L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=nj:0;6)==6;36f>=h:=:1<7*<27816<==n::31<7*<27812f=i87>56;294~"51m0:?>5G30a8L66f3-99:7?908430=]:021=v9:c;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f1d4290=6=4?{%0:`?74;2B8=n5G31c8 641289o7)?94;18L72?3-;2=7985:X1==<6s>?h6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm4c094?0=83:p(?7k:010?M56k2B85G25:8 4?62>=>7W<68;3x30e=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>=4H23`?M57i2.8>;4>3e9'532=;2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg2e83:1:7>50z&1=a<6;:1C?3;8h6*>6580?M4302.:5<48749Y6<>=9r=>o7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb5ce>5<1290;w)<6d;307>N49j1C?=o4$205>45c3-;=87=4H36;?!7>93=<96T=998223d2tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi8lk50;494?6|,;3o6<=<;I12g>N48h1/??8512f8 4032:1C>964$0;2>2123S8247?t74a9yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd3im0;6;4?:1y'6?l;I13e>"4:?0:?i5+17697>N5<11/=4?57678^7??28q<9n4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c6f6?6=>3:1>n;%112?74l2.::94<;I07<>"6180<;85U28:95~12k3wb;h4?:%112?>f32ci?7>5$205>43e32e98=4?:%112?45121b4;4?:%112?>132c9?44?:%112?41k21bm;4?:%112?43i21vn9k>:185>5<7s-82h7?<0:J05f=O;9k0(><9:01g?!71<390D?:7;%3:5?10=2P9554>{67`>xo0m3:1(><9:9c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>of>3:1(><9:36b?>{ec:J04d=#;;<1=>j4$047>6=O:=20(<7>:656?_4>03;p;8m5}h5f>5<#;;<14l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h:5>5<#;;<14;54i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`7`c<72?0;6=u+28f9566<@:;h7E=?a:&063<6;m1/=;:53:J10==#90;1;:;4Z3;;>4}0=j0ve:k50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10el850;&063<5319K74e<@::j7)==6;30`>"6>=087E<;8:&2=4<0?<1Q>4651z56g?{n?l0;6)==6;:b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n0?0;6)==6;:5?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=no6=49:183!4>l3;8<6F<1b9K75g<,:8=6<=k;%350?5<@;>37)?61;541>\5110:w:;l:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a0ae=83<1<7>t$3;g>4573A9:o6F<0`9'770=9:n0(<8;:29K61><,83:6:9:;[0:3<729q/>4j51228L67d3A9;m6*<27827a=#9?>1?6F=499'5<7=?>?0V?77:0y41fo1<7*<278;e>=nj:0;6)==6;36f>=h:=:1<7*<27816<==n::31<7*<27812f=nh7>56;294~"51m0:?<5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v9:c;j3`<72-99:76n;:kb2?6=,:8=6?:n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j<3<72-99:769;:k17<<72-99:7<9c:9~f1cd290=6=4?{%0:`?7492B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s>?h6pg8e;29 64121k07do9:18'770=:=k07dl<:18'770=9"4:?0:?i5G25:8 4?62>=>7W<68;3x30e=u`=n6=4+3349;6=4+334967?<3`2=6=4+3349<3=5}#:0n1=>?4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9je3<72-99:7<;a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98yg2a:3:1:7>50z&1=a<6;h1C?3;8h6*>6580?M4302.:5<48749Y6<>=9r=>o7sf7d83>!55>32j7E==5:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg2a=3:1:7>50z&1=a<6;91C?<9:01g?M4302.:5<48749Y6<>=9r=>o7sf7d83>!55>32j76gm3;29 64128?i76a=4183>!55>389565f8783>!55>32=76g=3883>!55>38=o65fa783>!55>38?m65rb5`6>5<1290;w)<6d;302>N49j1C?=o4$205>45c3-;=87?;;%3:5?10=2.:4o48729Y6<>=9r=>o7sf7d83>!55>32j7E==5:9jf6<72-99:7?:b:9j66?=83.8>;4=6b98k727290/??8523;8?l>1290/??858798m72f290/??8525c8L66>32wi8l:50;494?6|,;3o6<=<;I12g>N48h1/??8512f8 4032:1C>964$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd3i:0;6;4?:1y'6?l;I13e>"4:?0:?i5+17697>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c6b6?6=>3:1>n;%112?74l2.::94<;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn9o>:185>5<7s-82h7?<3:J05f=O;9k0(><9:01g?!71<390D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{ec:J04d=#;;<1=>j4$047>6=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`7=c<72?0;6=u+28f9565<@:;h7E=?a:&063<6;m1/=;:53:J10==#90;1;:;4Z3;;>4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)==6;30`>"6>=087E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj=3o6=49:183!4>l3;8?6F<1b9K75g<,:8=6<=k;%350?5<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a2`g=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj?l;6=4::183!4>l3;2m6F<1b9K75g<,;936:1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-88479=a:&063<5<01/=4?57678 40328:0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm6dd94?3=83:p(?7k:0;b?M56k2B8>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876a=2583>!55>389565rb7d7>5<2290;w)<6d;3:e>N49j1C?=o4$31;>24>3-99:7<;9:&2=4<0?<1/=;:5119j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c4f>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd1ml0;684?:1y'6?l;I13e>"5;10<>55+334961?<,83:6:9:;%350?203`;>=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?j45<3:1(><9:30:?>{e>o91<7;50;2x 7?c283j7E=>c:J04d=#::21;?64$205>72>3-;2=7985:&221<682c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>i5:=0;6)==6;01=>=zj?o<6=4;:183!4>l3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo8jd;291?6=8r.95i4>9`9K74e<@::j7)<<8;513>"4:?09845+1839323<,85<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10c?<;:18'770=:;307pl9f383>0<729q/>4j518c8L67d3A9;m6*=398462=#;;<1>974$0;2>2123-;=87??;h365?6=,:8=6:<4n207>5=1=65f14194?"4:?0<>6`<2581?>o6==0;6)==6;51?k55<3907b<=4;29 6412;8276sm6d494?2=83:p(?7k:0;e?M56k2B85<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl9f983>1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a34g=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zj>:j6=4;:183!4>l3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo9>9;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`44=<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e?821<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn:>9:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c523?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd08=0;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi;<850;194?6|,;3o6>?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb621>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg16=3:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm70694?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f3`b290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl9fb83>1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a344=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zj?lj6=4;:183!4>l3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo9?e;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`5b2<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e?:i1<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72`94?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?=>1<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm75194?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?=81<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm75394?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?=:1<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72d94?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:o1<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72f94?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?:k1<7650;2x 7?c289<7E=>c:J04d=#9?>1?6*<27812`=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k;2?6=,:8=6584;h05g?6=,:8=6?8l;I13=>=n:=k1<7*<27810d=O;9307d<98;29 6412;=i76sm72;94?>=83:p(?7k:014?M56k2B8"4:?09:h5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349:6=4+334962><3f8?<7>5$205>74>32c3:7>5$205>=0<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4103:1(><9:35a?>{e?c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`411<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>10ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z15o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj>?96=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a307=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl85183>3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y02=o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f22b290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<36pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm75794?0=83:p(?7k:013?M56k2B8j4H36;?!7>93=<96*>8c8436=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j<3<72-99:769;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f300290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-88478n7:&063<5<01/=4?57678 4032==0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=5}#:0n1=4o4H23`?M57i2.9?549a69'770=:=30(<7>:656?!71<3;;7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3f8987>5$205>74>32wi:;850;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb74`>5<2290;w)<6d;3:e>N49j1C?=o4$31;>3g13-99:7<;9:&2=4<0?<1/=;:5469j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c445?6==3:1>n;%002.8>;4=489'5<7=?>?0(<8;:028m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454o307>5<#;;<1>?74;|`520<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e>?h1<7;50;2x 7?c283j7E=>c:J04d=#::21:l;4$205>72>3-;2=7985:&221<3?2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>i5:=0;6)==6;01=>=zj?=;6=4::183!4>l3;2m6F<1b9K75g<,;936;o:;%112?4312.:5<48749'532=991b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl96`83>0<729q/>4j518c8L67d3A9;m6*=3985e1=#;;<1>974$0;2>2123-;=87:8;h365?6=,:8=6:<4n207>5=1=65f14194?"4:?0<>6`<2581?>o6==0;6)==6;51?k55<3907b<=4;29 6412;8276sm67d94?3=83:p(?7k:0;b?M56k2B80:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876a=2583>!55>389565rb740>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg0?l3:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl99783>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a2=3=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj?3>6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo873;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`5=1<72:0;6=u+28f974d<@:;h7E=?a:&063<49h1/=4?57678m=>=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e>1;1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn;7<:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c44b?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd11;0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi::j50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb7;2>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg00j3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05a29086=4?{%0:`?56j2B8=n5G31c8 6412:;j7)?61;541>o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl98c83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a222=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj?h26=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?i:6=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?hm6=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?ho6=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?hi6=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?h<6=47:183!4>l3;8;6F<1b9K75g<,85+334963c<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h`0>5<#;;<1=8l4;h075?6=,:8=6?97;:m105<72-99:7<=9:9j<3<72-99:769;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998260?2tc5$205>=g<3`h86=4+334950d<3`8?=7>5$205>71?32e98=4?:%112?45121b4;4?:%112?>132c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj?n96=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>87:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a2a7=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl9d183>3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y02=o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f3eb290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<36pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm6bf94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg0dj3:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=47sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb7a1>5<1290;w)<6d;304>N49j1C?=o4$047>42<,:8=6<=k;I07<>"6180<;85+19`9325;47a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3b<3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=il4?:483>5}#:0n1=4o4H23`?M57i2.9?549259'770=:=30(<7>:656?!71<3><7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3f8987>5$205>74>32wi9hh50;794?6|,;3o6<7n;I12g>N48h1/>>656368 6412;>27)?61;541>"6>=0:<6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432e9>94?:%112?45121vn8k<:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c7f=?6==3:1>n;%00;4=489'5<7=?>?0(<8;:558m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454o307>5<#;;<1>?74;|`6a`<72<0;6=u+28f95=>7)?94;33?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;n010?6=,:8=6?<6;:a1`4=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zjl3;2m6F<1b9K75g<,;936;<=;%112?4312.:5<48749'532=<>1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-88478=2:&063<5<01/=4?57678 40328:0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=i<4?:583>5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm5d594?3=83:p(?7k:0;b?M56k2B8>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876a=2583>!55>389565rb4g`>5<2290;w)<6d;3:e>N49j1C?=o4$31;>3463-99:7<;9:&2=4<0?<1/=;:5119j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c7f4?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd18h0;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi:=750;194?6|,;3o6>?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb4d1>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg06<3:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm60194?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f365290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=7>53;294~"51m08=o5G30a8L66f3-99:7=>a:&2=4<0?<1b454?:%112?72j21b=4>50;&063<6=k10c?<;:18'770=:;307pl90183>1<729q/>4j518d8L67d3A9;m6*<2782=`=#90;1;:;4i9:94?"4:?0:9i54icc94?"4:?0:9n54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a247=8391<7>t$3;g>67e3A9:o6F<0`9'770=;8k0(<7>:656?l>?290/??8514`8?l7>83:1(><9:07a?>i5:=0;6)==6;01=>=zjl3;2j6F<1b9K75g<,:8=6<7j;%3:5?10=2c347>5$205>43c32cim7>5$205>43d32c9:54?:%112?41j21d>?:50;&063<5:010qo8>0;297?6=8r.95i4<1c9K74e<@::j7)==6;12e>"6180<;85f8983>!55>3;>n65f18294?"4:?0:9o54o307>5<#;;<1>?74;|`6bf<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e>9l1<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn8hn:187>5<7s-82h7?6f:J05f=O;9k0(><9:0;f?!7>93=<96g78;29 64128?o76gma;29 64128?h76g=6983>!55>38=n65`23694?"4:?09>454}c43a?6=;3:1>n;%112?56i2.:5<48749j<=<72-99:7?:b:9j5<6=83.8>;4>5c98k743290/??8523;8?xd2n10;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi:=j50;194?6|,;3o6>?m;I12g>N48h1/??8530c8 4?62>=>7d67:18'770=9!55>389565rb4d5>5<3290;w)<6d;3:b>N49j1C?=o4$205>4?b3-;2=7985:k;;4=2898yg07k3:1?7>50z&1=a<49k1C?39:m6*>908430=n010;6)==6;36f>=n90:1<7*<27821g=j94?:583>5}#:0n1=4h4H23`?M57i2.8>;4>9d9'5<7=?>?0e5650;&063<6=m10eoo50;&063<6=j10e?87:18'770=:?h07b<=4;29 6412;8276sm61:94?5=83:p(?7k:23a?M56k2B85<#;;<1=8l4;h3:4?6=,:8=6<;m;:m161<72-99:7<=9:9~f0`6290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c401?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40`?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40f?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c40=?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c403?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=58;294~"51m0:?:5G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c407?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32ci?7>5$205>43e32c98<4?:%112?40021d>9>50;&063<5:010e5850;&06321b>;m50;&063<5>j1C?=74;h07e?6=,:8=6?:n;I13=>=n:?21<7*<27813g=56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=98;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f32b290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<36pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm65f94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x73>=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg03j3:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=47sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb76b>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=998260?2tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi:9750;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t37:9yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd1<10;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:54ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c40b?6=>3:1>n;%350?733-99:7?2143S8247?t37:9yl1b290/??858`98mg5=83.8>;4>5c98k727290/??8523;8?l>1290/??858798m75>290/??8527a8?lg1290/??8525c8?xd2>80;694?:1y'6?l;I13e>"4:?0:5h5+18393235$205>74>32wi9;950;794?6|,;3o6<7n;I12g>N48h1/>>655`38 6412;>27)?61;541>"6>=0?;6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432e9>94?:%112?45121vn88l:186>5<7s-82h7?6a:J05f=O;9k0(?=7:4c2?!55>38?56*>908430=#9?>1==5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21d>?:50;&063<5:010qo;90;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`623<72<0;6=u+28f95=>7)?94;64?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;n010?6=,:8=6?<6;:a13d=83?1<7>t$3;g>4?f3A9:o6F<0`9'66>==h:0(><9:36:?!7>93=<96*>65824>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21b=8:50;&063<0:2d8>94<;:m161<72-99:7<=9:9~f03a290?6=4?{%0:`?7>n2B8=n5G31c8 641283n7)?61;541>o?03:1(><9:07g?>oei3:1(><9:07`?>o5>10;6)==6;05f>=h:;>1<7*<27816<=55;294~"51m0:5l5G30a8L66f3-8847;6f:&063<5<01/=4?57678 4032==0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=:l4?:483>5}#:0n1=4o4H23`?M57i2.9?54:9g9'770=:=30(<7>:656?!71<3;;7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3f8987>5$205>74>32wi98k50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb447>5<2290;w)<6d;3:e>N49j1C?=o4$31;>0?b3-99:7<;9:&2=4<0?<1/=;:5469j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c75=?6==3:1>n;%00m2.8>;4=489'5<7=?>?0(<8;:028m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454o307>5<#;;<1>?74;|`61a<72=0;6=u+28f95<`<@:;h7E=?a:&063<61l1/=4?57678m=>=83.8>;4>5e98mgg=83.8>;4>5b98m70?290/??8527`8?j45<3:1(><9:30:?>{e=1=1<7=50;2x 7?c2:;i7E=>c:J04d=#;;<1?2123`236=4+334950d<3`;2<7>5$205>43e32e9>94?:%112?45121vn869:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c75b?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd2180;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi95?50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb4;3>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg30n3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=4k4?:283>5}#:0n1?;4<1`9'5<7=?>?0e5650;&063<6=k10e<7?:18'770=95<#;;<1=8j4;h`b>5<#;;<1=8m4;h05b29086=4?{%0:`?56j2B8=n5G31c8 6412:;j7)?61;541>o?03:1(><9:07a?>o6190;6)==6;36f>=h:;>1<7*<27816<=54;294~"51m0:5k5G30a8L66f3-99:7?6e:&2=4<0?<1b454?:%112?72l21bnl4?:%112?72k21b>;650;&063<5>k10c?<;:18'770=:;307pl:8e83>6<729q/>4j530`8L67d3A9;m6*<27805d=#90;1;:;4i9:94?"4:?0:9o54i0;3>5<#;;<1=8l4;n010?6=,:8=6?<6;:a12?=83>1<7>t$3;g>4?a3A9:o6F<0`9'770=90o0(<7>:656?l>?290/??8514f8?ldf290/??8514a8?l4103:1(><9:34a?>i5:=0;6)==6;01=>=zj<2h6=4<:183!4>l39:n6F<1b9K75g<,:8=6>?n;%3:5?10=2c347>5$205>43e32c:5=4?:%112?72j21d>?:50;&063<5:010qo;87;290?6=8r.95i4>9g9K74e<@::j7)==6;3:a>"6180<;85f8983>!55>3;>h65fb`83>!55>3;>o65f27:94?"4:?09:o54o307>5<#;;<1>?74;|`6=83.8>;4>5c98m4?7290/??8514`8?j45<3:1(><9:30:?>{e=>?1<7:50;2x 7?c283m7E=>c:J04d=#;;<1=4k4$0;2>2123`236=4+334950b<3`hj6=4+334950e<3`8=47>5$205>70e32e9>94?:%112?45121vn86n:180>5<7s-82h7=>b:J05f=O;9k0(><9:23b?!7>93=<96g78;29 64128?i76g>9183>!55>3;>n65`23694?"4:?09>454}c747?6=<3:1>n;%112?7>m2.:5<48749j<=<72-99:7?:d:9jfd<72-99:7?:c:9j63>=83.8>;4=6c98k743290/??8523;8?xd2000;6>4?:1y'6?l;I13e>"4:?08=l5+18393235$205>74>32wi9:?50;694?6|,;3o6<7i;I12g>N48h1/??8518g8 4?62>=>7d67:18'770=9!55>389565rb4:6>5<4290;w)<6d;12f>N49j1C?=o4$205>67f3-;2=7985:k;;4=2898yg31m3:187>50z&1=a<61o1C?3;2i6*>908430=n010;6)==6;36`>=njh0;6)==6;36g>=n:?21<7*<27812g=n>4?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f7<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=no4?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6fd<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n44?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f=<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n:4?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f3<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n84?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f1<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=n<4?:983>5}#:0n1=>94H23`?M57i2.::94<;%112?41m2B9855+1839323;47a:9jf6<72-99:7?:b:9j617=83.8>;4=7998k727290/??8523;8?l>1290/??858798m70d290/??8527a8L66>32c98l4?:%112?43i2B8<454i34;>5<#;;<1>:l4;|`6f5<7210;6=u+28f9561<@:;h7E=?a:&221<43-99:7<9e:J10==#90;1;:;4Z3;;>4}4>10ve:k50;&0634?:%112?72j21b>9?50;&063<5?110c?:?:18'770=:;307d69:18'770=0?10e?8l:18'770=:?i0D>>6;:k10d<72-99:7<;a:J04<=on4?:783>5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg3dj3:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=47sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb4ab>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=998260?2tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi9n750;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t37:9yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd2k10;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:54ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c7`3?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~5103wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn8m9:185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{24;>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e=j?1<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;65}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`6ff<72?0;6=u+28f9566<@:;h7E=?a:&221<6<2.8>;4>3e9K61><,83:6:9:;%3;f?10;2P9554>{24;>xo0m3:1(><9:9c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>of>3:1(><9:36b?>{e::l1<7950;2x 7?c283h7E=>c:J04d=#;;<1>974$0;2>2123-;=8764i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9j500=83.8>;482:l061<232e9>94?:%112?45121vn>;;:186>5<7s-82h7?6a:J05f=O;9k0(?=7:26`?!55>38?56*>908430=#9?>1m6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432e9>94?:%112?45121vn>;7:180>5<7s-82h7?68:J05f=O;9k0(?=7:260?!55>38?56*>908430=#9?>1?k5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07b<=4;29 6412;8276sm77494?3=83:p(?7k:0;b?M56k2B8>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876a=2583>!55>389565rb0c0>5<4290;w)<6d;3:<>N49j1C?=o4$31;>65>3-99:7<;9:&2=4<0?<1/=;:5379j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;n010?6=,:8=6?<6;:a053=8391<7>t$3;g>4??3A9:o6F<0`9'770=:=30(<7>:656?!71<39m7d?:1;29 6412>80b><;:198m435290/??85739m772=921d>?:50;&063<5:010qo:?6;297?6=8r.95i4>999K74e<@::j7)<<8;176>"4:?09845+1839323<,8h4i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76a=2583>!55>389565rb524>5<4290;w)<6d;3:<>N49j1C?=o4$31;>6253-99:7<;9:&2=4<0?<1/=;:53g9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;n010?6=,:8=6?<6;:a05>=8391<7>t$3;g>4??3A9:o6F<0`9'66>=;=;0(><9:36:?!7>93=<96*>6580b>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098k743290/??8523;8?xd3800;6>4?:1y'6?l;I13e>"5;1088<5+334961?<,83:6:9:;%350?5a3`;>=7>5$205>245<#;;<1;?5a33695>=h:;>1<7*<27816<=8n7>53;294~"51m0:555G30a8L66f3-8847=:18'770=?;1e??:50:9j504=83.8>;482:l061<632e9>94?:%112?45121vn>mk:180>5<7s-82h7?68:J05f=O;9k0(><9:36:?!7>93=<96*>65816>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098k743290/??8523;8?xd4?l;I13e>"4:?09845+1839323<,86`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398k743290/??8523;8?xd3?m0;684?:1y'6?l;I13e>"5;108?n5+334961?<,83:6:9:;%350?74n2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>i5:=0;6)==6;01=>=zj=:86=49:183!4>l3;2n6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>1e9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65f14794?"4:?0<>6`<2587?>i5:=0;6)==6;01=>=zj=:?6=49:183!4>l3;2n6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>3c9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65f14794?"4:?0<>6`<2587?>i5:=0;6)==6;01=>=zj=>96=4;:183!4>l3;256F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>9:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1;?5a33696>=h:;>1<7*<27816<==m7>54;294~"51m0:545G30a8L66f3-8847=<8:&063<5<01/=4?57678 4032:>0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;n010?6=,:8=6?<6;:a33?=83>1<7>t$3;g>4?>3A9:o6F<0`9'66>=;=90(><9:36:?!7>93=<96*>65803>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21d>?:50;&063<5:010qo?n4;290?6=8r.95i4>989K74e<@::j7)<<8;10=>"4:?09845+1839323<,894i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?j45<3:1(><9:30:?>{e;<<1<7;50;2x 7?c283j7E=>c:J04d=#::21?9l4$205>72>3-;2=7985:&221=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?j45<3:1(><9:30:?>{e;<81<7;50;2x 7?c283j7E=>c:J04d=#::21?9l4$205>72>3-;2=7985:&221=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?j45<3:1(><9:30:?>{e9h?1<7;50;2x 7?c283j7E=>c:J04d=#::21?9o4$205>72>3-;2=7985:&221<402c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>i5:=0;6)==6;01=>=zj8k<6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876g>5483>!55>3=97c==4;68?l72>3:1(><9:608j6432<10c?<;:18'770=:;307pl>a783>3<729q/>4j518`8L67d3A9;m6*=39800d=#;;<1>974$0;2>2123-;=87=6;h365?6=,:8=6:<4n207>5=1=65f14194?"4:?0<>6`<2581?>o6==0;6)==6;51?k55<3907d?:5;29 6412>80b><;:598k743290/??8523;8?xd4n00;6;4?:1y'6?l;I13e>"5;1088i5+334961?<,83:6:9:;%350?4c3`;>=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?l72=3:1(><9:608j6432=10c?<;:18'770=:;307pl;1g83>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>721<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807d?:4;29 6412>80b><;:298m432290/??85739m772=<21b=8850;&063<0:2d8>94:;:m161<72-99:7<=9:9~f170290=6=4?{%0:`?7>j2B8=n5G31c8 75?2:9;7)==6;07=>"6180<;85+1769=>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21b=8:50;&063<0:2d8>94<;:k210<72-99:79=;o110?2<3f8987>5$205>74>32wi?kh50;494?6|,;3o6<7m;I12g>N48h1/??8525;8 4?62>=>7)?94;:0?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:968m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb2da>5<3290;w)<6d;3:=>N49j1C?=o4$31;>62c3-99:7<;9:&2=4<0?<1/=;:53e9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=5}#:0n1=4l4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:005?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=5}#:0n1=474H23`?M57i2.9?54<369'770=:=30(<7>:656?!71<3;9h6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9l672=83.8>;4=2898yg2>83:187>50z&1=a<6101C?f=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10c?<;:18'770=:;307pl;f583>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>121<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807d?:4;29 6412>80b><;:298m432290/??85739m772=<21b=8850;&063<0:2d8>94:;:m161<72-99:7<=9:9~f1>b290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0<56g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=4o4H23`?M57i2.9?54<379'770=:=30(<7>:656?!71<3n0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=5}#:0n1=4o4H23`?M57i2.9?54<379'770=:=30(<7>:656?!71<38>7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3f8987>5$205>74>32wi84<50;794?6|,;3o6<7n;I12g>N48h1/>>653278 6412;>27)?61;541>"6>=0::6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432e9>94?:%112?45121vn97<:186>5<7s-82h7?6a:J05f=O;9k0(?=7:216?!55>38?56*>908430=#9?>1=<5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21d>?:50;&063<5:010qo:61;290?6=8r.95i4>989K74e<@::j7)<<8;17=>"4:?09845+1839323<,85=1=65f14194?"4:?0<>6`<2581?>i5:=0;6)==6;01=>=zj?nj6=4::183!4>l3;2m6F<1b9K75g<,;936>=;;%112?4312.:5<48749'532=94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=h:;>1<7*<27816<=56;294~"51m0:5o5G30a8L66f3-8847=<4:&063<5<01/=4?57678 4032=l0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=6=4+334937=i;;>1865`23694?"4:?09>454}c4ga?6=?3:1>n;%112?4312.:5<48749'532=0<1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=n9h4:=0?76g>5783>!55>3=97c==4;78?j45<3:1(><9:30:?>{e>l?1<7:50;2x 7?c28327E=>c:J04d=#::21?9>4$205>72>3-;2=7985:&221<302c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65`23694?"4:?09>454}c4f0?6=;3:1>n;%00;4=489'5<7=?>?0(<8;:248m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:m161<72-99:7<=9:9~f330290>6=4?{%0:`?7>i2B8=n5G31c8 75?2:987)==6;07=>"6180<;85+17690`=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9l672=83.8>;4=2898yg0213:1:7>50z&1=a<61k1C?1`1<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807d?:4;29 6412>80b><;:298m432290/??85739m772=<21d>?:50;&063<5:010qo8:b;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+1769<0=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a234=83>1<7>t$3;g>4?>3A9:o6F<0`9'66>=;:l0(><9:36:?!7>93=<96*>6587<>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21d>?:50;&063<5:010qo891;297?6=8r.95i4>999K74e<@::j7)<<8;10b>"4:?09845+1839323<,884i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76a=2583>!55>389565rb4f7>5<2290;w)<6d;3:e>N49j1C?=o4$31;>6553-99:7<;9:&2=4<0?<1/=;:54d9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c7g2?6=>3:1>n;%00;4=489'5<7=?>?0(<8;:5d8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:5849j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65f14794?"4:?0<>6`<2587?>o6=?0;6)==6;51?k55<3?07b<=4;29 6412;8276sm5ed94?2=83:p(?7k:0;:?M56k2B8>7>5$205>245<#;;<1;?5a33696>=h:;>1<7*<27816<=53;294~"51m0:555G30a8L66f3-8847=:18'770=?;1e??:50:9j504=83.8>;482:l061<632e9>94?:%112?45121vn8;>:186>5<7s-82h7?6a:J05f=O;9k0(?=7:212?!55>38?56*>908430=#9?>18h5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21d>?:50;&063<5:010qo;:3;292?6=8r.95i4>9c9K74e<@::j7)<<8;105>"4:?09845+1839323<,85<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9l672=83.8>;4=2898yg32=3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi98m50;694?6|,;3o6<76;I12g>N48h1/>>6532f8 6412;>27)?61;541>"6>=0?46g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9l672=83.8>;4=2898yg32j3:1?7>50z&1=a<6111C?601<65f14094?"4:?0<>6`<2582?>i5:=0;6)==6;01=>=zj>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;1:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876g>5483>!55>3=97c==4;68?l72>3:1(><9:608j6432<10c?<;:18'770=:;307pl86e83>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>171<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807d?:4;29 6412>80b><;:298m432290/??85739m772=<21b=8850;&063<0:2d8>94:;:m161<72-99:7<=9:9~f20b290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0?=6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=464H23`?M57i2.9?54<3c9'770=:=30(<7>:656?!71<3;:n6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810c?<;:18'770=:;307pl2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>3=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a0ge=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;8o6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=4l4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:438m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=h:;>1<7*<27816<=ii7>54;294~"51m0:545G30a8L66f3-8847=;7:&063<5<01/=4?57678 40328;h7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:m161<72-99:7<=9:9~f1e7290<6=4?{%0:`?7>k2B8=n5G31c8 6412;>27)?61;541>"6>=0:?n5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi8n?50;494?6|,;3o6<7m;I12g>N48h1/??8525;8 4?62>=>7)?94;72?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=5}#:0n1=474H23`?M57i2.9?54<469'770=:=30(<7>:656?!71<3;:o6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9l672=83.8>;4=2898yg2d<3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1=>m4i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9j500=83.8>;482:l061<232e9>94?:%112?45121vn9m::185>5<7s-82h7?6b:J05f=O;9k0(><9:36:?!7>93=<96*>65865>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21b=8:50;&063<0:2d8>94<;:k210<72-99:79=;o110?2<3f8987>5$205>74>32wi8n850;694?6|,;3o6<76;I12g>N48h1/>>653548 6412;>27)?61;541>"6>=0:=n5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398k743290/??8523;8?xd3k10;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85=1=65f14194?"4:?0<>6`<2581?>o6==0;6)==6;51?k55<3907d?:5;29 6412>80b><;:598m431290/??85739m772==21d>?:50;&063<5:010qo:l9;292?6=8r.95i4>9c9K74e<@::j7)==6;07=>"6180<;85+176914=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332e9>94?:%112?45121vn9mn:187>5<7s-82h7?69:J05f=O;9k0(?=7:265?!55>38?56*>908430=#9?>1=5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?j45<3:1(><9:30:?>{ec:J04d=#;;<1>974$0;2>2123-;=87?>7>5$205>245<#;;<1;?5a33696>=n9<>1<7*<27846>h4:=0876g>5483>!55>3=97c==4;68?l72>3:1(><9:608j6432<10c?<;:18'770=:;307pl;ce83>3<729q/>4j518`8L67d3A9;m6*<27810<=#90;1;:;4$047>071<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807d?:4;29 6412>80b><;:298m432290/??85739m772=<21d>?:50;&063<5:010qo:le;290?6=8r.95i4>989K74e<@::j7)<<8;170>"4:?09845+1839323<,85=1=65f14194?"4:?0<>6`<2581?>i5:=0;6)==6;01=>=zj=n;6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>3b9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65f14794?"4:?0<>6`<2587?>o6=?0;6)==6;51?k55<3?07b<=4;29 6412;8276sm4e394?0=83:p(?7k:0;a?M56k2B8=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?l72=3:1(><9:608j6432=10c?<;:18'770=:;307pl;d383>1<729q/>4j518;8L67d3A9;m6*=398001=#;;<1>974$0;2>2123-;=87?>c:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1;?5a33696>=h:;>1<7*<27816<=nj7>57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:512a8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb5d3>5<1290;w)<6d;3:f>N49j1C?=o4$205>72>3-;2=7985:&221<292c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07b<=4;29 6412;8276sm4g394?2=83:p(?7k:0;:?M56k2B8<,:8=6?:6;%3:5?10=2.::94>1b9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=5}#:0n1=464H23`?M57i2.8>;4=489'5<7=?>?0(<8;:03a?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg0cn3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi:i;50;694?6|,;3o6<76;I12g>N48h1/??8525;8 4?62>=>7)?94;63?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn;j;:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>658203=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a2`4=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;?96g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=464H23`?M57i2.8>;4=489'5<7=?>?0(<8;:03a?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg02k3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi:8<50;694?6|,;3o6<76;I12g>N48h1/??8525;8 4?62>=>7)?94;63?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn;;>:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>658203=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a20`=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;?96g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=hl4?:283>5}#:0n1=464H23`?M57i2.8>;4=489'5<7=?>?0(<8;:03a?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg3c13:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi9nh50;694?6|,;3o6<76;I12g>N48h1/??8525;8 4?62>=>7)?94;63?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn8mj:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>658203=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a1ae=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;?96g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=9:4?:283>5}#:0n1=464H23`?M57i2.8>;4=489'5<7=?>?0(<8;:03a?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg32>3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1485f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi99m50;694?6|,;3o6<76;I12g>N48h1/??8525;8 4?62>=>7)?94;63?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn8:m:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>658203=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a10?=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;?96g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=894H23`?M57i2.8>;48a:J22123`;><7>5$205>43e32e9>94?:%112?45121vn:8=:181>5<7s-82h7?:7:J05f=O;9k0(><9:6c8L4>d3-;3h7?:b:&2=4<0?<1b=8>50;&063<6=k10c?<;:18'770=:;307pl;fe83>7<729q/>4j51458L67d3A9;m6*<2784e>N60j1/=5j514`8 4?62>=>7d?:0;29 64128?i76a=2583>!55>389565rb46:>5<5290;w)<6d;012>N49j1C?=o4$205>2g<@82h7)?7d;01=>"6180<;85f14294?"4:?0:9o54o307>5<#;;<1>?74;|`60=<72;0;6=u+28f9670<@:;h7E=?a:&063<0i2B:4n5+19f967?<,83:6:9:;h364?6=,:8=6<;m;:m161<72-99:7<=9:9~f02029096=4?{%0:`?45>2B8=n5G31c8 6412>k0D<6l;%3;`?4512.:5<48749j506=83.8>;4>5c98k743290/??8523;8?xd2?l;I13e>"4:?08b9'5=b=:;30(<7>:656?l7283:1(><9:07a?>i5:=0;6)==6;01=>=zj=li6=4<:183!4>l389;6F<1b9K75g<,:8=6?7;;I3;g>"60m09>45+18393235$205>dd<@:8>76a=2583>!55>38956F=9498yg22<3:147>50z&1=a<6;>1C?<9:34f?M4302.:5<48749Y6<>=:r=>o7=96;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k104<72-99:7<88:9l616=83.8>;4=2898m=0=83.8>;476:9j63e=83.8>;4=6b9K75?<3`8?m7>5$205>72f3A9;565f27:94?"4:?09;o54}c60=?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:96~12k39=:7sf7d83>!55>32j76gm3;29 64128?i76g=4083>!55>38<465`25294?"4:?09>454i9494?"4:?03:65f27a94?"4:?09:n5G31;8?l43i3:1(><9:36b?M57121b>;650;&063<5?k10qo8jc;297?6=8r.95i4>999K74e<@::j7)==6;07=>"6180<;85+176951=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?j45<3:1(><9:30:?>{e>o<1<7=50;2x 7?c28337E=>c:J04d=#;;<1>974$0;2>2123-;=87?>b:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1>?74;|`52<<72:0;6=u+28f95<><@:;h7E=?a:&063<5<01/=4?57678 40328>0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632e9>94?:%112?45121vn;9<:180>5<7s-82h7?68:J05f=O;9k0(><9:36:?!7>93=<96*>65825g=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?j45<3:1(><9:30:?>{e=l<1<7=50;2x 7?c28337E=>c:J04d=#;;<1>974$0;2>2123-;=87?;;h365?6=,:8=6:<4n207>5=1=65`23694?"4:?09>454}c7e4?6=;3:1>n;%112?4312.:5<48749'532=98h0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632e9>94?:%112?45121vn88<:180>5<7s-82h7?68:J05f=O;9k0(><9:36:?!7>93=<96*>65820>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098k743290/??8523;8?xd2>m0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85=1=65`23694?"4:?09>454}c3a>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;482:l061<63g82=7>4;|`2fd<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?;1e??:50:9l672=83.8>;4=2898m435290/??85739m772=92d95<4?;:a5ge=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>80b><;:198k743290/??8523;8?l72:3:1(><9:608j643281e>4?50:9~f4db29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=97c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;51?k55<3;0b?7>:198yg7d83:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0<>6`<2583?>i5:=0;6)==6;01=>=n9<81<7*<27846>h4:=0:7c<61;28?xd6k;0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e9j>1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:<4n207>5=>7>5$205>24=zj8i=6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79=;o110?6<3f8987>5$205>74>32c:9?4?:%112?153g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;482:l061<732e9>94?:%112?45121b=8<50;&063<0:2d8>94>;o0:5?6<3th:ml4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85739m772=821d>?:50;&063<5:010e<;=:18'770=?;1e??:51:l1=4<732wi=lm50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:608j6432910c?<;:18'770=:;307d?:2;29 6412>80b><;:09m6<7=821vn5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;51?k55<3:07b<=4;29 6412;8276g>5383>!55>3=97c==4;38j7?62910qo?m0;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27846>h4:=0;76a=2583>!55>389565f14094?"4:?0<>6`<2582?k4>93:07pl>b383>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;?5a33695>h5180;76sm1c694?5=83:p(?7k:0;4?M56k2B8=7>5$205>245<#;;<1>?74;h366?6=,:8=6:<4n207>4=i:0;1<65rb0`5>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?153g9987>4;n010?6=,:8=6?<6;:k217<72-99:79=;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;482:l061<63g82=7>4;|`0a3<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?;1e??:50:9l672=83.8>;4=2898m435290/??85739m772=92d95<4?;:a7`2=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>80b><;:198k743290/??8523;8?l72:3:1(><9:608j643281e>4?50:9~f6c529086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=97c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;51?k55<3;0b?7>:198yg5b83:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0<>6`<2583?>i5:=0;6)==6;01=>=n9<81<7*<27846>h4:=0:7c<61;28?xd4ll0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e;mi1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:<4n207>5=>7>5$205>24=zj:nj6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79=;o110?6<3f8987>5$205>74>32c:9?4?:%112?153g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;482:l061<732e9>94?:%112?45121b=8<50;&063<0:2d8>94>;o0:5?6<3th=j44?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85739m772=821d>?:50;&063<5:010e<;=:18'770=?;1e??:51:l1=4<732wi;=l50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:608j6432910c?<;:18'770=:;307d?:2;29 6412>80b><;:09m6<7=821vn:>6:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;51?k55<3:07b<=4;29 6412;8276g>5383>!55>3=97c==4;38j7?62910qo9?7;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27846>h4:=0;76a=2583>!55>389565f14094?"4:?0<>6`<2582?k4>93:07pl80483>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;?5a33695>h5180;76sm71194?5=83:p(?7k:0;4?M56k2B8=7>5$205>245<#;;<1>?74;h366?6=,:8=6:<4n207>4=i:0;1<65rb622>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?153g9987>4;n010?6=,:8=6?<6;:k217<72-99:79=;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;482:l061<63g82=7>4;|`5ba<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?;1e??:50:9l672=83.8>;4=2898m435290/??85739m772=92d95<4?;:a2cd=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>80b><;:198k743290/??8523;8?l72:3:1(><9:608j643281e>4?50:9~f31129086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=97c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;51?k55<3;0b?7>:198yg0?03:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0<>6`<2583?>i5:=0;6)==6;01=>=n9<81<7*<27846>h4:=0:7c<61;28?xd10?0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e>1>1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:<4n207>5=>7>5$205>24=zj?296=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79=;o110?6<3f8987>5$205>74>32c:9?4?:%112?153g9987?4n3;2>5=53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;482:l061<732e9>94?:%112?45121b=8<50;&063<0:2d8>94>;o0:5?6<3th=;h4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85739m772=821d>?:50;&063<5:010e<;=:18'770=?;1e??:51:l1=4<732wi::m50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:608j6432910c?<;:18'770=:;307d?:2;29 6412>80b><;:09m6<7=821vn;9n:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;51?k55<3:07b<=4;29 6412;8276g>5383>!55>3=97c==4;38j7?62910qo888;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27846>h4:=0;76a=2583>!55>389565f14094?"4:?0<>6`<2582?k4>93:07pl:f283>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;?5a33695>h5180;76sm61794?5=83:p(?7k:0;4?M56k2B8=7>5$205>245<#;;<1>?74;h366?6=,:8=6:<4n207>4=i:0;1<65rb720>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?153g9987>4;n010?6=,:8=6?<6;:k217<72-99:79=;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;482:l061<63g82=7>4;|`6bc<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?;1e??:50:9l672=83.8>;4=2898m435290/??85739m772=92d95<4?;:a1cb=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>80b><;:198k743290/??8523;8?l72:3:1(><9:608j643281e>4?50:9~f0`e29086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=97c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;51?k55<3;0b?7>:198yg3a13:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0<>6`<2583?>i5:=0;6)==6;01=>=n9<81<7*<27846>h4:=0:7c<61;28?xd2n>0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e=o?1<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:<4n207>5=>7>5$205>24=zj<=;6=4<:183!4>l3;2;6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94;b:k214<72-99:79=;o110?6<3f8987>5$205>74>32c:9?4?:%112?153g9987?4n3;2>5=7>53;294~"51m0:5:5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:54c9j507=83.8>;482:l061<732e9>94?:%112?45121b=8<50;&063<0:2d8>94>;o0:5?6<3th>4=4?:283>5}#:0n1=494H23`?M57i2.8>;4=489'5<7=?>?0(<8;:5`8m436290/??85739m772=821d>?:50;&063<5:010e<;=:18'770=?;1e??:51:l1=4<732wi9:k50;194?6|,;3o6<78;I12g>N48h1/??8525;8 4?62>=>7)?94;6a?l7293:1(><9:608j6432910c?<;:18'770=:;307d?:2;29 6412>80b><;:09m6<7=821vn89l:180>5<7s-82h7?67:J05f=O;9k0(><9:36:?!7>93=<96*>6587f>o6=80;6)==6;51?k55<3:07b<=4;29 6412;8276g>5383>!55>3=97c==4;38j7?62910qo;8a;297?6=8r.95i4>969K74e<@::j7)==6;07=>"6180<;85+17690g=n9<;1<7*<27846>h4:=0;76a=2583>!55>389565f14094?"4:?0<>6`<2582?k4>93:07pl:7983>6<729q/>4j51858L67d3A9;m6*<27810<=#90;1;:;4$047>1d1<65`23694?"4:?09>454i071>5<#;;<1;?5a33695>h5180;76sm56494?5=83:p(?7k:0;4?M56k2B8=7>5$205>245<#;;<1>?74;h366?6=,:8=6:<4n207>4=i:0;1<65rb457>5<4290;w)<6d;3:3>N49j1C?=o4$205>72>3-;2=7985:&221<3j2c:9<4?:%112?153g9987>4;n010?6=,:8=6?<6;:k217<72-99:79=;o110?7>n;%112?4312.:5<48749'532=94?;:m161<72-99:7<=9:9j504=83.8>;482:l061<63g82=7>4;|`2`1<72:0;6=u+28f95<1<@:;h7E=?a:&063<5<01/=4?57678 4032=h0e<;>:18'770=?;1e??:50:9l672=83.8>;4=2898m435290/??85739m772=92d95<4?;:a7`b=8391<7>t$3;g>4?03A9:o6F<0`9'770=:=30(<7>:656?!71<3>i7d?:1;29 6412>80b><;:198k743290/??8523;8?l72:3:1(><9:608j643281e>4?50:9~f27629086=4?{%0:`?7>?2B8=n5G31c8 6412;>27)?61;541>"6>=0?n6g>5083>!55>3=97c==4;28?j45<3:1(><9:30:?>o6=;0;6)==6;51?k55<3;0b?7>:198yg0?m3:1?7>50z&1=a<61>1C?38?56*>908430=#9?>18o5f14394?"4:?0<>6`<2583?>i5:=0;6)==6;01=>=n9<81<7*<27846>h4:=0:7c<61;28?xd18k0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=h:;>1<7*<27816<=1=6`=9083?>{e=121<7=50;2x 7?c283<7E=>c:J04d=#;;<1>974$0;2>2123-;=87:m;h365?6=,:8=6:<4n207>5=>7>5$205>24=zj:<36=49:183!4>l3;8<6F<1b9K75g<,85+334956b<@;>37)?61;541>\51109w>87:245>xo0m3:1(><9:9c8?ld4290/??8514`8?j4383:1(><9:30:?>o?>3:1(><9:948?l4413:1(><9:34`?>of>3:1(><9:36b?>{e?<<1<7850;2x 7?c289;7E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h:5>5<#;;<14;54i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`7b3<72?0;6=u+28f9566<@:;h7E=?a:&063<6;m1/=;:53:J10==#90;1;:;4Z3;;>4}4>?0ve:k50;&0634?:%112?72j21d>9>50;&063<5:010e5850;&06321b>>750;&063<5>j10el850;&063<5319K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n0?0;6)==6;:5?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj;?:6=49:183!4>l3;8?6F<1b9K75g<,845c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f73e290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm24f94?0=83:p(?7k:010?M56k2B8"4:?0:?i5G25:8 4?62>=>7W<68;3x730=u`=n6=4+3349=nj:0;6)==6;36f>=h:=:1<7*<27816<=5}#:0n1=>=4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9j<3<72-99:769;:ka7?6=,:8=6<;m;:m105<72-99:7<=9:9j66?=83.8>;4=6b98md0=83.8>;4=4`98yg4193:1:7>50z&1=a<6;:1C?<9:01g?M4302.:5<48749Y6<>=9r9=:7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>38=o65fa783>!55>38?m65rb340>5<1290;w)<6d;307>N49j1C?=o4$047>6=#;;<1=>j4H36;?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8857>5$205>70d32cj:7>5$205>72f32wi>;:50;494?6|,;3o6<=<;I12g>N48h1/=;:53:&063<6;m1C>964$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9jf6<72-99:7?:b:9l616=83.8>;4=2898m75>290/??8527a8?lg1290/??8525c8?xd5><0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>N5<11/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gm3;29 64128?i76a=4183>!55>389565f22;94?"4:?09:n54i`494?"4:?098l54}c052?6=>3:1>n;%350?5<,:8=6<=k;I07<>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`h86=4+334950d<3f8?<7>5$205>74>32c9?44?:%112?41k21bm;4?:%112?43i21vn?88:185>5<7s-82h7?<3:J05f=O;9k0(<8;:29'770=9:n0D?:7;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98k727290/??8523;8?l4413:1(><9:34`?>of>3:1(><9:36b?>{e:<81<7850;2x 7?c28987E=>c:J04d=#9?>1?6*<27827a=O:=20(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fb283>!55>3;>n65`25294?"4:?09>454i31:>5<#;;<1>;m4;hc5>5<#;;<1>9o4;|`116<72?0;6=u+28f9565<@:;h7E=?a:&221<43-99:7?4}4>?0ve:k50;&063132ci?7>5$205>43e32e98=4?:%112?45121b>>750;&063<5>j10el850;&063<5329K74e<@::j7)?94;18 641289o7E<;8:&2=4<0?<1Q>4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?j4383:1(><9:30:?>o5;00;6)==6;05g>=ni?0;6)==6;07e>=zj;?>6=49:183!4>l3;8?6F<1b9K75g<,85+334956b<@;>37)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54o363>5<#;;<1>?74;h00=?6=,:8=6?8l;:kb2?6=,:8=6?:n;:a600=83<1<7>t$3;g>4543A9:o6F<0`9'532=;2.8>;4>3e9K61><,83:6:9:;[0:21bn>4?:%112?72j21d>9>50;&063<5:010e?=6:18'770=:?i07do9:18'770=:=k07pl=5683>3<729q/>4j51218L67d3A9;m6*>6580?!55>3;8h6F=499'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n::31<7*<27812f=47>56;294~"51m0:?>5G30a8L66f3-;=87=4$205>45c3A8?46*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k17<<72-99:7<9c:9je3<72-99:7<;a:9~f73>290=6=4?{%0:`?74;2B8=n5G31c8 4032:1/??8512f8L72?3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10c?:?:18'770=:;307d<<9;29 6412;j76sm41c94?>=83:p(?7k:01:?M56k2B8;k4$0;2>2123S8247=nj:0;6)==6;36f>=n:?21<7*<27813g=;6=4+334967?<3`8=o7>5$205>70d3A9;565f25c94?"4:?098l5G31;8?l4003:1(><9:35;?M57121vn9>l:18;>5<7s-82h7?<8:J05f=O;9k0(<8;:29'770=:?o0D?:7;%3:5?10=2P9554={67`>6012tc5$205>=g<3`2=6=4+3349<3=:6=4+334962><3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj=:o6=47:183!4>l3;846F<1b9K75g<,85+334963c<@;>37)?61;541>\51109w:;l:245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k12=<72-99:7<8b:9~f16b29036=4?{%0:`?7402B8=n5G31c8 4032:1/??8527g8L72?3-;2=7985:X1==<5s>?h6>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998123d2:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?:>:18'770=:>207b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9:54?:%112?40j21vn9??:18;>5<7s-82h7?<8:J05f=O;9k0(<8;:29'770=:?o0D?:7;%3:5?10=2P9554={67`>6012tc5$205>=g<3`2=6=4+3349<3=:6=4+334962><3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj=;:6=47:183!4>l3;846F<1b9K75g<,85+334963c<@;>37)?61;541>\51109w:;l:245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k12=<72-99:7<8b:9~f17529036=4?{%0:`?7402B8=n5G31c8 4032:1/??8527g8L72?3-;2=7985:X1==<5s>?h6>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54ic194?"4:?0:9o54i362>5<#;;<1>:64;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=j6=4+334961g<@::276g=6983>!55>385N49j1C?=o4$047>6=#;;<1>;k4H36;?!7>93=<96T=998123d2:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?:>:18'770=:>207b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9:54?:%112?40j21vn9?;:18;>5<7s-82h7?<8:J05f=O;9k0(<8;:29'770=:?o0D?:7;%3:5?10=2P9554={67`>6012tc5$205>=g<3`2=6=4+3349<3=:6=4+334962><3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454i36b>5<#;;<1>9o4H22:?>o5>10;6)==6;04f>=zj=:i6=47:183!4>l3;846F<1b9K75g<,85+334963c<@;>37)?61;541>\51109w:;l:245>xo0m3:1(><9:9c8?l>1290/??858798mg5=83.8>;4>5c98m726290/??8526:8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010e?:n:18'770=:=k0D>>6;:k12=<72-99:7<8b:9~f6ea29036=4?{%0:`?7412B8=n5G31c8 40328>0(><9:34f?!7>93=<96T=998123d2:<=6pg8e;29 64121k07d69:18'770=0?10eo=50;&063<6=k10e?87:18'770=:>h07b<;0;29 6412;8276g=6b83>!55>38=o6F<0898m72f290/??8525c8L66>32c9;54?:%112?4002B8<454}c1g7?6=03:1>n;%350?5<,:8=6?8j;I07<>"6180<;85U28:96~12k39=:7sf7d83>!55>32j76g76;29 64121<07dl<:18'770=9!55>389565f27a94?"4:?09:n5G31;8?l43i3:1(><9:36b?M57121b>;650;&063<5?k10qo=k5;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+17697`=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a7a7=83<1<7>t$3;g>4?e3A9:o6F<0`9'770=:=30(<7>:656?!71<3;??6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;n010?6=,:8=6?<6;:a7a4=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3<0e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=6=4+334937=i;;>1865f14494?"4:?0<>6`<2586?>i5:=0;6)==6;01=>=zj=::6=48:183!4>l3;2o6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>3d9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65f14794?"4:?0<>6`<2587?>o6=?0;6)==6;51?k55<3?07b<=4;29 6412;8276sm44494?5=83:p(?7k:0;;?M56k2B84:k214<72-99:79=;o110?6<3`;>>7>5$205>245<#;;<1>?74;|`710<72>0;6=u+28f95;7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3`;>97>5$205>245<#;;<1;?5a33691>=h:;>1<7*<27816<=:57>58;294~"51m0:?55G30a8L66f3-;=87=4$205>70b3A8?46*>908430=]:021>v9:c;152?{n?l0;6)==6;:b?>o?>3:1(><9:948?ld4290/??8514`8?l4393:1(><9:35;?>i5<90;6)==6;01=>=n:?i1<7*<27812f=O;9307d<;a;29 6412;>j7E=?9:9j63>=83.8>;4=7c98yg21k3:187>50z&1=a<6101C?1e1<65f14094?"4:?0<>6`<2582?>o6=:0;6)==6;51?k55<3807b<=4;29 6412;8276sm4g594?2=83:p(?7k:0;:?M56k2B84;h366?6=,:8=6:<4n207>4=1>65`23694?"4:?09>454}c60a?6=<3:1>n;%00;4=489'5<7=?>?0(<8;:528m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3f8987>5$205>74>32wi8>j50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;13?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c62e?6=>3:1>n;%00;4=489'5<7=?>?0(<8;:067?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:06;?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c142?6=;3:1>n;%112?4312.:5<48749'532=;?1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3f8987>5$205>74>32wi;:>50;194?6|,;3o6<77;I12g>N48h1/??8525;8 4?62>=>7)?94;1e?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg11n3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1?85f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi?k650;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;0f?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c1e3?6=>3:1>n;%00;4=489'5<7=?>?0(<8;:2a8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:512g8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb61;>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<282c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd0;>0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,86`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi:o;50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;73?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c4a0?6=?3:1>n;%112?4312.:5<48749'532=j2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd1;;0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,84i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9j500=83.8>;482:l061<232e9>94?:%112?45121vn;=>:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>658a?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c7bb?6=?3:1>n;%112?4312.:5<48749'532==91b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=n9h4:=0?76g>5783>!55>3=97c==4;78?j45<3:1(><9:30:?>{e=ho1<7950;2x 7?c283h7E=>c:J04d=#;;<1>974$0;2>2123-;=87l4i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9j500=83.8>;482:l061<232e9>94?:%112?45121vn>;?:187>5<7s-82h7?69:J05f=O;9k0(><9:36:?!7>93=<96*>658`?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn:;8:187>5<7s-82h7?n0:J05f=O;9k0(><9:0;f?M43?2B:9k5+1839323>7>5$205>43c32c9:54?:%112?41j21d>?:50;&063<5:010qo9:8;293?6=8r.95i4>9b9K74e<@::j7)==6;07=>"6180<;85+176965=n9<;1<7*<27846>h4:=0;76g>5383>!55>3=97c==4;38?l72;3:1(><9:608j6432;10e<;;:18'770=?;1e??:53:9j503=83.8>;482:l061<332c:9;4?:%112?153g9987;4;n010?6=,:8=6?<6;:a30?=83<1<7>t$3;g>4?e3A9:o6F<0`9'770=:=30(<7>:656?!71<38m7d?:1;29 6412>80b><;:198m435290/??85739m772=921b=8=50;&063<0:2d8>94=;:k211<72-99:79=;o110?5<3`;>97>5$205>245<#;;<1>?74;|`7f=<72=0;6=u+28f95d6<@:;h7E=?a:&063<61l1C>994H07e?!7>93=<96g>5083>!55>3;>o65f14094?"4:?0:9i54i34;>5<#;;<1>;l4;n010?6=,:8=6?<6;:a0g?=83=1<7>t$3;g>4?d3A9:o6F<0`9'770=:=30(<7>:656?!71<3;8o6g>5083>!55>3=97c==4;28?l72:3:1(><9:608j6432810e<;<:18'770=?;1e??:52:9j502=83.8>;482:l061<432c:984?:%112?153g9987:4;h362?6=,:8=6:<4n207>0=5}#:0n1=4l4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:438m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=h:;>1<7*<27816<=:n7>54;294~"51m0:m=5G30a8L66f3-99:7?6e:J102=O9:656?l7293:1(><9:07`?>o6=;0;6)==6;36`>=n:?21<7*<27812g=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:668m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb53g>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<5;2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd4>00;6?4?:1y'63227)?61;541>\5110:w>87:|m161<72-99:7<=9:9j506=83.8>;4>5c98yg12m3:1>7>50z&1=a<0j2B8=n5G31c8 6412130(<7>:656?_4>03;p;8m5}n010?6=,:8=6?<6;:k215<72-99:7?:b:9~f4ef29096=4?{%0:`?7?12B8=n5G31c8 6412>k0(<7>:656?l7283:1(><9:07a?>i5:=0;6)==6;01=>N4:<10qo=ja;296?6=8r.95i4>889K74e<@::j7)==6;5b?!7>93=<96g>5183>!55>3;>n65`23694?"4:?09>45G3378?xd08m0;6?4?:1y'6?l;I13e>"4:?0908430=n9<:1<7*<27821g=76sm69c94?4=83:p(?7k:0::?M56k2B85<#;;<1=8l4;n010?6=,:8=6?<6;I111>=zj?:<6=4=:183!4>l3;356F<1b9K75g<,:8=6:o4$0;2>2123`;><7>5$205>43e32e9>94?:%112?4512B8>854}c7;0?6=:3:1>n;%112?1f3-;2=7985:k215<72-99:7?:b:9l672=83.8>;4=289K773<3th:m?4?:383>5}#:0n1=574H23`?M57i2.8>;48a:&2=4<0?<1b=8>50;&063<6=k10c?<;:18'770=:;30D><:;:a2`5=8381<7>t$3;g>4>>3A9:o6F<0`9'770=?h1/=4?57678m437290/??8514`8?j45<3:1(><9:30:?M55=21vn;8?:181>5<7s-82h7?79:J05f=O;9k0(><9:6c8 4?62>=>7d?:0;29 64128?i76a=2583>!55>38956F<2498yg3cl3:1>7>50z&1=a<6001C?3=j7)?61;541>o6=90;6)==6;36f>=h:;>1<7*<27816<=O;;?07pl:5`83>7<729q/>4j519;8L67d3A9;m6*<2784e>"6180<;85f14294?"4:?0:9o54o307>5<#;;<1>?74H206?>{e<>o1<78j:183!4>l38?>6F<1b9K75gn1ov?8:0:96g<5k3836?952881e?7c28h1=l4>e;'533==2.::;4:;%353?3<,8<3685+19490>"49>09895+30:9740>2.:><4=979'536==2.::<4:;%356?3<,8<8685+17;91>"6>h0>7)?9b;78 40d2<1/=;j55:&22`<23-;=j7;4$053>0=#9>;196*>7386?!70;3?0(<9;:49'523==2.:;;4:;%343?3<,8=3685+16;91>"6?h0>7)?8b;78 41d2<1/=:j55:&23`<23-;0=#91;196*>8386?!7?;3?0(<6;:49'5=3=<2.98>4=8g9'61c=0?1/>9h5879'61b=:1i0(?96:59'62g=<2.:4:4=229K6<6<,:8=6?:=;%52>70c3-;2=7985:&134<0=2c98n4?::k25c<722c9884?::k25`<722c297>5H314?_4>03;p?;85}:k:3?6=@;9<7W<68;3x730=u2c98;4?::k10g<722c8=<4?:%002?5682d9?84?;%015?57m2d9>=4>;:k04c<72-88:7=>0:l170<63-89=7=?e:l165<732em<7>5$315>``6=5+2339a==i:;:1j65`ed83>!44>3om7c<<5;38 7462l20b?h5:90h76ajb;29 7512ll0b?=::59'677=m11e>?>5b:9lad<72-88:7ki;o001?3<,;8:6h64n303>d=h5;<0=7)<=1;g;?k4583307bh7:18'660=mo1e>>;57:&164=47;:me3?6=,;9=6hh4n316>==#:;;1i55a23293>=hn?0;6)<<6;ge?k44=330(?<>:d:8j7472?10ck;50;&1735<#::<1ik5a2279f>"5:80n46`=2187?>ia;3:1(?=9:dd8j7522j1/>??5e99m676=;21dj?4?:%002?ca3g8897j4$302>`>290/>>85eg9m663=n2.9><4j8:l165<732e:=?4?:%002?7692d9?84?;%015?77n2d9>=4>;:m255<72-88:7?>1:l170<63-89=7??f:l165<732e:=;4?:%002?76=2d9?84?;%015?76;2d9>=4>;:m251<72-88:7?>5:l170<63-89=7?>3:l165<732c8=84?:%002?56<2d9?84?;%015?56:2d9>=4>;:k056<72-88:7=>4:l170<63-89=7=>2:l165<732c>47>5$315>016=5+233916=i:;:1o65f5783>!44>3?<7c<<5;38 7462<90b?h5:90276g90;29 7512<=0b?=::59'677==:1e>?>58:9j1c<72-88:7;8;o001?3<,;8:68=4n303>2=h5;<0=7)<=1;70?k4583<07d;k:18'660==>1e>>;57:&164<2;2d9>=4:;:k6g?6=,;9=6894n316>==#:;;19>5a23290>=n=k0;6)<<6;74?k44=330(?<>:418j7472:10e8o50;&173<2?2d9?84n;%015?343g89<7<4;h7:>5<#::<19:5a2279f>"5:80>?6`=2182?>o2<3:1(?=9:458j7522j1/>??5529m676=821bi;4?:%002?c23g8897>4$302>`5>85d59m663=82.9><4ld:l1655$315>a26<5+2339ga=i:;:1i65fd383>!44>3n?7c<<5;08 7462jn0b?h5:90i76glf;29 7512m>0b?=::49'677=km1e>?>5a:9j``<72-88:7j;;o001?0<,;8:6nj4n303><=h5;<0<7)<=1;ag?k4583207djl:18'660=l=1e>>;58:&164=48;:kgf?6=,;9=6i:4n316><=#:;;1oi5a23292>=nlh0;6)<<6;f7?k44=3k0(?<>:bf8j7472<10ei750;&1735<#::<1h95a2279g>"5:80hh6`=2180?>oc?3:1(?=9:e68j7522m1/>??5ce9m676=:21bh;4?:%002?b33g8897k4$302>fb>85c39m663=82.9><4mb:l1655$315>f46<5+2339fg=i:;:1i65fc183>!44>3i97c<<5;08 7462kh0b?h5:90i76gmd;29 7512j80b?=::49'677=jk1e>?>5a:9jgf<72-88:7m=;o001?0<,;8:6ol4n303><=h5;<0<7)<=1;`a?k4583207dmn:18'660=k;1e>>;58:&164=48;:k`=?6=,;9=6n<4n316><=#:;;1no5a23292>=nk10;6)<<6;a1?k44=3k0(?<>:c`8j7472<10en950;&1735<#::<1o?5a2279g>"5:80in6`=2180?>od=3:1(?=9:b08j7522m1/>??5bc9m676=:21bo94?:%002?e53g8897k4$302>gd6`=348e?!4593hi7c<=0;28?l00290/>>85679m663=82.9><492:l1655$315>306<5+233927=i:;:1n65f6583>!44>3<=7c<<5;08 7462?80b?h5:90376g9e;29 7512?<0b?=::49'677=>;1e>?>57:9j2a<72-88:789;o001?0<,;8:6;<4n303>3=h5;<0<7)<=1;41?k4583?07d8m:18'660=>?1e>>;58:&164<1:2d9>=4;;:k5e?6=,;9=6;84n316><=#:;;1:?5a23297>=n>00;6)<<6;45?k44=3k0(?<>:708j7472;10e;650;&173<1>2d9?84m;%015?053g89<7?4;h40>5<#::<1:;5a2279g>"5:80=>6`=2183?>i68:0;6)<<6;336>h5;<0;7)<=1;da?k4583l07b??1;29 75128:97c<<5;38 7462oh0b?>851108j7522;1/>??5fc9m676=l21djk4?:%002?77:2d9?84<;%015?`e3g89<7m4;ndf>5<#::<1==<4n316>1=#:;;1jo5a2329f>=hnm0;6)<<6;336>h5;<0>7)<=1;da?k4583k07b??c;29 75128:97c<<5;48 7462oh0b?>851108j7522>1/>??5fc9m676=021d==o50;&173<68;1e>>;58:&164=48;:m24<<72-88:7??2:l170<>3-89=7hm;o014?0<3f;;47>5$315>4653g8897o4$302>cd5<#::<1==<4n316>g=#:;;1jo5a23290>=h99<1<7*=378247=i::?1o6*=208ef>h5:90876a>0483>!44>3;;>6`=348g?!4593li7c<=0;08?j77<3:1(?=9:021?k44=3o0(?<>:g`8j7472810ckm50;&173<68;1e>>;5f:&164=4?;:kf6?6=,;9=6h?4n316>5=#:;;1hk5a23295>=nm90;6)<<6;g2?k44=3;0(?<>:ed8j7472910qo:<8;296=<383<8wE=?a:&1=a<41j1Q;i4;{0a95c<6k3;m6p*<2080=c=#:>:1>6*=6g806a=#9=31?n;4$06b>6?d3S8247?t3749yl50n3:1(?=9:25f?k44=3:07d=8d;29 7512:=n7c<<5;38?l50k3:1(?=9:25f?k44=3807d=8b;29 7512:=n7c<<5;18?l50i3:1(?=9:25f?k44=3>07d=89;29 7512:=n7c<<5;78?l5?03:1(?=9:25f?k44=3<07d=77;29 7512:=n7c<<5;58?l5?>3:1(?=9:25f?k44=3207d=75;29 7512:=n7c<<5;;8?l5?<3:1(?=9:25f?k44=3k07d=73;29 7512:=n7c<<5;`8?l5?:3:1(?=9:25f?k44=3i07d=71;29 7512:=n7c<<5;f8?l5?83:1(?=9:25f?k44=3o07d=88;29 7512:=n7c<<5;d8?l52j3:17d9:b;29?l10<3:17d9:6;29?j5>83:1(?=9:2:e?k44=3:07b=7e;29 7512:2m7c<<5;38?j5?l3:1(?=9:2:e?k44=3807b=7c;29 7512:2m7c<<5;18?j5?j3:1(?=9:2:e?k44=3>07b=7a;29 7512:2m7c<<5;78?j5>13:1(?=9:2:e?k44=3<07b=68;29 7512:2m7c<<5;58?j5>?3:1(?=9:2:e?k44=3207b=66;29 7512:2m7c<<5;;8?j5>=3:1(?=9:2:e?k44=3k07b=64;29 7512:2m7c<<5;`8?j5>;3:1(?=9:2:e?k44=3i07b=62;29 7512:2m7c<<5;f8?j5>93:1(?=9:2:e?k44=3o07b=79;29 7512:2m7c<<5;d8?j5f83:17b=:a;29?j5>i3:17b=:9;29?g56n3:1=7>50z&1=a<6399i6*>908430=h9=o1<7*<27816<=56;294~"51m0:?=5G30a8L66f3-;2h7<66:&221<43-99:7?!55>3;>n65`25294?"4:?09>454i9494?"4:?03:65f22;94?"4:?09:n54i`494?"4:?098l54}c137?6=>3:1>n;%3:`?4>>2.::94<;%112?74l2B9855+1839323<@><0V?77:0y023o1<7*<278;e>=nj:0;6)==6;36f>=h:=:1<7*<27816<==n::31<7*<27812f=7>56;294~"51m0:?85G30a8L66f3-;2h7<66:&063<6;m1/=;:5159'5<7=?>?0D:84Z3;;>4}4>?0ve:k50;&063132ci?7>5$205>43e32c9?44?:%112?41k21d>9>50;&063<5:010e?:n:18'770=:=k0D>>6;:a6cb=83<1<7>t$3;g>4533A9:o6F<0`9'532=9=1/??8512f8 4?62>=>7W<68;3x730=u`=n6=4+33495$205>74>32c3:7>5$205>=0<@::276g=4`83>!55>38?m6F<0898yg4ak3:1:7>50z&1=a<6;=1C?"6180<;85U28:95~51>3wb;h4?:%112?>f32ci?7>5$205>43e32c9?44?:%112?41k21d>9>50;&063<5:010e5850;&0632B8<454i36b>5<#;;<1>9o4H22:?>{e;9;1<7850;2x 7?c289?7E=>c:J04d=#9?>1=95+334956b<,83:6:9:;[0:>6;:k10d<72-99:7<;a:J04<=56;294~"51m0:?95G30a8L66f3-;=87?;;%112?74l2.:5<48749Y6<>=9r9=:7sf7d83>!55>32j76gm3;29 64128?i76g=3883>!55>38=o65`25294?"4:?09>454i9494?"4:?03:6F<0898m72f290/??8525c8L66>32wi>lh50;494?6|,;3o6<=;;I12g>N48h1/=;:5159'770=9:n0(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54ic194?"4:?0:9o54i31:>5<#;;<1>;m4;n074?6=,:8=6?<6;:k;2?6=,:8=6584H22:?>o5N48010qo359K74e<@::j7)?94;37?!55>3;8h6*>908430=]:021=v=96;j3`<72-99:76n;:ka7?6=,:8=6<;m;:k17<<72-99:7<9c:9l616=83.8>;4=2898m=0=83.8>;476:J04<=j6=4+334961g<@::276sm2`g94?0=83:p(?7k:017?M56k2B8j4$0;2>2123S8247?t3749yl1b290/??858`98mg5=83.8>;4>5c98m75>290/??8527a8?j4383:1(><9:30:?>o?>3:1(><9:948L66>32c98l4?:%112?43i2B8<454}c0`=?6=>3:1>n;%350?5<,:8=6<=k;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798md0=83.8>;4=4`98mg5=83.8>;4>5c98k727290/??8523;8?l41k3:1(><9:34`?M57121vn?m7:185>5<7s-82h7?<2:J05f=O;9k0(<8;:29'770=9:n0(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fa783>!55>38?m65fb283>!55>3;>n65`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>{e:j=1<7850;2x 7?c28997E=>c:J04d=#9?>1?6*<27827a=#90;1;:;4Z3;;>4}4>?0ve:k50;&063132cj:7>5$205>72f32ci?7>5$205>43e32e98=4?:%112?45121b>;m50;&063<5>j1C?=74;|`1g3<72?0;6=u+28f9564<@:;h7E=?a:&221<43-99:7?4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?lg1290/??8525c8?ld4290/??8514`8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010qo339K74e<@::j7)?94;18 641289o7)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54i`494?"4:?098l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h05g?6=,:8=6?8l;I13=>=zj;i;6=49:183!4>l3;8>6F<1b9K75g<,85+334956b<,83:6:9:;[0:21bm;4?:%112?43i21bn>4?:%112?72j21d>9>50;&063<5:010e?8l:18'770=:?i0D>>6;:a6g`=83<1<7>t$3;g>4553A9:o6F<0`9'532=;2.8>;4>3e9'5<7=?>?0V?77:0y023o1<7*<278;e>=n0?0;6)==6;:5?>of>3:1(><9:36b?>oe;3:1(><9:07a?>i5<90;6)==6;01=>=n:?i1<7*<27812f=O;9307pl=bc83>3<729q/>4j51208L67d3A9;m6*>6580?!55>3;8h6*>908430=]:021=v=96;j3`<72-99:76n;:k;2?6=,:8=6584;hc5>5<#;;<1>9o4;h`0>5<#;;<1=8l4;n074?6=,:8=6?<6;:k12f<72-99:7<9c:J04<=56;294~"51m0:??5G30a8L66f3-;=87=4$205>45c3-;2=7985:X1==<6s:<=6pg8e;29 64121k07d69:18'770=0?10el850;&063<5"4:?0:?i5G25:8 4?62>=>7W<68;3x730=u`=n6=4+3349;6=4+334967?<3`2=6=4+3349<3=5}#:0n1=>?4H23`?M57i2.::94<;%112?74l2B9855+1839323;47a:9je3<72-99:7<;a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m=0=83.8>;476:9j66?=83.8>;4=6b98yg4bn3:1:7>50z&1=a<6;;1C?<9:01g?!7>93=<96T=99826012tc5$205>=g<3`2=6=4+3349<3=;6=4+334967?<3`8=o7>5$205>70d3A9;565rb3gf>5<1290;w)<6d;306>N49j1C?=o4$047>6=#;;<1=>j4$0;2>2123S8247?t3749yl1b290/??858`98m=0=83.8>;476:9je3<72-99:7<;a:9jf6<72-99:7?:b:9l616=83.8>;4=2898m70d290/??8527a8L66>32wi>hj50;494?6|,;3o6<==;I12g>N48h1/=;:53:&063<6;m1/=4?57678^7??28q8:;4ri6g94?"4:?03m65f8783>!55>32=76gn6;29 6412;>j76gm3;29 64128?i76a=4183>!55>389565f27a94?"4:?09:n5G31;8?xd5mj0;6;4?:1y'6?l;I13e>"6>=087)==6;30`>"6180<;85U28:95~51>3wb;h4?:%112?>f32c3:7>5$205>=0<3`k=6=4+334961g<3`h86=4+334950d<3f8?<7>5$205>74>32c9:n4?:%112?41k2B8<454}c0f3?6=>3:1>n;%350?5<,:8=6<=k;%3:5?10=2P9554>{245>xo0m3:1(><9:9c8?l>1290/??858798md0=83.8>;4=4`98mg5=83.8>;4>5c98k727290/??8523;8?l41k3:1(><9:34`?M57121vn?k9:185>5<7s-82h7?<2:J05f=O;9k0(<8;:29'770=9:n0(<7>:656?_4>03;p?;85}h5f>5<#;;<14l54i9494?"4:?03:65fa783>!55>38?m65fb283>!55>3;>n65`25294?"4:?09>454i34`>5<#;;<1>;m4H22:?>{e:l?1<7850;2x 7?c28997E=>c:J04d=#9?>1?6*<27827a=#90;1;:;4Z3;;>4}4>?0ve:k50;&063132cj:7>5$205>72f32ci?7>5$205>43e32e98=4?:%112?45121b>;m50;&063<5>j1C?=74;|`1a4<72?0;6=u+28f9564<@:;h7E=?a:&221<43-99:7?4651z152?{n?l0;6)==6;:b?>o?>3:1(><9:948?lg1290/??8525c8?ld4290/??8514`8?j4383:1(><9:30:?>o5>j0;6)==6;05g>N48010qo339K74e<@::j7)?94;18 641289o7)?61;541>\5110:w>89:|k4a?6=,:8=65o4;h:5>5<#;;<14;54i`494?"4:?098l54ic194?"4:?0:9o54o363>5<#;;<1>?74;h05g?6=,:8=6?8l;I13=>=zj;h;6=4<:183!4>l3;246F<1b9K75g<,;936>::;%112?4312.:5<48749'532=:;1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3f8987>5$205>74>32wi>i750;194?6|,;3o6<77;I12g>N48h1/??8525;8 4?62>=>7)?94;01?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9l672=83.8>;4=2898yg4a>3:187>50z&1=a<6101C?44f3`;>=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976a=2583>!55>389565rb225>5<4290;w)<6d;3:<>N49j1C?=o4$205>72>3-;2=7985:&221<5:2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=5}#:0n1=4m4H23`?M57i2.8>;4=489'5<7=?>?0(<8;:348m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb3c;>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<4;2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd5i00;684?:1y'6?l;I13e>"4:?09845+1839323<,8<4i072>5<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10c?<;:18'770=:;307pl=a`83>2<729q/>4j518a8L67d3A9;m6*<27810<=#90;1;:;4$047>4203`;>=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?l72=3:1(><9:608j6432=10e<;9:18'770=?;1e??:55:9l672=83.8>;4=2898yg4>n3:1?7>50z&1=a<6111C?38?56*>908430=#9?>18;5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07b<=4;29 6412;8276sm2e194?1=83:p(?7k:0;`?M56k2B84;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd5kk0;6>4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76a=2583>!55>389565rb3c3>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<2:2c:9<4?:%112?153g9987>4;h366?6=,:8=6:<4n207>4=1>65f14694?"4:?0<>6`<2580?>o6=<0;6)==6;51?k55<3>07d?:6;29 6412>80b><;:498k743290/??8523;8?xd5kj0;6:4?:1y'6?l;I13e>"4:?09845+1839323<,85<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?l72<3:1(><9:608j6432:10e<;::18'770=?;1e??:54:9j500=83.8>;482:l061<232e9>94?:%112?45121vn?o>:184>5<7s-82h7?6c:J05f=O;9k0(><9:36:?!7>93=<96*>65827>o6=80;6)==6;51?k55<3:07d?:2;29 6412>80b><;:098m434290/??85739m772=:21b=8:50;&063<0:2d8>94<;:k210<72-99:79=;o110?2<3`;>:7>5$205>245<#;;<1>?74;|`1gc<72>0;6=u+28f95:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=6=4+334937=i;;>1865f14494?"4:?0<>6`<2586?>i5:=0;6)==6;01=>=zj;k86=4::183!4>l3;2m6F<1b9K75g<,:8=6?:6;%3:5?10=2.::94>2c9j507=83.8>;482:l061<732c:9?4?:%112?153g9987?4;h367?6=,:8=6:<4n207>7=1?65`23694?"4:?09>454}c0b6?6=>3:1>n;%112?4312.:5<48749'532=9=80e<;>:18'770=?;1e??:50:9j504=83.8>;482:l061<632c:9>4?:%112?153g9987<4;h360?6=,:8=6:<4n207>6=6=4+334937=i;;>1865`23694?"4:?09>454}c0`a?6==3:1>n;%112?4312.:5<48749'532=<;1b=8?50;&063<0:2d8>94?;:k217<72-99:79=;o110?7<3`;>?7>5$205>245<#;;<1;?5a33697>=h:;>1<7*<27816<=56;294~"51m0:5o5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:513a8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=h:;>1<7*<27816<=57;294~"51m0:5n5G30a8L66f3-99:7<;9:&2=4<0?<1/=;:51538m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454i076>5<#;;<1;?5a33690>=n9<<1<7*<27846>h4:=0>76a=2583>!55>389565rb3c6>5<0290;w)<6d;3:g>N49j1C?=o4$205>72>3-;2=7985:&221<03`;>=7>5$205>245<#;;<1;?5a33695>=n9<91<7*<27846>h4:=0976g>5583>!55>3=97c==4;18?l72=3:1(><9:608j6432=10e<;9:18'770=?;1e??:55:9l672=83.8>;4=2898yg4c:3:1;7>50z&1=a<61j1C?38?56*>908430=#9?>1;k5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21b=8;50;&063<0:2d8>94;;:k213<72-99:79=;o110?3<3f8987>5$205>74>32wi>i?50;594?6|,;3o6<7l;I12g>N48h1/??8525;8 4?62>=>7)?94;31?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532c:994?:%112?153g9987=4;h361?6=,:8=6:<4n207>1=1965`23694?"4:?09>454}c0a1?6==3:1>n;%00;4=489'5<7=?>?0(<8;:5c8m436290/??85739m772=821b=8<50;&063<0:2d8>94>;:k216<72-99:79=;o110?4<3`;>87>5$205>2454o307>5<#;;<1>?74;|`1f1<72=0;6=u+28f95=>7)?94;6:?l7293:1(><9:608j6432910e<;=:18'770=?;1e??:51:9j505=83.8>;482:l061<532e9>94?:%112?45121vn?jm:186>5<7s-82h7?6a:J05f=O;9k0(?=7:20e?!55>38?56*>908430=#9?>18l5f14394?"4:?0<>6`<2583?>o6=;0;6)==6;51?k55<3;07d?:3;29 6412>80b><;:398m433290/??85739m772=;21d>?:50;&063<5:010qo989K74e<@::j7)<<8;11b>"4:?09845+1839323<,85<#;;<1;?5a33694>=n9<81<7*<27846>h4:=0:76g>5283>!55>3=97c==4;08?j45<3:1(><9:30:?>{e:o;1<7<50;2x 7?c28227E=>c:J04d=#;;<1;l5+18393235$205>74>3A99965rb224>5<5290;w)<6d;3;=>N49j1C?=o4$205>2g<,83:6:9:;h364?6=,:8=6<;m;:m161<72-99:7<=9:J060=7>52;294~"51m0:445G30a8L66f3-99:79n;%3:5?10=2c:9=4?:%112?72j21d>?:50;&063<5:01C??;4;|`1b<<72;0;6=u+28f95=?<@:;h7E=?a:&063<0i2.:5<48749j506=83.8>;4>5c98k743290/??8523;8L64232wi>k=50;4f>5<7s-82h7<;2:J05f=O;9k0V:j5cz34>4>=:k09o7<7:3596<<5i3;o60=#9?<196*>6686?!7103?0(<86:49'53g==2.::o4:;%35g?3<,8"6>o0>7)?80;78 4162<1/=:<55:&236<23-;<87;4$056>0=#9><196*>7686?!7003?0(<96:49'52g==2.:;o4:;%34g?3<,8=o685+16g91>"6?o0>7)?70;78 4>62<1/=5<55:&2<6<23-;387;4$0:6>1=#91<186*>868166=#:=91>;o4$36g>7>d3-8?i769;%07b?>13-8<57:4$35b>1=#;8=1>9:4$23;>7233A82<6*<278107=#?809:i5+1839323<,;=:6:;4i36`>5<5<1Q>4651z152?{=9r9=:7s4i365>5<i6=44i232>5<#::<1?<>4n316>5=#:;;1?=k4n303>4=6<5+233975c>85eg9m663=92.9><4j8:l1655$315>``6?5+2339a==i:;:1h65`eb83>!44>3om7c<<5;18 7462l20b?h5:90j76ai9;29 7512ll0b?=::79'677=m11e>?>59:9lb=<72-88:7ki;o001?1<,;8:6h64n303>==h5;<037)<=1;g;?k4583=07bh9:18'660=mo1e>>;59:&164=49;:me1?6=,;9=6hh4n316>d=#:;;1i55a23291>=hn=0;6)<<6;ge?k44=3h0(?<>:d:8j7472=10ck=50;&1735<#::<1ik5a2279`>"5:80n46`=2181?>ia93:1(?=9:dd8j7522l1/>??5e99m676=921di44?:%002?ca3g8897h4$302>`>5<#::<1=5=#:;;1==h4n303>4=6<5+233955`5<#::<1=<;4n316>5=#:;;1=<=4n303>4=6<5+23395455<#::<1?<:4n316>5=#:;;1?<<4n303>4=6<5+2339744;6`=3483?!4593?87c<=0;a8?l31290/>>85569m663=92.9><4:3:l16597>5$315>016?5+233916=i:;:1m65f6083>!44>3?<7c<<5;18 7462<90b?<3`?m6=4+224912=i::?196*=20867>h5:90<76g:e;29 7512<=0b?=::79'677==:1e>?>56:9j1a<72-88:7;8;o001?1<,;8:68=4n303>0=h5;<037)<=1;70?k4583>07d;m:18'660==>1e>>;59:&164<2;2d9>=4<;:k6e?6=,;9=6894n316>d=#:;;19>5a23296>=n=00;6)<<6;74?k44=3h0(?<>:418j7472810e8:50;&173<2?2d9?84l;%015?343g89<7>4;hg5>5<#::<1i85a22794>"5:80n?6`=2182?>ob<3:1(?=9:d78j752281/>??5e29m676=821bh84?:%002?b33g8897>4$302>fb>85d59m663=:2.9><4ld:l1655$315>a26>5+2339ga=i:;:1o65fd183>!44>3n?7c<<5;68 7462jn0b?h5:90276gkd;29 7512m>0b?=::69'677=km1e>?>58:9j`f<72-88:7j;;o001?><,;8:6nj4n303>2=h5;<027)<=1;ag?k4583<07djn:18'660=l=1e>>;5a:&164=4:;:kg=?6=,;9=6i:4n316>g=#:;;1oi5a23290>=nl10;6)<<6;f7?k44=3i0(?<>:bf8j7472:10ei950;&1735<#::<1h95a2279a>"5:80hh6`=2182?>odm3:1(?=9:e68j7522o1/>??5ce9m676=821bo>4?:%002?e53g8897>4$302>gd6`=3482?!4593hi7c<=0;g8?le7290/>>85c39m663=:2.9><4mb:l1655$315>f46>5+2339fg=i:;:1o65fbd83>!44>3i97c<<5;68 7462kh0b?h5:90276glb;29 7512j80b?=::69'677=jk1e>?>58:9jgd<72-88:7m=;o001?><,;8:6ol4n303>2=h5;<027)<=1;`a?k4583<07dm7:18'660=k;1e>>;5a:&164=4:;:k`3?6=,;9=6n<4n316>g=#:;;1no5a23290>=nk?0;6)<<6;a1?k44=3i0(?<>:c`8j7472:10en;50;&1735<#::<1o?5a2279a>"5:80in6`=2182?>oek3:1(?=9:b08j7522o1/>??5bc9m676=821b::4?:%002?013g8897>4$302>34>85679m663=:2.9><492:l1655$315>306>5+233927=i:;:1565f6g83>!44>3<=7c<<5;68 7462?80b?h5:90=76g9c;29 7512?<0b?=::69'677=>;1e>?>55:9j2g<72-88:789;o001?><,;8:6;<4n303>1=h5;<027)<=1;41?k4583907d86:18'660=>?1e>>;5a:&164<1:2d9>=4=;:k5g=#:;;1:?5a23295>=n>:0;6)<<6;45?k44=3i0(?<>:708j7472910c<><:18'660=9980b?=::19'677=nk1e>?>5f:9l557=83.9?;4>039m663=92.9><4ib:l1655<#::<1==<4n316>6=#:;;1jo5a2329g>=hnl0;6)<<6;336>h5;<0?7)<=1;da?k4583h07bhk:18'660=9980b?=::49'677=nk1e>?>5a:9l55e=83.9?;4>039m663=>2.9><4ib:l165<>32e:=;o001?><,;8:6kl4n303>2=645+2339bg=i:;:1:65`11:94?"5;?0:"5:80mn6`=2186?>i68>0;6)<<6;336>h5;<0i7)<=1;da?k4583>07b??6;29 75128:97c<<5;a8 7462oh0b?>851108j7522m1/>??5fc9m676=:21d==:50;&173<68;1e>>;5e:&164=4>;:meg?6=,;9=6<>=;o001?`<,;8:6kl4n303>5=h5;<0;7)<=1;fe?k4583;07dk?:18'660=m81e>>;51:&164=4?;:p72`=838pR>9i;<0e7?b73ty8;i4?:3y]72b<5;l86nh4}r14g?6=:rT8;n522g19``=z{:=i6=4={_14f>;5n:0oh6s|36c94?4|V:=j70k=5d79~w6>02909wS=77:?1b61348m?7lj;|q0<0<72;qU?5;4=3d0>gb52z\0<1=::o91on5rs2:0>5<5sW93?63=f28`f>{t;181<77}Y;1;01?h<:b78yv5?83:1>vP<819>6c5=k=1v>97:181[500279j>4mc:p70d=8389wS=:b:?041<0m278<>48e:?047<0m279ji48e:?1bf<0m278<<48e:?045<0m279mk48e:?1ef<0m279mh48e:?1g<<0m279o548e:?1g2<0m279o;48e:?1g4<0m279o=48e:?1fc<0m279no48e:?1fd<0m279h548e:?1`0<0m279h:48e:?1ac<0m279ih48e:?1aa<0m279in48e:?1a2<0m279i;48e:?1a0<0m279i<48e:?1a5<0m279j>465:?1b6<>?2wx;8l50;0xZ23e349;>7<<9:p322=839pR:9;;<0g=?729279m;4>509~w231290?wS9:6:?1f5<6=816>k85140897b528?:7p}<9183>7}Y;0:01?h<:gg8yv5?m3:1>vP<8d9>6c5=nm1v>6k:181[5?l279j>4>0b9~w6>d2909wS=7c:?1b6<68k1v>6m:181[5?j279j>4>079~w6>f2909wS=7a:?1b6<68<1v>76:181[5>1279j>4>059~w6??2909wS=68:?1b6`g52z\0=0=::o91j45rs2;7>5<5sW92863=f28e<>{t;091<77}Y;0801?h<:g08yv5>93:1>vP<909>6c5=n81v>66:181[5?1279j>4j9:p6a>=838pR>o?;<0gi;50;1xZ63f348o97<;0:?1gf<6=81v?oi:181[5>i279mk4=419~w7gd2908wS=:9:?1ef<5<916>l>51438yv7b83:1>ku230d951c<5::96o=4=3dg>g5<5;lh6o=4=222>g5<5::;6o=4=3d0>722348m?7<;6:?1b6<5k=5599>6c5==?16>k=5549>6c5=>816>k=55b9>6c5==k16>k=55`9>6c5==016>k=5559>6c5=m?16>k=5e59>6c5=l<16>k=5d29>6c5=l;16>k=5d09>6c5=lj16>k=5dc9>6c5=lh16>k=5d89>6c5=k:16>k=5c09>6c5=k916>k=5bg9>6c5=kh16>k=5c89>6c5=k116>k=5c69>6c5=>>16>k=5649>6c5=>=16>k=5719>6c5=>k16>k=56`9>6c5=>016>k=5699>6c5=>:16>k=5e39>6c5=m91v>><:180857<3h870=?3;074>;48;03:6s|31694?4|5::?6?:?;<132?72:2wx?=<50;1x96642k901>>=:363?857>3;>=6s|31794?3|5;lo6?=6;<0eg?441278<<4=389>756=::301>>9:307?xu5nk0;6iu22gf9616<5;km6?=6;<0bg?441279mh4=389>6f?=i?16>n65a79>6f1=i?16>n85a79>6f7=i?16>n>5a79>6g`=i?16>ol5a79>6gg=i?1v?hn:18084ak38?<63=f78216=::o91>9m4}r0eb?6=ir78<<4=419>6``=i?16>hk5a79>6`b=i?16>hm5a79>6`1=i?16>h85a79>6`3=i?16>h?5a79>6`6=i?1v?hj:187857838?<63=d98b2>;5l<0j:63=d68b2>{t:hn1<7=t=3ce>g5<5;kn6o=4=3cb>7433ty9mo4?:3y>6de=j:16>l>52368yv4fm3:19v3=ad8105=::k:1=8<4=3d5>436348jm7?:1:?1`7<6==1v?h8:18f84d132=70;5l:0:99522`29505<5;ih6<;<;<0b1?72=2wx>ok50;6x97e>2k901?m>:363?84f:3;>=63=a48214=z{;i>6=48{<0`=?438279m:4>559>6d>=9<>01?mi:072?84dl3;>>63=d08217=::o91:k5rs3`g>5<3s48h47l<;<0`4?438279m?4>539>6d3=9<80q~529>6a4=9;5jo098=522`19504<5;k=6<;<;|q1g6<72kq6>n95252897g028?=70;5i80:9>522bd9505<5;in6<;;;<0g6?72>279n84>539>6g2=9<801?h6:073?84a;37}::j<1n>522c096727>5dz?1g3<5<916>l65147897g>28??70;5ko0:98522`19502<5;io6<;<;<0b2?72>279h<4>529>6g3=9<901?l;:070?84e:3;><63=f285g>{t:k=1<7:t=3a2>g5<5;hi6?:?;<0b5?729279n84>509~w7d1290>w04363ty9n>4?:3y>6g`=j:16>k752368yv4e13:1>v3=bc8a7>;5j<09>95rs3`;>5<5s48im7l<;<0a0?45<2wx>i850;1x97b?2k901?j8:c1897b42;8?7p}=d583>7}::m?1n>522ba967254z?1`2<5<916>i75140897b428?:70{t:o21<7?<{<0fb?>1348ni769;<0f`?>1348no769;<0f3?>1348n:769;<0f1?>1348n=769;<0f4?>1348o57<=4:?1ed<6==16>l>5146897ed28?>70;5n:0:=h522g19747<5;l86>>i;<0e7?56=279j>4<129~w7c3290?w06d1=9<901?o7:070?84f93;>>63=a38216=::h?1=8=4=3d0>3654z?1a`6fc=9<801?j=:070?xu5mh0;6:u22dg9616<5;k<6<;>;<0b539>6d4=9<>01?o::077?84a;3?m7p}=e383>1}::ln1n>522d79616<5;in6<;>;<0g6?72:2wx>h750;`x97cc2;>;70;5i00:9<522`39502<5;im6<;;;<0b7?729279m;4>559>6ad=9<801?jn:071?857?3;><63=f286a>{t:o:1<7g5<5;l:6?<;;|q1a=<72mq6>hm5252897g?28?=70;5i80:98522bd9500<5;k86<;<;<0``?72<279m;4>549>6a7=9<>01?jm:070?84ci3;>?63=f08215=::o919i5rs3fg>5<3s48n;7l<;<0f5?438279ok4>539>6ad=9<;0q~433348om7?:1:p6c4=838p1?k::c1896602;8?7p}=dg83>7}::l;1n>522e`967252z?1a5;5n:0:=k5rs3c6>5<4s48j;7<=4:?1ed<6=<16>i=51478yv4f?3:1?v3=a98161=::hk1=8<4=3f0>4353ty9m54?:2y>6d?=:;>01?on:070?84c;3;>?6s|2`694?4|5;kj6<;9;<0b1?45<2wx>4k50;0x97?a2;8?70{t:m:1<7431348o=7<=4:p6fg=838p1?mm:307?84dk3;>>6s|1d:94?4|5;k;6<;:;<0b5?45<2wx=hm50;0x97g728?=70{t:931<7433348hj7<=4:p5cc=838p1?ml:075?84dl38986s|20694?4|5;k86?<;;<0b6?72=2wx=h?50;0x97eb2;8?70{t9l?1<7743348j97?:6:p5`0=838p1?j=:307?84c93;>96srb244>5<6290;w)==6;01f>N48h1C=8k4$0:g>43e3-;2?7:k;h01f?6=,:8=6?t$205>74e3A9;m6F>5d9'5=b=:;30(<7<:0:f?j45j3:1(><9:30a?M4>=21vn:;l:182>5<7s-99:7<=b:J04d=O9;3;3j6g=2c83>!55>389n65rb642>5<6290;w)==6;01f>N48h1C=8k4$0:g>43e3-;2?7?=8:k16g<72-99:7<=b:9~f1`d290:6=4?{%112?45j2B8c28?i7)?63;313>o5:k0;6)==6;01f>=zj<>>6=4>:183!55>389n6F<0`9K50c<,82o6?<6;%3:7?>63f89n7>5$205>74e32wi99=50;394?6|,:8=6?N6=l1/=5j523;8 4?421:0c?4<729q/??8523`8L66f3A;>i6*>8e816<=#9091;55`23`94?"4:?09>o54}c775?6=93:1487:m16g<72-99:7<=b:9~f215290;6=4?{%0:`?4>:2B8<55G2828H7?52:q/>4l523g8 7?f2;8o7)<6c;01b>{zj;3<6=4?:183!4>l382;6*>908430=O:0:0@><7:5y'6k388?6*=988175=zuz9=;7>53z\022=:;?91=8>4=244>74e3ty<:?4?:37x91572;>:70:<1;075>;3;;098<524219617<5=9?6?:>;<601?43927??;4=409>061=:=;0196::362?82?>38?=63;868104=:<121>9?4=5::>72634>i97l<;<6a1?44127?il4n6:?7ag27?in4n6:?7aa27<:?4=259>0cd=:1o019;;:362?824138?=63;0`812==:<9i1>9?4=52g>72634>;i7<;1:?74c<5<8168<>5253891762;>:70:>2;075>;39:098<524069617<5=:i6?:>;<1`b?410278h>4=409>04?=:=;0q~:id;296~;30l0:9;524gf9672i7>519y>0g3=?l168k;57d9>0c4=?l168ho57d9>0`d=?l168hm57d9>0`b=?l168il57d9>0ae=?l168ij57d9>0ac=?l168ih57d9>0`6=?l168h?57d9>0`4=?l168lj57d9>0dc=?l168lh57d9>0g6=?l168o?57d9>0g4=?l168o=57d9>0g2=?l16;8k52368yv5>j3:14nu23b;93`=:;jk1;h523b`93`=:=;21;h5253;93`=:=;k1;h5253`93`=:=;i1;h5253f93`=:=;o1;h5253d93`=:=921;h5251;93`=:=9k1;h5251`93`=:=9i1;h5251f93`=:=9o1;h5251d93`=:=821;h5250;93`=:=8k1;h5250`93`=:=8i1;h5250f93`=:=8o1;h5250d93`=:=:21;h5252;93`=:=:k1;h5252`93`=:=:i1;h5252f93`=:=:o1;h5252d93`=:??k1;h5277593`=:??>1;h5236293`=:;>;1;h5236093`=:;>91;h5236693`=:;>?1;h523c193`=:;k>1;h523cf93`=:;ko1;h523cd93`=:;j:1;h523b393`=:;j81;h523b193`=:;j>1;h523c793`=:;k<1;h523c593`=:;k21;h523c;93`=:;kk1;h523c`93`=:;ki1;h523769672<5=l36:k4=574>2c<5=?96:k4=5:b>2c<5=9h6:k4=570>2c<5=<36:k4=543>2c<5=<:6:k4=541>2c<5=<86:k4=547>2c<5=<>6:k4=545>2c<5=<<6:k4=513>2c<5=9:6:k4=511>2c<5=986:k4=517>2c<5=9>6:k4=515>2c<5=9<6:k4=5:6>2c<5=2=6:k4=5:4>2c<5=236:k4=5::>2c<5=3o6:k4=5;f>2c<5=3m6:k4=5c3>2c<5=k:6:k4=5c1>2c<5=k86:k4=5c7>2c<5=??6:k4=51:>2c<5:<36:k4=675>2c<5=l=6:k4=54a>2c<5;?:6:k4=37a>2c<5;?o6:k4=37e>2c<5;<:6:k4=340>2c<5;2c<5;<=6:k4=344>2c<5;?96:k4=370>2c<5;??6:k4=376>2c<5;?=6:k4=374>2c<5;?36:k4=37:>2c<5=:j6:k4=52`>2c<5=:o6:k4=52f>2c<5=:m6:k4=533>2c<5=;:6:k4=531>2c<5=;86:k4=537>2c<5=:i6:k4=2ae>2c<5:n86:k4=53:>2c<5==n64;4=55f><1<5=936>;m;|q71c<7215`=j:16916`=j:168;952528yv22m3:19v3:2d8a7>;28l0i?63:1d8a7>;2;l0i?63;678105=z{=?o6=4:{<71`?d434?;h7l<;<72`?d434?8h7l<;<651?4382wx88m50;7x904d2k9018>l:c18907d2k9018=l:c1891032;>;7p};5c83>0}:=;h1n>5251`9f6=:=8h1n>5252`9f6=:9>4}r66e?6==r7>>l4m3:?64d=l4m3:?67d290>w0;=9;`0?83713h870;>9;`0?83413h870:91;074>{t<<21<7;t=40;>g5<5<:36o=4=43;>g5<5<936o=4=543>7273ty?jl4?:2y]0cg<5=li6?<;;<6ee?45j2wx8;650;:x910?2;>;70=:8;366>;0>?0:9<5277;9504<5:??6<;>;<162?7292789?4>509>33`=9<;0q~=l8;297~;4kk098=5274:9505<5>?26<;>;|q0g2<72:q6?no52528923?28?>709:9;360>{t;j<1<7=t=2a:>72734=>47?:4:?41<<6=:1v>86:1861~;0<<0;0;0=80;0=:0;0=<0;0;h0;0;l0;0<90;0<;0;0<=0;0;j0;1kk0;1km0;1ko0;1l80;1j?0;1jh0;1jj0;1jl0;1k90;1j10;1;o0;1<00;1;1;1;1;=0;1;10;1;h0;1;j0;1;l0;1;?0;2k<0;2k>0;2k00;2kk0;2j90;2j=0;2j?0;2j10;2jh0;2j;0;4>009>95rs2c:>5<5s49io7<;0:?42a<6=:1v>o7:18185ej38?<6386e8213=z{:k<6=4={<1ae?43827<:i4>549~w6g12909w0=m9;074>;0>j0:9?5rs2c6>5<5s49i47<;0:?42f<6=81v>o;:18185e?38?<6386b8211=z{:k86=4={<1a2?43827<:n4>529~w6g52909w0=m5;074>;0>j0:9;5rs2`1>5<5s49h87<;0:?42`<6=;1v>l>:18185d;38?<6386d8214=z{:h;6=4={<1`6?43827<:h4>559~w6ga2909w0=l1;074>;0>l0:9>5rs2cf>5<5s49h<7<;0:?42`<6=?1v>ok:18185en38?<6386d8210=z{:kh6=4={<1aa?43827<:i4>539~w6ge2909w0=md;074>;0>m0:9<5rs2cb>5<5s49i87<;0:?42a<6==1v>o>:18185e;38?<6386b8210=z{>?=6=4:{<64`?72<27?:l4>529>300=:=:0198l:071?81203;>:6s|51594?4|5<:m6?:?;<7`g?d43ty><;4?:3y>15c=:=:018mm:c18yv37=3:1>v3:0e8105=:=jk1n>5rs427>5<5s4?;o7<;0:?6g<;70;l8;`0?xu28;0;6?u251c9616<5<44=419>1f0=j:1v8>?:181837038?<63:c48a7>{t4ma:?2g04ma:?2f0199>733=::k01>8::31`?851=388h63<64810d=:;m<145523d`9<==:;m=1nl523e;9fd=:;mh1nl523ef9fd=:;ml1nl523d39fd=:;l91nl523d79fd=:;l=1nl526g59<==:?9o145526gc9fd=:>oi1nl526gg9fd=:?9:1nl527109fd=:?9>1nl527149fd=:?921nl5271c9fd=:>o21nl526669<==:>1h145526659fd=:>>31nl5266`9fd=:>>n1nl5266d9fd=:>1;1nl526919fd=:>1?1nl526959fd=:>>?1nl525g39<==:>92145525g69fd=:=o<1nl525g:9fd=:=ok1nl525ga9fd=:=oo1nl526129fd=:>981nl526169fd=:=o81nl5257g9<==:=1?145525639fd=:=>91nl525679fd=:=>=1nl5256;9fd=:=>h1nl5256f9fd=:=>l1nl525939fd=:=?l1nl5246g910=:<>o19;5246g91==:<>o1:95246g920=:<>o1::5246g9a5=:<>o1>9;4=55f>72134>70:<8;14b>;3;10<9o5rs434>5<5s4?:j7<;0:?50c;708;e;`0?xu29<0;6?u250f9616<5?>o6o=4}r720?6=:r7>=n4=419>21e=j:1v8?<:181836j38?<6394c8a7>{t=881<7727342k90q~;>0;296~;291098=5265:9f6=z{<8<6=4={<71b?43827=h?4m3:p170=838p187}:=;n1>9>4=7f3>g552z?66f<5<916:nh5b29~w0442909w0;=b;074>;1kl0i?6s|53094?4|5<8j6?:?;<4``?d43ty>><4?:3y>17?=:=:01;ml:c18yv3583:1>v3:298105=:>jh1n>5rs414>5<5s4?8j7<;0:?410850;0x905b2;>;709:4;`0?xu2;<0;6?u252f9616<5>?86o=4}r700?6=:r7>?n4=419>304=j:1v8=<:181834j38?<638508a7>{t=:81<772734=><7l<;|q674<72;q69>752528922a2k90q~;<0;296~;2;1098=5275g9f6=z{>;4=?0:9>523409505<5>?36<;>;<56=?72:278994>559>71`=9<901:8i:070?85283;>?6s|77594?b|5:ij6o=4=644>727349>87?:2:?423<6=:168==51468916328??70999;365>;0=>09:55235d9507<5:?=6<;=;<166?72:27<:k4>539>706=9<;0q~99a;29a~;4k00i?6386`8105=:;<>1=8=4=645>435349?j7?:2:?746<6=:168=:51418920>28?870=:0;366>;0=10:9?5274;9503<5:?=6<;;;<166?72<27<:k4>559~w0dd2909w0;mc;074>;25<5s4<8j7<;0:?602<6=91v;m=:18180d:38?<63:498215=z{>>>6=4={<571?43827>844>519~w75b2903w0=80;075>;4?8098<523609617<5:=86?:>;<140?439278;84=409>66`=:;>01>99:072?xu6lo0;6nu2332967g<58k36oo4=2f5>gg<5?l<6oo4=7g5>=><5?=?6oo4=740>=><5=><5<=><5==n617?=0?169?o5879>17d=0?169?m5879>17b=0?169?k5879>17`=0?16?8852368yv5293:15v3:098;2>;28003:63:0`8;2>;28k03:63:0b8;2>;28m03:63:0d8;2>;28o03:63<538161=z{:?86=46{<72134?:5769;<72e?>134?:n769;<72g?>134?:h769;<72a?>134?:j769;<160?45<2wx?8950;;x905?21<018=6:948905f21<018=m:948905d21<018=k:948905b21<018=i:948963?2;8?7p}<6183>7}:;?:4=246>52z?021<6=916?;;59e9~w63b2909w0=:f;364>;4><03n6s|37094?4|5:<>6l?4=240>7433ty<:o4?:3y>33g=j:16;;h52368yv1103:1>v38668a7>;0>009>95rs646>5<5s4==87l<;<552?45<2wx>8>50;0x96172k901?:4}r06g?6=:r78;?4m3:?2e1<5:=1v?;j:181850;3h870?n5;010>{t:?:1<7g5<58k=6?<;;|q127<72;q6?:;5b29>5d1=:;>0q~=;e;2951}:;k914;523c69<3=:;kn14;523cg9<3=:;kl14;523b29<3=:;j;14;523b09<3=:;j914;523b69<3=:;k?14;523c49<3=:;k=14;523c:9<3=:;k314;523cc9<3=:;kh14;523ca9<3=:;=l1>?:4=372>=0=7>53z?0f65fg=9<:0q~<:b;297~;4j=0i?63=5c8105=:9k21=8?4}r06`?6=;r78ni4m3:?11a<5<916=oo51438yv42n3:1?v3;5=o098=521ca950753z?0fc5gc=9<;0q~<93;297~;4k90i?63=628105=:9j:1=8?4}r050?6=;r78o<4m3:?121<5<916=n<51438yv41=3:1?v3;5><098=521b6950753z?0g65f0=9<;0q~<97;297~;4k=0i?63=668105=:9j21=8?4}r066?6=;r78n84m3:?117<5<916=lo51438yv42;3:1?v3;5=:098=521`a950787>53z?0f25dc=9<;0q~<:5;297~;4j10i?63=548105=:9k:1=8?4}r062?6=;r78n44m3:?113<5<916=o<51438yv42?3:1?v3;5=>098=521c6950747>53z?0fg5g0=9<;0q~<:9;297~;4jj0i?63=588105=:9m>1=8?4}r3`=?6=;r7:m54=699>5fd=90:01u21`:9672<58h<6564=0f6>=>53z?2f2<5:=16=o75899>5a0=011vbc8;<>;6l>0346s|1c`94?5|58hi6?<;;<3a`?>?34;o4767;|q2fa<72:q6=oj5236894da21201bg8161=:9j;145521ec9<==z{8i:6=4<{<3`5?45<27:o>478:?2`gc483>6}:9j?1>?:4=0a4>=><58no6564}r3`3?6=;r7:o:4=259>5d?=0116=nm5899~w4g>2908w0?n9;010>;6ik03463>ce8;<>{t9hh1<7=t=0ca>74334;jh767;<3`a?>?3ty:mi4?:2y>5db=:;>019521c39<==:9m:1455rs0`2>5<4s4;i=7<=4:?2f6h0;65u23629616<5;9m6<;9;<3b7?72:27:m94>539>5d3=9<8013;>>63>a38215=z{:549>5d5=9<;01?63>a68216=:9h<1=8=4}r15g?6=>r78;?4=419>66`=9<>01863>a68211=:9h<1=8:4}r15`?6==r78;>4=419>66`=9<901963>a78210=z{:539>5d1=9<<01o0;6>u23679616<5;9m6<;>;<3b3?7292wx?h;50;1x96c22;8?70=j7;:;?85a>3237p}6}:;l91>?:4=2g6>=><5:l>6564}r1f5?6=;r78i<4=259>7`5=0116?k:5899~w6ba2908w0=kf;010>;4m803463{t;mn1<7=t=2fg>743349oj767;<1e6?>?3ty8ho4?:2y>7ad=:;>01>jk:9:896`62120q~=k9;297~;4l009>9523e`9<==:;o:1455rs2f4>5<4s49o;7<=4:?0`<j9:307?85c?32370=je;:;?xu4m00;6>u23e4963><5:oi6<7?;<1fe?45<2wx8?950;4x91502;>;70:;3?m0:9?524449507<5=;i6?87;<636?72;2wx8?850;7x91512;>;70:?9;366>;3880:99524109504<5=936>9k;|q760<72;52528916?28?970:?0;366>;4l<0:9<5242:972e987>56z?771<5<9168=95140896`a28?970=k5;361>;3890:9>5242:972d9?7>57z?776<5<9168=851408916728??70=k5;362>;4nj0:99523gd9505<5=936>9n;|q767<72?q68><52528916228?970=i9;366>;4nk0:9<523e79504<5=936>96;|q764<72>q68>?52528916328?>70=ib;367>;4l<0:99523g:9503<5:l26<;<;<6050;:x91572;>;70:?3;361>;4nk0:9?523e79505<5:l36<;;;<1e3?729278j44>559>06>=;1=0q~:?b;291~;38k098=523df9507<5:in6<;=;<1g5?72<27?=54>549~w173290>w0:79;`0?826<38?<634353ty?=>4?:4y>045=:=:01>k9:072?85dm3;>8637`2=9<;01>mj:070?85c:3;>863;1`8211=z{=;:6=4;{<625?438278i?4>509>7a6=9<8019?n:070?xu3990;68u24029616<5:o;6<;>;<1`a?72=278h?4>549>04g=9<80q~:?f;290~;38o098=523eg9507<5:n;6<;>;<62e?7292wx8=k50;7x91>?2k9019>j:363?85ck3;>=638;360>{t<9i1<7;t=5:5>g5<5=:h6?:?;<1g539>04>=9<90q~:?a;291~;30<0i?63;0`8105=:;m;1=8?4=53;>435349nm7?:0:p043=833p19=?:948915621<019==:948915421<019=;:948915221<019=9:948915021<019?m:307?xu3<80;6?u24409f6=:<=81>?:4}r65=?6=:r7?9:4m3:?72d<5:=1v9<7:18182483h870=i7;010>{t<;31<7g5<5:l36?<;;|q76d<72;q68><5b29>7c?=:;>0q~:=b;296~;3;:0i?637}:<:<1n>5241396729j7>52z?772;38h03:63;0b8;2>;38m03:63;0d8;2>;38o03:63;118;2>;39803:63;138;2>;39:03:63;158;2>;38k03:63;4l:03:63;188;2>{t<<>1<7=t=577>72734>>97?:1:?77=<0?=1v9=6:18f824k3h870:?5;365>;38?0:9<524159507<5=:36<;>;<63=?72927??o4>509>02b=9<;019><:072?827<3;>=63;6`8214=:<:31>9>4=54`>43634>8h7?:3:p03d=83>p199k:070?821i3;>>63;6c8105=:04`=9<>019=j:072?826l3;>:63;168217=z{=2:6=4:{<6;2?43827?=k4>549>06c=9<8019?k:077?826?3;>86s|49094?3|5=2<6?:?;<62b?72;27??h4>529>04b=97p};8`83>f}:<1k1>9>4=522>43634>>97?:3:?77a<6=816?k65143896`028?970=ic;365>;39j0:9>5240f9504<5:io6<;=;<623?72=278jh4>529~w130290jw0::7;074>;3>10i?63;618;2>;3>803:63;638;2>;3>:03:63;658;2>;3><03:63;678;2>;3>>03:6s|44194?5|5=?86?:?;<64a?57n27?;h4<109~w135290?w0::2;074>;3=:0i?63;438216=:<:21;884}r6e00<`=0?168l>5879>0d7=0?168l<5879>0d5=0?168l:5879>0c1=9<90q~:;|q77c<72;q689<51408915?2:?27p};4183>7}:<=81=8?4=51;>6?f3ty?8>4?:3y>02c=jj168>6539;8yv2313:1>v3;7d8`0>;3;1085<5rs56b>5<5s4>:2wx89l50;0x911b2j<019=7:2;0?xu3{t<=l1<7fg<5=936>78;|q715<72;q68:k55c9>06>=;020q~::1;296~;3?l0>o63;3980=<=z{=>?6=4={<64a?3c34>847=7a:p013=838p199j:4g8915?2:2i7p};4783>7}:<>o19k5242:97=e?;7>52z?73`<1827??54<8e9~w12?2909w0:8e;42?8240393i6s|46694?5|5=<;6o=4=5;g>g5<5==n6km4}r641?6=;r7?:<4m3:?7=`059~w1112908w0:92;`0?82>n3h870:8e;331>{t<>=1<7=t=540>g5<5=k;6o=4=55f>4613ty?;54?:2y>032=j:168l?5b29>02c=99=0q~:89;297~;3><0i?63;a38a7>;3?l0:<55rs55b>5<4s4>=:7l<;<6b7?d434>70:<8;14<>{t727349m47?:6:?77=<4091v9o8:18082fn38?<637ce=9<<019=7:2:1?xu3i00;6>u24c39616<5:lm6<;>;<60;70:?0;365>;3;108495rs5ca>5<4s4>i?7<;0:?744<6=?168>653978yv2fk3:1?v3;b58105=:<981=8?4=51;>6>13ty?:i4?:3y>055=:;>0199j:7c8yv21m3:1>v3;058161=:<>o1:o5rs54e>5<5s4>;97<=4:?73`<1k2wx8:>50;0x91612;8?70:8e;4g?xu3?80;6?u24159672<5==n6;k4}r646?6=:r7?<54=259>02c=>o1v99<:1818271389863;7d844>{t<8o1<7=t=53e>74334>>97?:2:?77a<6=?1v>mi:181826n3;>=63579~w6`f290?w0=ib;010>;4nj0:98523gd9502<5=:;6<;:;|q0`1<72:q6?i;52368916628?>70:?2;361>{t;on1<7;t=2df>743349m57?:5:?0bc<6=<168=>51448916528??7p}d}:;m91>9>4=522>43434>>:7?:2:?77a<6=<16?k65141896`028?870=ic;367>;39j0:9;5240f9505<5:ln6<;=;|q70<6=:;>0q~:j6;2952}:9>4=5d7>43334>3i7?:3:?7;3jj0:98524cf9502<5=i;6<;:;<6`5?72<27?o94>549>0f3=9<>019m7:076?82d13;>863;cb8210=:43234>o=7?:4:?7ac<6=<168k>5146891d>28?>70:ma;360>{tg5<5=l:6?<;;|q70dc=0?168lh5879>0g6=0?168o?5879>0g4=0?168o=5879>0g2=0?1685j52368yv2e>3:1?v3;dc8a7>;3im0i?63;8d8161=z{=h<6=4<{<6gg?d434>ji7l<;<6a6}:524c29f6=:?:4}r6`7?6=;r7?hk4m3:?7f4{tg5<5=h86o=4=5af>7433ty?ok4?:2y>0`4=j:168o:5b29>0a4=:;>0q~:j3;296~;3mh0i?63;938161=z{=o?6=4={<6ff?d434>2?7<=4:p0`3=838p19kl:c1891?32;8?7p};f283>7}:524g69672m>7>53z?7b7<5<91684?5140891`728?:7p};f483>6}:9>4=5d7>43534>m;7?:2:p0a5=83>p19jm:363?82?m3;>=63;b88213=:0ge=9<<019lk:076?82ei3;>=6s|4e794?2|5=no6?:?;<6a`?72927?o=4>579>0f7=9;70:l5;365>;3k10:9;524b;9503o47>54z?7a5<5<9168n75143891ed28?=70:ld;361>{t72734>hh7?:1:?7`5<6=?168i?51478yv2ci3:18v3;e38105=:43134>m<7?:5:p0`1=83;3w0:jb;074>;3190:9?524g69505<5=2n6<;;;<6;`?72<27?594>529>0<4=9<>0197<:071?82ek3;>>63;be8217=:43534>h87?:2:?7g0<6=;168n65140891e>28?970:lc;366>;3km0:9?524e29504<5=n:6<;=;<6fb?72:27?j=4>539>0g?=9<8019ln:071?xu3m10;6<6t=5g`>72734>2<7?:3:?7b1<6=<1685k5147891>c28?870:64;365>;31;0:9>524819502<5=hh6<;>;<6a`?72;27?o=4>509>0f7=9<9019m;:072?82d=3;>?63;c98214=:43634>hh7?:3:?7`5<6=8168i?5141891ca28?:70:i0;367>;3j00:9<524cc9505n57>511y>0`b=:=:0197?:072?82a<3;>=63;8d8217=:<1n1=8<4=5;7>43534>2>7?:2:?7=6<6=:168ok5143891e528?:70:l6;365>;3kh0:9<524bg9507<5=n96<;>;<6e5?72927?n54=699~w1d22909w0:m5;074>;3180:9<5rs5d5>5m87?:6:?7ff<6==168n>5146891e328??70:l8;360>;3kj0:99524e29502<5=om6<;;;<6e2?43827?j:4>509>0g?=9<>0q~:6c;297~;3i=098=524839505<5=om6<;<;|q7=0<72;q684j5252891d>28?87p};9783>7}:<0o1>9>4=5``>4343ty?5:4?:3y>0<`=:=:019m?:070?xu3110;6?u24`29616<5=i?6<;<;|q7=<<72;q68l?5252891e?28?87p};9`83>7}:9>4=5a`>4343ty?5o4?:3y>0d5=:=:019j?:070?xu1mh0;6?u26dc9672<5>9<6<;=;|q5b5<72;q6:k>5236893cf2;<37p}9f483>7}:>o?1>?:4=7gb>gg52z?5a<<5:=16:ho5899~w3ca2909w08jf;010>;1m009:55rs7d7>5<5s409>9526d:9<==z{?oo6=4={<4f`?45<27=i:4=699~w3`52909w08i2;010>;1m>0im6s|6d494?4|5?o=6?<;;<4f3?>?3ty=io4?:3y>2`0=:?201;kl:307?xu1n80;6?u26d49fd=:>o<1>?:4}r4e346=011v:>n:180817i3898639f98;<>;08o0346s|71:94?5|5>:36?<;;<53e?>?34=:m767;|q443<72:q6;=852368926?21201:?6:9:8yv17<3:1?v38058161=:?9<1455270:9<==z{>:96=4<{<536?45<27<<9478:?45250;1x92672;8?709?2;:;?816>3237p}9fd83>6}:>oo1>?:4=623>=><5>;>6564}r4eg?6=;r7=jn4=259>2cc=0116;<:5899~w3`f2908w08ia;010>;1nj034638128;<>{t>o=1<7=t=7d4>74334?3ty<2c1=:?201:>j:0;3?817l38986s|6d394?4|5?o96?<;;<503?72;2wx;9650;:x92372;>;708ka;360>;1lj0:98526eg9500<5?o>6<;=;<4f0?72927=hk4>579>2`4=9529>2`2=9<801;ji:076?80b:3;>:639e28215=z{>;o6=4={<50g?d434=:<7<=4:p34e=838p1:=m:c18926a2;8?7p}82783>7}:?=>1n>5270c967252z?406>:6o=4=634>7433ty<>?4?:3y>316=j:16;<852368yv1593:1>v383g8a7>;09<09>95rs603>5<5s4=8i7l<;<520?45<2wx;?:4}r52f?6=:r7{t>m<1<7=t=7d1>43334?639ed8214=:>l>1>?:4}r4g4>559>2`c=9<901;k::307?xu1l00;6>u26g69505<5?om6<;>;<4ge?45<2wx:il50;1x93`328??708jf;367>;1lj09>95rs7fg>5<4s4o:1=8=4=7fe>7433ty=h>4?:07x922b21<01::i:948923721<01:;>:948923521<01:;<:948923321<01:;::948925>2;>:709;0;m098<5272g9617<5>9m6?:>;<574?43927<8<4=409>314=:=;01::<:362?813<38?=6383c8104=:?:i1>9?4=7f7>7433ty<8;4?:2y>31c=:=:01;h=:070?80bl3;>=6s|75;94?1|5>?:6?:?;<4ge?72:27=hn4>529>2ac=9<>01;k::072?80cn3;>=639e38211=z{>>j6=4:{<566?43827=hl4>509>2ae=9<801;jj:070?80b83;>=6s|75`94?2|5>?86?:?;<4gg?72927=hh4>509>2`6=9<80q~9;c;290~;0==098=526eg9504<5?nm6<;<;<4f6?7292wx;9j50;1x92322;>;708kf;366>;1m;0:9>5rs60a>5<3s4=857<;0:?5af<6=816:k851408926c28?;7p}82d83>1}:?:k1>9>4=7g`>43534ln1=8<4=7dg>4363ty36c=:=:01;h=:072?80bl3;>8639fg8214=:?:21=8<4}r505?6=>r72c5=9<801;kj:071?80c<3;>9638008214=:?:21=8=4}r506?6=>r7<8=4=419>2c5=9<;01;kj:077?80c<3;>8638028214=:?:21=8:4}r507?6=>r7<8<4=419>2c2=9<801;ki:071?80c<3;>>638048214=:?:21=8;4}r500?6=>r7<8?4=419>2c2=9<;01;ki:077?80c<3;>?638068214=:?:21=884}r501?6=>r7<8>4=419>2c3=9<801;h?:071?80c=3;>>638088214=:?:=1=8;4}r502?6=>r7<894=419>2c3=9<;01;h?:077?80c=3;>=6380c8214=:?:21=8?4}r51g?6=2a3=9<901;h6:072?814?3;>:6s|73f94?2|5>9h6?:?;<4g0?72>27<=<4>509>361=9<;0q~897;296~;1>>09>9526c6950452z?52a<5:=16:;9527:8yv00:3:1>v39738161=:>?=1nl5rs745>5<5s4<=:7<=4:?522{t>>;1<774334<=:7ln;|q520<72;q6:;;5236893012120q~89b;296~;1>k09>952677963>52z?535<5:=16:;;5b`9~w3032909w0894;010>;1><0346s|67c94?4|5?:0;6?u26719672<5?4=699>23?=:;>0q~89e;296~;1>:0im639728161=z{?=>6=4={<441?45<27=4i478:p2=1=839p1;68:307?800=3237087c;:;?xu10<0;6>u26979672<5?2<6564=7;4>=>53z?5<6<5:=16:5;5899>2<0=011v;6>:18080?93898639828;<>;11<0346s|66d94?5|5?=m6?<;;<4;5?>?34<28767;|q53a<72:q6::j52368931a21201;7<:9:8yv00j3:1?v397c8161=:>>n145526809<==z{?=26=4<{<44=?45<27=;o478:?5=483237p}97583>6}:>>>1>?:4=754>=><5?2m6564}r4;=?6=;r7=;94=699>2=d=90:01;6n:307?xu1=l0;6?u264d9672<5?h?6<;<;|q5g0<721q6:nj52528933028??708:9;361>;1=k0:9;526709504<5?<:6<;>;<46g?72>27=9k4>549~w3e32902w08lc;074>;1=>0:9>5264;9502<5??i6<;:;<456?72;27=:<4>539>20e=9<6s|68c94?4|5?h26o=4=7:g>7433ty=544?:3y>2g>=j:16:5m52368yv0f;3:1>v39c08a7>;11>09>95rs7c1>5<5s40>1>?:4}r4:b?6=:r7=ni4m3:?5=6<5:=1v;7j:18180ek3h870862;010>{t>0n1<7g5<5?3:6?<;;|q5=f<72;q6:oo5b29>2<6=:;>0q~86b;296~;1j>0i?6398g8161=z{?336=4={<4a2?d434<3n7<=4:p2g2=838p1;m=:c1893d32;8?7p}95283>6}:>?l1=8:4=74b>43434<=<7<=4:p202=839p1;9?:070?801j3;>=639608161=z{??>6=4<{<444?72<27=:o4>529>234=:;>0q~8:6;297~;1?80:9>5267a9507<5??<6?<;;|q51=<72:q6::?51468930d28?8708:9;010>{t>43434<=h7?:1:?51g<5:=1v;;l:180800:3;>86396e8216=:>?:4}r464?6=92fe=0?16:nj5879>2fc=0?16:nh5879>2a6=0?16:i?5879>2a4=0?16:o85253893d02;>:708ma;075>;1jk098<526ca9617<5?ho6?:>;<4aa?43927=nk4=409>2f6=:=;01;m>:362?80e038?=639b88104=:><;1>?:4}r4`7?6=;r7=oo4=419>23`=9<901;8n:072?xu1k?0;6:u26bg9616<5??<6<;=;<46=?72;27=9o4>559>234=9<;01;;l:072?802n3;>86s|6b594?3|5?im6?:?;<463?72927=944>539>20d=9<901;;k:072?xu1k10;69u26e29616<5??26<;>;<46f?72927=9i4>539~w3e>290?w08k1;074>;1=k0:9?5264a9505<5??m6<;>;|q5gd<72:q6:i<52528933d28?9708:f;367>{t>h21<7:t=7`5>72734<=57?:1:?536<6=;16:5o51428yv0fj3:18v39b68105=:>?31=8<4=750>43634<<47?:1:p2de=83>p1;ln:363?801n3;>>6396`8217=:>>k1=8?4}r4b`?6==r7=no4=419>23`=9<;01;8n:077?800k3;>=639b48217=z{?kn6=49{<4ag?43827=;=4>539>23d=9<801;;>:076?800m3;>=639b48216=z{?km6=49{<4a`?43827=;=4>509>23d=9<>01;;>:077?80?83;>=639b48211=z{?h;6=49{<4aa?43827=;<4>539>23e=9<801;;>:071?80?:3;>=639b48210=z{?h:6=49{<4ab?43827=;<4>509>23e=9<>01;;>:070?80?<3;>=639b48213=z{?h96=49{<4`4?43827=;?4>539>23b=9<801;;=:071?80?>3;>=639b58210=z{?h86=49{<4`5?43827=;?4>509>23b=9<>01;;=:072?80?03;>=639b48214=z{?k26=4;{<4a529>220=9<;01;l;:075?xu1ih0;69u26c;9616<5??:6<;9;<4;a?72927=n94>509~w0c32909w0;j4;010>;1;80:9?5rs4gb>5<5s4?nm7<=4:?6a1<5>11v8ki:18183bn389863:e58ae>{t=l91<774334?n8767;|q6a<<72;q69h75236890c42;<37p}:ed83>7}:=lo1>?:4=4g0>gg7>52z?6a7<5:=169h=5899~w0c?2909w0;j8;010>;2m;09:55rs4gg>5<5s4?nh7<=4:?6a70;6?u25d59672<59525d39<==z{6=4={<7f4?41027>i;4=259~w0ce2909w0;j0;`b?83a838986s|5g094?4|5?3ty=<94?:2y>252=:;>018h=:9:8936>2120q~8?2;297~;18;09>9526169<==:>8>1455rs723>5<4s4<;<7<=4:?547478:p1cc=839p18hj:307?8078323708>2;:;?xu2nj0;6>u25ga9672<5=>53z?6bd<5:=169km5899>246=011v8h7:18083a0389863:f`8;<>;18o0346s|5g494?5|5?34<;i767;|q6b1<72:q69k:5236890`121201;>k:9:8yv3a93:1?v3:f08161=:=o>1455261a9<==z{?:=6=4<{<7e5?41027=<54>919>251=:;>0q~;kb;296~;2lj09>95262395057>58z?50d<5<9169i:5146890b128?>70;k8;362>;2lo0:9?525eg9507<52;>;70;k4;367>;2l?0:99525e:9503<5h44>549>1ae=9<<018jk:073?xu19>0;6?u26249f6=:>9k1>?:4}r422?6=:r7=?84m3:?54<<5:=1v;4;010>{t>8l1<7g5<5?;86?<;;|q55`<72;q6:>m5b29>244=:;>0q~8>d;296~;1;k0i?639108161=z{?;h6=4={<40e?d434<:<7<=4:p24d=838p1;=6:c18936a2;8?7p}91`83>7}:>:21n>5261g967252z?5727433ty=?<4?:3y>26`=j:16:>?52368yv3c83:1?v3:eb8211=:=l=1=8=4=4fg>7433ty>h<4?:2y>1`b=9<9018k7:072?83cm38986s|5e094?5|5hk4=259~w0b42908w0;je;367>;2m00:9<525e6967253z?6a`<6==169h75141890b12;8?7p}:d683>6}:=ll1=8=4=4gb>43634?o47<=4:p1a?=839p18ki:077?83bi3;>?63:d88161=z{5z?50=27=84476:?50d27=8o476:?50f27=8i476:?50`27=8k476:?576<5<816:>:5253893502;>:708<8;075>;1;0098<5262c9617<5?9i6?:>;<40g?43927=?i4=409>26c=:=;01;=::362?804>38?=63:cd8161=z{?>;6=4<{<47in4>529>1`1=9<;0q~8;3;293~;1hk4>509>1a?=9<;018jl:077?xu1<=0;68u265a9616<5;<7g2?72:27>h54>529>1ag=9<;0q~8;5;290~;1;<7ge?72:2wx:9850;6x932b2;>;70;k8;366>;2l00:9>525ea950753z?50c<5<9169i75140890bd28?87p}92483>1}:>:91>9>4=4g5>43634?m<7?:2:?542<6=91v;<7:187804<38?<63:e78217=:=o:1=8?4=4d6>4363ty=>44?:5y>261=:=:018kl:071?83b?3;>>63:f68214=z{?8j6=4:{<40in4>509>1`1=9<>018h6:072?804:3;>>6s|63`94?0|5?926?:?;<7f`?72:27>i54>539>1fc=9?6s|63a94?0|5?9j6?:?;<7f`?72927>i54>559>1fc=9<>018hk:072?804:3;>86s|63f94?0|5?9i6?:?;<7fa?72:27>i44>539>1fc=9<8018hi:072?804:3;>96s|63g94?0|5?9h6?:?;<7fa?72927>i44>559>1fc=9<901;>>:072?804:3;>:6s|63d94?0|5?9o6?:?;<7fb?72:27>il4>539>1f`=9<801;><:072?80493;>96s|62294?0|5?9n6?:?;<7fb?72927>il4>559>1f`=9<;01;>::072?804:3;>=6s|63494?2|5?9>6?:?;<7`b?72;27>j>4>509>267=9<<0q~8=7;290~;1;?098=525bg9500<5?:i6<;>;<405?7292wx9;?50;0x90062;8?70;ne;366>{t=?=1<774334?==7<98:p13e=838p188l:307?83193hj7p}:6183>7}:=?:1>?:4=442>=>52z?623<5:=169;>527:8yv31j3:1>v3:6c8161=:=?:1nl5rs47e>5<5s4?>j7<=4:?625{t=?k1<774334?>j7ln;|q61`<72;q698k52368903a2120q~;94;296~;2>=09>95254g963>52z?62<<5:=1698k5b`9~w03c2909w0;:d;010>;2=l0346s|57094?4|5o0;6?u257d9672<5<2<6564}r7;5?6=;r7>4<4=259>13`=01169585899~w01a2908w0;8f;010>;20803463:908;<>{t=>n1<7=t=45g>74334??3ty>;o4?:2y>12d=:;>0189k:9:890>a2120q~;89;297~;2?009>95256`9<==:=1o1455rs454>5<4s4?<;7<=4:?63<4i478:p123=839p189::307?830?32370;7c;:;?xu2?:0;6>u25619672<5<=>6564=4:a>=>53z?634<5:=169:=5899>1=g=011v88j:180831m389863:708;<>;2000346s|59194?5|5<827>494=259~w03?2909w0;:9;010>;2il0:9>5rs4`e>5;2=k0:9<525449500<5;2=<0:985254a9505<5944>579>10g=9<:0q~;64;296~;2j:0i?63:868161=z{<386=4={<7a6?d434?3:7<=4:p17}:=kk1n>52582967252z?6f<4k4=259~w0?f2909w0;m8;`0?83?m38986s|58;94?4|57433ty>554?:3y>1g0=j:1695m52368yv3>?3:1>v3:b48a7>;20k09>95rs4;5>5<5s4?i87l<;<7;e?45<2wx94;50;0x90d62k901866:307?xu21;0;6?u25c29f6=:=1?1>?:4}r7ba?6=:r7>nn4m3:?6e`<5:=1v8:k:18083113;>863:658216=:=?:4}r77a?6=;r7>:l4>529>133=9<;018;m:307?xu2u257c9502<5<<>6<;<;<76g?45<2wx98>50;1x900e28?870;96;365>;2=809>95rs471>5<4s4?=n7?:4:?623<6=:1698=52368yv32<3:1?v3:6b8216=:=?=1=8?4=476>7433ty>9;4?:2y>13e=9<>01888:070?832>38986s|55c94?72s4?h9769;<7`2?>134?h;769;<7`134?h5769;<7`e?>134?hn769;<7`g?>134?i<7<;1:?6f4<5<8169o:5253890d22;>:70;m6;075>;2j>098<525c:9617<5;<7ae?43927>no4=409>1g4=:=;018l<:362?833j38986s|5cf94?5|56?:?;<75=?72;27>:94>509~w0e7290;2=80:9?525419505<56<;;;<76g?72927>9;4>509>10?=9<>0q~;l1;291~;2k0098=525439507<59:4>509~w0e5290?w0;la;074>;2=:0:9<525479507<5;2=00:9<5rs4a7>5<4s4?ho7<;0:?613<6=;1698751418yv3f:3:18v3:b18105=:=?91=8?4=44g>43534?387?:0:p1d3=83>p18l>:363?831;3;>>63:6e8214=:=>81=8?4}r7b2?6=n94=419>13?=9<80188;:071?830<3;>=6s|5`594?3|56?:?;<75=?72927>:94>559>120=9<;018oi:071?xu2i10;6;u25c49616<5<8o4>549>12>=9<;018oi:070?xu2i00;6;u25c59616<5<;<751?72<27>8o4>559>12g=9<;018oi:077?xu2ih0;6;u25c:9616<5<8o4>539>12e=9<;018oi:076?xu2ik0;6;u25c;9616<5<;<752?72<27>8o4>529>12c=9<;018oi:075?xu2ij0;6;u25cc9616<5<8n4>539>1=6=9<;018oj:076?xu2im0;6;u25c`9616<5<;<753?72<27>8n4>509>1=4=9<;018oi:072?xu2i:0;69u25c09616<5<>h6<;<;<744?72927>mh4>579~w0g3290?w0;m3;074>;2;|q42f<72;q6;;m52368920a28?>7p}86e83>7}:??n1>?:4=653>4363ty<:h4?:3y>33c=:;>01:9?:071?xu3jj0;6?u24ca9672<5=hn6<;=;|q7fa<72;q68oj5236891db28?87p};c183>7}:?:4=5a1>4353ty?o<4?:3y>0f7=:;>019m=:070?xu3k=0;6?u24b69672<5=i=6<;=;|q7g0<72;q68n;5236891e128?87p};c983>7}:?:4=5ab>4353ty?o44?:3y>0f?=:;>019mn:070?xu3kj0;6?u24ba9672<5=in6<;=;|q7ga<72;q68nj5236891eb28?87p};d183>7}:?:4=5f1>4353ty?h<4?:3y>0a7=:;>019j=:070?xu3mo0;6?u24dd9672<5=l:6<;=;|q7b5<72;q68k>5236891`628?87p}>e983>6}:>l:1>?:4=7fe>433347?:2:p5c5=838p1;j::307?80c<3;>=6s|1d394?5|5??o6?<;;<46g?72<27=9k4>539~w4c22909w08:2;010>;1=80:9<5rs0g4>5<4s4?om7<=4:?6`<<6==169im51408yv7b13:1>v3:cg8161=:=jo1=8?4}r3fe?6=;r7>9:4=259>100=9<>018;6:071?xu6mk0;6?u255a9672<5<>i6<;>;|q41f<72:qU;8m4=67g>43734=>o7<=b:p337=839pR:8>;<556?72827<:<4=2c9~w1`d2908wS:ic:?7ba<6=9168km523`8yv33=3:1?vP:449>11?=:;>018:::30a?xu2<:0;6>uQ5518902?2;8?70;;3;01f>{t==81<7=t^461?833?389863:43816g=z{<>:6=4<{_775>;2952553967d>97>52z?711919~w4df2908w0?ma;010>;6j009:5521e495<653z?2ff<5:=16=ol527:894b0283;7p}>bd83>6}:9ko1>?:4=0`g>70?34;o47?60:p5f6=839p1d882=5=z{8i96=4<{<3`6?45<27:o<4=699>5ag=90:0q~?l4;297~;6k=09>9521b1963><58ni6<7?;|q2g3<72:q6=n85236894e22;<370?kc;3:4>{t9j21<7=t=0a;>74334;h;7<98:?2`a<6191va8812==:9ji1=4>4}r3bg?6=;r7:mn4=259>5dd=:?201u21`g9672<58ko6?87;<3`a?7>82wx=o>50;1x94d72;8?70?nf;05<>;6ko0:5=5rs0`1>5<4s4;i>7<=4:?2f4<5>116=i>51828yv7e<3:1?v3>b58161=:9k91>;64=0f2>4?73ty:n;4?:2y>5g0=:;>01919~w6c12908w0=j6;010>;4m<09:5523g795<653z?0a1<5:=16?h=527:896`3283;7p}6}:;l81>?:4=2g2>70?349m?7?60:p7`6=839p1>k?:307?85cn38=4637c7=90:0q~=kc;297~;4lj09>9523e`963><5:l;6<7?;|q0`d<72:q6?io5236896b>2;<370=jf;3:4>{t;m21<7=t=2f;>743349o;7<98:?0a`<6191v;h6:18080a13898639f9812==:?9l1=4>4}r53f?6=;r7<35g=:?201:?n:0;3?xu0800;6>u271;9672<5>:36?87;<52=?7>82wx;=950;1x92602;8?709?6;05<>;0910:5=5rs626>5<4s4=;97<=4:?441<5>116;<951828yv17;3:1?v38028161=:?981>;64=635>4?73ty<<<4?:2y>357=:;>01:>?:34;?816=3;2<6s|6gd94?5|5?lm6?<;;<4ea?41027<=94>919~w3`c2908w08id;010>;1nj09:55270195<653z?5bg<5:=16:ko527:89275283;7p}97783>6}:>><1>?:4=756>70?34<3o7?60:p2=>=839p1;67:307?80??38=46399682=5=z{?2=6=4<{<4;2?45<27=484=699>2<0=90:0q~874;297~;10=09>952691963><5?3>6<7?;|q5<7<72:q6:5<5236893>62;<370864;3:4>{t>1:1<7=t=7:3>74334<081=4>4}r44g?6=;r7=;n4=259>22d=:?201;7>:0;3?xu1?h0;6>u266c9672<5?=26?87;<4:4?7>82wx::650;1x931?2;8?70887;05<>;10o0:5=5rs4d0>5<4s4?m?7<=4:?6b7<5>116:=751828yv07=3:1?v39048161=:>9>1>;64=737>4?73ty=<>4?:2y>255=:;>01;>=:34;?806;3;2<6s|61394?5|5?::6?<;;<434?41027==?4>919~w0`a2908w0;if;010>;2nl09:55260395<653z?6ba<5:=169km527:89377283;7p}:fc83>6}:=oh1>?:4=4db>70?34<;j7?60:p1c?=839p18h6:307?83a038=46390d82=5=z{j;4=699>25b=90:0q~;i5;297~;2n<09>9525g6963><5?:h6<7?;|q635<72:q69:>52368900a2;<370;76;3:4>{t=181<7=t=4:1>74334?3=7<98:?6=4<6191v86?:18083?8389863:7g812==:=0:1=4>4}r74a?6=;r7>;h4=259>12b=:?20186i:0;3?xu2?j0;6>u256a9672<5<=i6?87;<7;a?7>82wx9:o50;1x901f2;8?70;89;05<>;20m0:5=5rs45;>5<4s4?<47<=4:?632<5>11695m51828yv30>3:1?v3:778161=:=>?1>;64=4:a>4?73ty>;94?:2y>122=:;>0189<:34;?83?i3;2<6s|56094?5|5<=96?<;;<745?41027>444>919~w4b32909w0?k4;010>;6l:0:5=5rs2gg>5<5s49nh7<=4:?0af<6191v:?>:181816938986381182=5=z{?2n6=4={<4;a?45<27=4i4>919~w36e2909w08?b;010>;18h0:5=5rs4:;>5<5s4?347<=4:?6<2<6191v>99:18185103h870=86;010>{t?<=1<7g5<5>?<6?<;;|q7b2<72;q68k85b29>0c1=:;>0q~:9c;296~;3>k0i?63;6b8161=z{8oo6=4={<065?d434;hn7<=4:p5`c=838p1?;m:c1894b22;8?7p}>eg83>7}::521e4967252z?11c7433ty:j94?:3y>632=j:16=io52368yv7a=3:1>v3=648a7>;6lk09>95rs0d5>5<5s48=:7l<;<3gg?45<2wx=k950;0x97002k901?:4}r3e=?6=:r799>4m3:?2ga<5:=1v{t9oh1<7g5<58im6?<;;|q2bf<72;q6>885b29>5a6=:;>0q~?id;296~;5=>0i?63>d08161=z{8lm6=4={<067<=4:p656=838p17}::<31n>521e196727>52z?74d7433ty9<84?:3y>05c=j:16?k>52368yv47>3:1>v3;0g8a7>;4n809>95rs324>5<5s4>:<7l<;<1e6?45<2wx>=650;0x91762k901>h<:307?xu58h0;6?u24009f6=:;o>1>?:4}r03f?6=:r7?=>4m3:?0b0<5:=1v?>l:181826<3h870=i6;010>{t:9n1<7743349no767;|q14`<72;q68=l5b29>7`e=:;>0q~9523bg9500<5:n96<;>;|q155<72;q6?nh5b29>7fc=:;>0q~<>1;296~;4l:0i?63559~w7752909w0=k1;010>;4l;0:9;5rs330>5<5s4>>:7<=4:?710<6=<1v??::18182613h870:>8;010>{t:8<1<774334>8h7?:4:p641=838p19?n:307?82603;>:6s|20:94?4|5>=;6?<;;<55b?72>2wx><750;0x925?2;8?709<7;360>{t:8k1<77433486s|20a94?4|5;5=m03:63=5g8;2>;5>803:63=628;2>;5>=03:63=648;2>;5>?03:63=668;2>;5=;03:63=528;2>;5==03:63=548;2>;5=?03:63=568;2>;5=103:63=588;2>{t:8n1<774334=>;7?:1:p64c=838p1:;6:307?812?3;>>6s|20d94?4|5=h26?<;;<6a{t9l91<774334>:n7?:1:p5`2=838p19?k:307?826j3;>>6s|37:94?5|5:<36?:?;<15=?728278;;4>539~w23c290nw09:d;010>;0=l0:9=5249c9f6=:<8=1=8?4=522>43534>>97?:4:?77a<6=;16?k65140896`028??70=ic;366>;39j0:9?5240f9507<5:io6<;>;<1ea?7292wvb<=8d;295~N48h1B>?l51z39y_4>;3;p?;95}[37`?4|:091=4=5}|l272c=83;pD>>n;|l272`=83;pD>>n;|l27=6=83;pD>>n;|l27=7=838pD>>n;|l27=4=83;pD>>n;|l27=5=83;pD>>n;|l27=2=83;pD>>n;|l27=3=83;pD>>n;|l27=0=83;pD>>n;|l27=1=83;pD>>n;|l27=>=83;pD>>n;|l27=?=83;pD>>n;|l27=g=83;pD>>n;H01f?7|;3wQ=9j51z3:7?{zf893n7>51zJ04d=zf893o7>51zJ04d=zf893h7>51zJ04d=zf893i7>51zJ04d=zf893j7>51zJ04d=zf892<7>51zJ04d=zf892=7>51zJ04d=zf892>7>51zJ04d=zf892?7>51zJ04d=zf89287>51zJ04d=zf89297>51zJ04d=zf892:7>51zJ04d=zf892;7>51zJ04d=zf89247>51zJ04d=zf89257>51zJ04d=zf892m7>51zJ04d=zf892n7>51zJ04d=zf892o7>51zJ04d=zf892h7>51zJ04d=zf892i7>51zJ04d=zf892j7>51zJ04d=zf89j<7>51zJ04d=zf89j=7>51zJ04d=zf89j>7>51zJ04d=zf89j?7>51zJ04d=zf89j87>51zJ04d=zf89j97>51zJ04d=zf89j:7>51zJ04d=zf89j;7>51zJ04d=zf89j47>51zJ04d=zf89j57>51zJ04d=zf89jm7>51zJ04d=zf89jn7>53zJ04d=zf89jo7>51zJ04d=zf89jh7>51zJ04d=zf89ji7>51zJ04d=zf89jj7>51zJ04d=zf89i<7>51zJ04d=zf89i=7>51zJ04d=zf89i>7>51zJ04d=zf89i?7>51zJ04d=zf89i87>51zJ04d=zf89i97>51zJ04d=zf89i:7>51zJ04d=zf89i;7>51zJ04d=zf89i47>51zJ04d=zf89i57>51zJ04d=zf89im7>51zJ04d=zf89in7>51zJ04d=zf89io7>51zJ04d=zf89ih7>51zJ04d=zf89ii7>51zJ04d=zf89ij7>51zJ04d=zf89h<7>51zJ04d=zf89h=7>51zJ04d=zf89h>7>51zJ04d=zf89h?7>51zJ04d=zf89h87>51zJ04d=zf89h97>51zJ04d=zf89h:7>51zJ04d=zf89h;7>51zJ04d=zf89h47>51zJ04d=zf89h57>51zJ04d=zf89hm7>51zJ04d=zf89hn7>51zJ04d=zf89ho7>51zJ04d=zf89hh7>51zJ04d=zf89hi7>51zJ04d=zf89hj7>51zJ04d=zf89o<7>51zJ04d=zf89o=7>51zJ04d=zf89o>7>51zJ04d=zf89o?7>51zJ04d=zf89o87>51zJ04d=zf89o97>51zJ04d=zf89o:7>51zJ04d=zf89o;7>51zJ04d=zf89o47>51zJ04d=zf89o57>51zJ04d=zf89om7>51zJ04d=zf89on7>51zJ04d=zf89oo7>51zJ04d=zf89oh7>51zJ04d=zf89oi7>51zJ04d=zf89oj7>51zJ04d=zf89n<7>51zJ04d=zf89n=7>51zJ04d=zf89n>7>51zJ04d=zf89n?7>51zJ04d=zf89n87>51zJ04d=zf89n97>51zJ04d=zf89n:7>51zJ04d=zf89n;7>51zJ04d=zf89n47>51zJ04d=zf89n57>51zJ04d=zf89nm7>51zJ04d=zf89nn7>51zJ04d=zf89no7>51zJ04d=zf89nh7>51zJ04d=zf89ni7>51zJ04d=zf89nj7>51zJ04d=zf89m<7>51zJ04d=zf89m=7>51zJ04d=zf89m>7>51zJ04d=zf89m?7>51zJ04d=zf89m87>51zJ04d=zf89m97>51zJ04d=zf89m:7>51zJ04d=zf89m;7>51zJ04d=zf89m47>51zJ04d=zf89m57>51zJ04d=zf89mm7>51zJ04d=zf89mn7>51zJ04d=zf89mo7>51zJ04d=zf89mh7>51zJ04d=zf89mi7>51zJ04d=zf89mj7>51zJ04d=zf8>;<7>51zJ04d=zf8>;=7>51zJ04d=zf8>;>7>51zJ04d=zf8>;?7>51zJ04d=zf8>;87>51zJ04d=zf8>;97>51zJ04d=zf8>;:7>51zJ04d=zf8>;;7>51zJ04d=zf8>;47>51zJ04d=zf8>;57>51zJ04d=zf8>;m7>51zJ04d=zf8>;n7>51zJ04d=zf8>;o7>51zJ04d=zf8>;h7>51zJ04d=zf8>;i7>51zJ04d=zf8>;j7>51zJ04d=zf8>:<7>51zJ04d=zf8>:=7>51zJ04d=zf8>:>7>51zJ04d=zf8>:?7>52zJ04d=zf8>:87>52zJ04d=zf8>:97>52zJ04d=zf8>::7>52zJ04d=zf8>:;7>52zJ04d=zf8>:47>52zJ04d=zf8>:57>52zJ04d=zf8>:m7>51zJ04d=zf8>:n7>51zJ04d=zf8>:o7>51zJ04d=zf8>:h7>51zJ04d=zf8>:i7>51zJ04d=zf8>:j7>51zJ04d=zf8>9<7>51zJ04d=zf8>9=7>51zJ04d=zf8>9>7>51zJ04d=zf8>9?7>51zJ04d=zf8>987>51zJ04d=zf8>997>51zJ04d=zf8>9:7>51zJ04d=zf8>9;7>51zJ04d=zf8>947>51zJ04d=zf8>957>51zJ04d=zf8>9m7>51zJ04d=zf8>9n7>51zJ04d=zf8>9o7>51zJ04d=zf8>9h7>51zJ04d=zf8>9i7>51zJ04d=zf8>9j7>51zJ04d=zf8>8<7>51zJ04d=zf8>8=7>51zJ04d=zf8>8>7>51zJ04d=zf8>8?7>52zJ04d=zf8>887>51zJ04d=zf8>897>51zJ04d=zf8>8:7>51zJ04d=zf8>8;7>51zJ04d=zf8>847>51zJ04d=zf8>857>51zJ04d=zf8>8m7>51zJ04d=zf8>8n7>51zJ04d=zf8>8o7>51zJ04d=zf8>8h7>51zJ04d=zf8>8i7>52zJ04d=zf8>8j7>51zJ04d=zf8>?<7>51zJ04d=zf8>?=7>52zJ04d=zf8>?>7>52zJ04d=zf8>??7>52zJ04d=zf8>?87>52zJ04d=zf8>?97>52zJ04d=zf8>?:7>52zJ04d=zf8>?;7>52zJ04d=zf8>?47>52zJ04d=zf8>?57>52zJ04d=zf8>?m7>52zJ04d=zf8>?n7>52zJ04d=zf8>?o7>52zJ04d=zf8>?h7>52zJ04d=zf8>?i7>52zJ04d=zf8>?j7>52zJ04d=zf8>><7>52zJ04d=zf8>>=7>52zJ04d=zf8>>>7>51zJ04d=zf8>>?7>51zJ04d=zf8>>87>51zJ04d=zf8>>97>51zJ04d=zf8>>:7>51zJ04d=zf8>>;7>51zJ04d=zf8>>47>51zJ04d=zf8>>57>51zJ04d=zf8>>m7>51zJ04d=zf8>>n7>52zJ04d=zf8>>o7>52zJ04d=zf8>>h7>52zJ04d=zf8>>i7>52zJ04d=zf8>>j7>52zJ04d=zf8>=<7>52zJ04d=zf8>==7>52zJ04d=zf8>=>7>52zJ04d=zf8>=?7>51zJ04d=zf8>=87>51zJ04d=zf8>=97>51zJ04d=zf8>=:7>51zJ04d=zf8>=;7>51zJ04d=zf8>=47>51zJ04d=zf8>=57>51zJ04d=zf8>=m7>51zJ04d=zf8>=n7>51zJ04d=zf8>=o7>51zJ04d=zf8>=h7>51zJ04d=zf8>=i7>51zJ04d=zf8>=j7>51zJ04d=zf8><<7>51zJ04d=zf8><=7>51zJ04d=zf8><>7>51zJ04d=zf8>51zJ04d=zf8><87>51zJ04d=zf8><97>51zJ04d=zf8><:7>51zJ04d=zf8><;7>51zJ04d=zf8><47>51zJ04d=zf8><57>51zJ04d=zf8>51zJ04d=zf8>51zJ04d=zf8>51zJ04d=zf8>51zJ04d=zf8>51zJ04d=zf8>51zJ04d=zf8>3<7>51zJ04d=zf8>3=7>51zJ04d=zf8>3>7>51zJ04d=zf8>3?7>51zJ04d=zf8>387>51zJ04d=zf8>397>51zJ04d=zf8>3:7>51zJ04d=zf8>3;7>51zJ04d=zf8>347>51zJ04d=zf8>357>51zJ04d=zf8>3m7>51zJ04d=zf8>3n7>51zJ04d=zf8>3o7>51zJ04d=zf8>3h7>51zJ04d=zf8>3i7>51zJ04d=zf8>3j7>51zJ04d=zf8>2<7>51zJ04d=zf8>2=7>51zJ04d=zf8>2>7>51zJ04d=zf8>2?7>51zJ04d=zf8>287>51zJ04d=zf8>297>51zJ04d=zf8>2:7>51zJ04d=zf8>2;7>51zJ04d=zf8>247>51zJ04d=zf8>257>51zJ04d=zf8>2m7>51zJ04d=zf8>2n7>51zJ04d=zf8>2o7>51zJ04d=zf8>2h7>51zJ04d=zf8>2i7>51zJ04d=zf8>2j7>51zJ04d=zf8>j<7>51zJ04d=zf8>j=7>51zJ04d=zf8>j>7>51zJ04d=zf8>j?7>51zJ04d=zf8>j87>51zJ04d=zf8>j97>51zJ04d=zf8>j:7>51zJ04d=zf8>j;7>51zJ04d=zf8>j47>51zJ04d=zf8>j57>51zJ04d=zf8>jm7>51zJ04d=zf8>jn7>51zJ04d=zf8>jo7>51zJ04d=zf8>jh7>51zJ04d=zf8>ji7>51zJ04d=zf8>jj7>51zJ04d=zf8>i<7>51zJ04d=zf8>i=7>51zJ04d=zf8>i>7>51zJ04d=zf8>i?7>51zJ04d=zf8>i87>51zJ04d=zf8>i97>51zJ04d=zf8>i:7>51zJ04d=zf8>i;7>51zJ04d=zf8>i47>51zJ04d=zf8>i57>51zJ04d=zf8>im7>51zJ04d=zf8>in7>51zJ04d=zf8>io7>51zJ04d=zf8>ih7>51zJ04d=zf8>ii7>51zJ04d=zf8>ij7>51zJ04d=zf8>h<7>51zJ04d=zf8>h=7>51zJ04d=zf8>h>7>51zJ04d=zf8>h?7>51zJ04d=zf8>h87>51zJ04d=zf8>h97>51zJ04d=zf8>h:7>51zJ04d=zf8>h;7>51zJ04d=zf8>h47>51zJ04d=zf8>h57>51zJ04d=zf8>hm7>51zJ04d=zf8>hn7>51zJ04d=zf8>ho7>51zJ04d=zf8>hh7>51zJ04d=zf8>hi7>51zJ04d=zf8>hj7>51zJ04d=zf8>o<7>51zJ04d=zf8>o=7>51zJ04d=zf8>o>7>51zJ04d=zf8>o?7>51zJ04d=zf8>o87>51zJ04d=zf8>o97>51zJ04d=zf8>o:7>51zJ04d=zf8>o;7>51zJ04d=zf8>o47>51zJ04d=zf8>o57>51zJ04d=zf8>om7>51zJ04d=zf8>on7>51zJ04d=zf8>oo7>51zJ04d=zf8>oh7>51zJ04d=zf8>oi7>51zJ04d=zf8>oj7>51zJ04d=zf8>n<7>51zJ04d=zf8>n=7>51zJ04d=zf8>n>7>51zJ04d=zf8>n?7>51zJ04d=zf8>n87>51zJ04d=zf8>n97>51zJ04d=zf8>n:7>51zJ04d=zf8>n;7>51zJ04d=zf8>n47>51zJ04d=zf8>n57>51zJ04d=zf8>nm7>51zJ04d=zf8>nn7>51zJ04d=zf8>no7>51zJ04d=zf8>nh7>51zJ04d=zf8>ni7>51zJ04d=zf8>nj7>51zJ04d=zf8>m<7>51zJ04d=zf8>m=7>51zJ04d=zf8>m>7>51zJ04d=zf8>m?7>51zJ04d=zf8>m87>51zJ04d=zf8>m97>51zJ04d=zf8>m:7>51zJ04d=zf8>m;7>51zJ04d=zf8>m47>51zJ04d=zf8>m57>51zJ04d=zf8>mm7>51zJ04d=zf8>mn7>51zJ04d=zf8>mo7>51zJ04d=zf8>mh7>51zJ04d=zf8>mi7>51zJ04d=zf8>mj7>51zJ04d=zf8?;<7>51zJ04d=zf8?;=7>51zJ04d=zf8?;>7>51zJ04d=zf8?;?7>51zJ04d=zf8?;87>51zJ04d=zf8?;97>51zJ04d=zf8?;:7>51zJ04d=zf8?;;7>51zJ04d=zf8?;47>51zJ04d=zf8?;57>51zJ04d=zf8?;m7>51zJ04d=zf8?;n7>51zJ04d=zf8?;o7>51zJ04d=zf8?;h7>51zJ04d=zf8?;i7>51zJ04d=zf8?;j7>51zJ04d=zf8?:<7>51zJ04d=zf8?:=7>51zJ04d=zf8?:>7>51zJ04d=zf8?:?7>51zJ04d=zf8?:87>51zJ04d=zf8?:97>51zJ04d=zf8?::7>51zJ04d=zf8?:;7>51zJ04d=zf8?:47>51zJ04d=zf8?:57>51zJ04d=zf8?:m7>51zJ04d=zf8?:n7>51zJ04d=zf8?:o7>51zJ04d=zf8?:h7>51zJ04d=zf8?:i7>51zJ04d=zf8?:j7>51zJ04d=zf8?9<7>51zJ04d=zf8?9=7>51zJ04d=zf8?9>7>51zJ04d=zf8?9?7>51zJ04d=zf8?987>51zJ04d=zf8?997>51zJ04d=zf8?9:7>51zJ04d=zf8?9;7>51zJ04d=zf8?947>51zJ04d=zf8?957>51zJ04d=zf8?9m7>51zJ04d=zf8?9n7>51zJ04d=zf8?9o7>51zJ04d=zf8?9h7>51zJ04d=zf8?9i7>51zJ04d=zf8?9j7>51zJ04d=zf8?8<7>51zJ04d=zf8?8=7>51zJ04d=zf8?8>7>51zJ04d=zf8?8?7>51zJ04d=zf8?887>51zJ04d=zf8?897>51zJ04d=zf8?8:7>51zJ04d=zf8?8;7>51zJ04d=zf8?847>51zJ04d=zf8?857>51zJ04d=zf8?8m7>51zJ04d=zf8?8n7>51zJ04d=zf8?8o7>51zJ04d=zf8?8h7>51zJ04d=zf8?8i7>51zJ04d=zf8?8j7>51zJ04d=zf8??<7>51zJ04d=zf8??=7>51zJ04d=zf8??>7>51zJ04d=zf8???7>51zJ04d=zf8??87>51zJ04d=zf8??97>51zJ04d=zf8??:7>51zJ04d=zf8??;7>51zJ04d=zf8??47>51zJ04d=zf8??57>51zJ04d=zf8??m7>51zJ04d=zf8??n7>51zJ04d=zf8??o7>51zJ04d=zf8??h7>51zJ04d=zf8??i7>51zJ04d=zf8??j7>51zJ04d=zf8?><7>51zJ04d=zf8?>=7>51zJ04d=zf8?>>7>51zJ04d=zf8?>?7>51zJ04d=zf8?>87>51zJ04d=zf8?>97>51zJ04d=zf8?>:7>51zJ04d=zf8?>;7>51zJ04d=zf8?>47>51zJ04d=zf8?>57>51zJ04d=zf8?>m7>51zJ04d=zf8?>n7>51zJ04d=zf8?>o7>51zJ04d=zf8?>h7>51zJ04d=zf8?>i7>51zJ04d=zf8?>j7>51zJ04d=zf8?=<7>51zJ04d=zf8?==7>51zJ04d=zf8?=>7>51zJ04d=zf8?=?7>51zJ04d=zf8?=87>51zJ04d=zf8?=97>51zJ04d=zf8?=:7>51zJ04d=zf8?=;7>51zJ04d=zf8?=47>51zJ04d=zf8?=57>51zJ04d=zf8?=m7>51zJ04d=zf8?=n7>51zJ04d=zf8?=o7>51zJ04d=zf8?=h7>51zJ04d=zf8?=i7>51zJ04d=zf8?=j7>51zJ04d=zf8?<<7>51zJ04d=zf8?<=7>51zJ04d=zf8?<>7>51zJ04d=zf8?51zJ04d=zf8?<87>51zJ04d=zf8?<97>51zJ04d=zf8?<:7>51zJ04d=zf8?<;7>51zJ04d=zf8?<47>51zJ04d=zf8?<57>51zJ04d=zf8?51zJ04d=zf8?51zJ04d=zf8?51zJ04d=zf8?51zJ04d=zf8?51zJ04d=zf8?51zJ04d=zf8?3<7>51zJ04d=zf8?3=7>51zJ04d=zf8?3>7>51zJ04d=zf8?3?7>51zJ04d=zf8?387>51zJ04d=zf8?397>51zJ04d=zf8?3:7>51zJ04d=zf8?3;7>51zJ04d=zf8?347>51zJ04d=zf8?357>51zJ04d=zf8?3m7>51zJ04d=zf8?3n7>51zJ04d=zf8?3o7>51zJ04d=zf8?3h7>51zJ04d=zf8?3i7>51zJ04d=zf8?3j7>51zJ04d=zf8?2<7>51zJ04d=zf8?2=7>51zJ04d=zf8?2>7>51zJ04d=zf8?2?7>51zJ04d=zf8?287>51zJ04d=zf8?297>51zJ04d=zf8?2:7>51zJ04d=zf8?2;7>51zJ04d=zf8?247>51zJ04d=zf8?257>51zJ04d=zf8?2m7>51zJ04d=zf8?2n7>51zJ04d=zf8?2o7>51zJ04d=zf8?2h7>51zJ04d=zf8?2i7>51zJ04d=zf8?2j7>51zJ04d=zf8?j<7>51zJ04d=zf8?j=7>51zJ04d=zf8?j>7>51zJ04d=zf8?j?7>51zJ04d=zf8?j87>51zJ04d=zf8?j97>51zJ04d=zf8?j:7>51zJ04d=zf8?j;7>51zJ04d=zf8?j47>51zJ04d=zf8?j57>51zJ04d=zf8?jm7>51zJ04d=zf8?jn7>51zJ04d=zf8?jo7>51zJ04d=zf8?jh7>51zJ04d=zf8?ji7>51zJ04d=zf8?jj7>51zJ04d=zf8?i<7>51zJ04d=zf8?i=7>51zJ04d=zf8?i>7>51zJ04d=zf8?i?7>51zJ04d=zf8?i87>51zJ04d=zf8?i97>51zJ04d=zf8?i:7>51zJ04d=zf8?i;7>51zJ04d=zf8?i47>51zJ04d=zf8?i57>51zJ04d=zf8?im7>51zJ04d=zf8?in7>51zJ04d=zf8?io7>51zJ04d=zf8?ih7>51zJ04d=zf8?ii7>51zJ04d=zf8?ij7>51zJ04d=zf8?h<7>51zJ04d=zf8?h=7>51zJ04d=zf8?h>7>51zJ04d=zf8?h?7>51zJ04d=zf8?h87>51zJ04d=zf8?h97>51zJ04d=N:;h1=v?5}[0:7?7|?5b494?7|@::j7D<=b;3x5?{]9=n1=v?63;~j43d?3:1=vF<0`9J67d=9r;1qW?;d;3x5<5=utd:9n650;3xL66f3@89n7?t2;Y51b=9r;2?7srn07`=?6=9rB87sU15f95~7>;3wvb<;lb;295~N48h1B>?l51z09y_73l3;p=4=5}|l21fe=83;pD>>n;|l21fb=83;pD>>n;|l21fc=83;pD>>n;|l21f`=83;pD>>n;|l21a6=83;pD>>n;|l21a7=83;pD>>n;|l21a4=83;pD>>n;|l21a5=83;pD>>n;|l21a2=83;pD>>n;|l21a3=83;pD>>n;|l21a0=83;pD>>n;|l21a1=83;pD>>n;|l21a>=83;pD>>n;|l21a?=83;pD>>n;|l21ag=83;pD>>n;|l21ad=83;pD>>n;|l21ae=83;pD>>n;|l21ab=83;pD>>n;|l21ac=83;pD>>n;|l21a`=83;pD>>n;|l21`6=83;pD>>n;|l21`7=83;pD>>n;|l21`4=83;pD>>n;|l21`5=83;pD>>n;|l21`2=83;pD>>n;|l21`3=83;pD>>n;|l21`0=83;pD>>n;|l21`1=83;pD>>n;|l21`>=83;pD>>n;|l21`?=83;pD>>n;|l21`g=83;pD>>n;|l21`d=83;pD>>n;|l21`e=83;pD>>n;|l21`b=83;pD>>n;|l21`c=83;pD>>n;|l21``=83;pD>>n;|l21c6=83;pD>>n;|l21c7=83;pD>>n;|l21c4=83;pD>>n;|l21c5=83;pD>>n;|l21c2=83;pD>>n;|l21c3=83;pD>>n;|l21c0=83;pD>>n;|l21c1=83;pD>>n;|l21c>=83;pD>>n;|l21c?=83;pD>>n;|l21cg=83;pD>>n;|l21cd=83;pD>>n;|l21ce=83;pD>>n;|l21cb=83;pD>>n;|l21cc=83;pD>>n;|l21c`=83;pD>>n;|l2256=83;pD>>n;|l2257=83;pD>>n;|l2254=83;pD>>n;|l2255=83;pD>>n;|l2252=83;pD>>n;|l2253=83;pD>>n;|l2250=83;pD>>n;|l2251=83;pD>>n;|l225>=83;pD>>n;|l225?=83;pD>>n;|l225g=83;pD>>n;|l225d=83;pD>>n;|l225e=83;pD>>n;|l225b=83;pD>>n;|l225c=83;pD>>n;|l225`=83;pD>>n;|l2246=83;pD>>n;|l2247=83;pD>>n;|l2244=83;pD>>n;|l2245=83;pD>>n;|l2242=83;pD>>n;|l2243=83;pD>>n;|l2240=83;pD>>n;|l2241=83;pD>>n;|l224>=83;pD>>n;|l224?=83;pD>>n;|l224g=83;pD>>n;|l224d=83;pD>>n;|l224e=83;pD>>n;|l224b=83;pD>>n;|l224c=83;pD>>n;|l224`=83;pD>>n;|l2276=83;pD>>n;|l2277=83;pD>>n;|l2274=83;pD>>n;|l2275=83;pD>>n;|l2272=83;pD>>n;|l2273=83;pD>>n;|l2270=83;pD>>n;|l2271=83;pD>>n;|l227>=83;pD>>n;|l227?=83;pD>>n;|l227g=83;pD>>n;|l227d=83;pD>>n;|l227e=83;pD>>n;|l227b=83;pD>>n;|l227c=83;pD>>n;|l227`=83;pD>>n;|l2266=83;pD>>n;|l2267=83;pD>>n;|l2264=83;pD>>n;|l2265=83;pD>>n;|l2262=83;pD>>n;|l2263=83;pD>>n;|l2260=83;pD>>n;|l2261=83;pD>>n;|l226>=83;pD>>n;|l226?=83;pD>>n;|l226g=83;pD>>n;|l226d=83;pD>>n;|l226e=83;pD>>n;|l226b=83;pD>>n;|l226c=83;pD>>n;|l226`=83;pD>>n;|l2216=83;pD>>n;|l2217=83;pD>>n;|l2214=83;pD>>n;|l2215=83;pD>>n;|l2212=83;pD>>n;|l2213=83;pD>>n;|l2210=83;pD>>n;|l2211=83;pD>>n;|l221>=83;pD>>n;|l221?=83;pD>>n;|l221g=83;pD>>n;|l221d=83;pD>>n;|l221e=83;pD>>n;|l221b=83;pD>>n;[0:7?7|;?21qW?;d;3x6<5=utd::9k50;3xL66f3td:?<650;3xL66f3td:??650;3xL66f3td:??750;3xL66f3td:??m50;3xL66f3td:??j50;3xL66f3td:??k50;3xL66f3td:??h50;3xL66f3td:?>>50;3xL66f3td:?>?50;3xL66f3td:?><50;3xL66f3td:?>=50;3xL66f3td:?>:50;3xL66f3td:?>;50;3xL66f3td:?>850;3xL66f3td:?>950;3xL66f3td:?>650;3xL66f3td:?>750;3xL66f3td:?>o50;3xL66f3td:?>l50;3xL66f3td:?>m50;3xL66f3td:?>j50;3xL66f3td:?>k50;3xL66f3td:?>h50;3xL66f3td:?9>50;3xL66f3td:?9?50;3xL66f3td:?9<50;3xL66f3td:?9=50;3xL66f3td:?9:50;3xL66f3td:?9;50;3xL66f3td:?9850;3xL66f3td:?9950;3xL66f3td:?9650;3xL66f3td:?9750;3xL66f3td:?9o50;3xL66f3td:?9l50;3xL66f3td:?9m50;3xL66f3td:?9j50;3xL66f3td:?9k50;3xL66f3td:?9h50;3xL66f3td:?8>50;3xL66f3td:?8?50;3xL66f3td:?8<50;3xL66f3td:?8=50;3xL66f3td:?8:50;3xL66f3td:?8;50;3xL66f3td:?8850;3xL66f3td:?8950;3xL66f3td:?8650;3xL66f3td:?8750;3xL66f3td:?8o50;3xL66f3td:?8l50;3xL66f3td:?8m50;3xL66f3td:?8j50;3xL66f3td:?8k50;3xL66f3td:?8h50;3xL66f3td:?;>50;3xL66f3td:?;?50;3xL66f3td:?;<50;3xL66f3td:?;=50;3xL66f3td:?;:50;3xL66f3td:?;;50;3xL66f3td:?;850;3xL66f3twvqMNL{0;6b?44>lo<;?sO@Cy3yEFWstJK \ No newline at end of file diff --git a/toplevel.pad b/toplevel.pad index b41bb38..61c1263 100644 --- a/toplevel.pad +++ b/toplevel.pad @@ -1,7 +1,7 @@ Release 13.2 - par O.61xd (lin64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Wed Nov 7 12:11:06 2012 +Thu Nov 8 17:50:43 2012 # NOTE: This file is designed to be imported into a spreadsheet program @@ -20,7 +20,7 @@ Pinout by Pin Number: -----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----|-----| Pin Number|Signal Name|Pin Usage|Pin Name|Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage|Constraint|IO Register|Signal Integrity| A1|||GND|||||||||||| -A2|pcm_out<0>|IOB|IO_L52N_M3A9_3|OUTPUT|LVCMOS25*|3|12|||||LOCATED|YES|NONE| +A2||IOBS|IO_L52N_M3A9_3|UNUSED||3||||||||| A3||IOBS|IO_L83N_VREF_3|UNUSED||3||||||||| A4||IOBS|IO_L1N_VREF_0|UNUSED||0||||||||| A5||IOBS|IO_L2N_0|UNUSED||0||||||||| @@ -35,8 +35,8 @@ A13||IOBS|IO_L63N_SCP6_0|UNUSED||0||||||||| A14||IOBS|IO_L65N_SCP2_0|UNUSED||0||||||||| A15|||TMS|||||||||||| A16|||GND|||||||||||| -B1|pcm_out<2>|IOB|IO_L50N_M3BA2_3|OUTPUT|LVCMOS25*|3|12|||||LOCATED|YES|NONE| -B2|pcm_out<1>|IOB|IO_L52P_M3A8_3|OUTPUT|LVCMOS25*|3|12|||||LOCATED|YES|NONE| +B1||IOBS|IO_L50N_M3BA2_3|UNUSED||3||||||||| +B2||IOBM|IO_L52P_M3A8_3|UNUSED||3||||||||| B3||IOBM|IO_L83P_3|UNUSED||3||||||||| B4|||VCCO_0|||0|||||any******|||| B5||IOBM|IO_L2P_0|UNUSED||0||||||||| @@ -49,9 +49,9 @@ B11|||GND|||||||||||| B12||IOBM|IO_L62P_0|UNUSED||0||||||||| B13|||VCCO_0|||0|||||any******|||| B14||IOBM|IO_L65P_SCP3_0|UNUSED||0||||||||| -B15||IOBM|IO_L29P_A23_M1A13_1|UNUSED||1||||||||| -B16||IOBS|IO_L29N_A22_M1A14_1|UNUSED||1||||||||| -C1|pcm_out<3>|IOB|IO_L50P_M3WE_3|OUTPUT|LVCMOS25*|3|12|||||LOCATED|YES|NONE| +B15|pcm_out<0>|IOB|IO_L29P_A23_M1A13_1|OUTPUT|LVCMOS25*|1|12|||||LOCATED|YES|NONE| +B16|pcm_out<1>|IOB|IO_L29N_A22_M1A14_1|OUTPUT|LVCMOS25*|1|12|||||LOCATED|YES|NONE| +C1||IOBM|IO_L50P_M3WE_3|UNUSED||3||||||||| C2||IOBS|IO_L48N_M3BA1_3|UNUSED||3||||||||| C3||IOBM|IO_L48P_M3BA0_3|UNUSED||3||||||||| C4||IOBM|IO_L1P_HSWAPEN_0|UNUSED||0||||||||| @@ -65,10 +65,10 @@ C11||IOBM|IO_L39P_0|UNUSED||0||||||||| C12|||TDI|||||||||||| C13||IOBM|IO_L63P_SCP7_0|UNUSED||0||||||||| C14|||TCK|||||||||||| -C15||IOBM|IO_L33P_A15_M1A10_1|UNUSED||1||||||||| -C16||IOBS|IO_L33N_A14_M1A4_1|UNUSED||1||||||||| +C15|pcm_out<2>|IOB|IO_L33P_A15_M1A10_1|OUTPUT|LVCMOS25*|1|12|||||LOCATED|YES|NONE| +C16|pcm_out<3>|IOB|IO_L33N_A14_M1A4_1|OUTPUT|LVCMOS25*|1|12|||||LOCATED|YES|NONE| D1||IOBS|IO_L49N_M3A2_3|UNUSED||3||||||||| -D2|||VCCO_3|||3|||||2.50|||| +D2|||VCCO_3|||3|||||any******|||| D3||IOBM|IO_L49P_M3A7_3|UNUSED||3||||||||| D4|||GND|||||||||||| D5||IOBM|IO_L3P_0|UNUSED||0||||||||| @@ -81,7 +81,7 @@ D11||IOBM|IO_L66P_SCP1_0|UNUSED||0||||||||| D12||IOBS|IO_L66N_SCP0_0|UNUSED||0||||||||| D13|||GND|||||||||||| D14||IOBM|IO_L31P_A19_M1CKE_1|UNUSED||1||||||||| -D15|||VCCO_1|||1|||||any******|||| +D15|||VCCO_1|||1|||||2.50|||| D16||IOBS|IO_L31N_A18_M1A12_1|UNUSED||1||||||||| E1||IOBS|IO_L46N_M3CLKN_3|UNUSED||3||||||||| E2||IOBM|IO_L46P_M3CLK_3|UNUSED||3||||||||| @@ -113,12 +113,12 @@ F11|||VCCAUX||||||||2.5|||| F12||IOBM|IO_L30P_A21_M1RESET_1|UNUSED||1||||||||| F13||IOBM|IO_L32P_A17_M1A8_1|UNUSED||1||||||||| F14||IOBS|IO_L32N_A16_M1A9_1|UNUSED||1||||||||| -F15||IOBM|IO_L35P_A11_M1A7_1|UNUSED||1||||||||| -F16||IOBS|IO_L35N_A10_M1A2_1|UNUSED||1||||||||| +F15|mosi|IOB|IO_L35P_A11_M1A7_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|NO|NONE| +F16|ssel|IOB|IO_L35N_A10_M1A2_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|YES|NONE| G1||IOBS|IO_L40N_M3DQ7_3|UNUSED||3||||||||| G2|||GND|||||||||||| G3||IOBM|IO_L40P_M3DQ6_3|UNUSED||3||||||||| -G4|||VCCO_3|||3|||||2.50|||| +G4|||VCCO_3|||3|||||any******|||| G5||IOBS|IO_L51N_M3A4_3|UNUSED||3||||||||| G6||IOBM|IO_L51P_M3A10_3|UNUSED||3||||||||| G7|||VCCINT||||||||1.2|||| @@ -127,12 +127,12 @@ G9|||VCCINT||||||||1.2|||| G10|||VCCAUX||||||||2.5|||| G11||IOBS|IO_L30N_A20_M1A11_1|UNUSED||1||||||||| G12||IOBM|IO_L38P_A5_M1CLK_1|UNUSED||1||||||||| -G13|||VCCO_1|||1|||||any******|||| +G13|||VCCO_1|||1|||||2.50|||| G14||IOBM|IO_L36P_A9_M1BA0_1|UNUSED||1||||||||| G15|||GND|||||||||||| G16||IOBS|IO_L36N_A8_M1BA1_1|UNUSED||1||||||||| -H1|mosi|IOB|IO_L39N_M3LDQSN_3|INPUT|LVCMOS25*|3||||NONE||LOCATED|NO|NONE| -H2|ssel|IOB|IO_L39P_M3LDQS_3|INPUT|LVCMOS25*|3||||NONE||LOCATED|YES|NONE| +H1||IOBS|IO_L39N_M3LDQSN_3|UNUSED||3||||||||| +H2||IOBM|IO_L39P_M3LDQS_3|UNUSED||3||||||||| H3||IOBS|IO_L44N_GCLK20_M3A6_3|UNUSED||3||||||||| H4||IOBM|IO_L44P_GCLK21_M3A5_3|UNUSED||3||||||||| H5||IOBS|IO_L43N_GCLK22_IRDY2_M3CASN_3|UNUSED||3||||||||| @@ -148,9 +148,9 @@ H14||IOBS|IO_L39N_M1ODT_1|UNUSED||1||||||||| H15||IOBM|IO_L37P_A7_M1A0_1|UNUSED||1||||||||| H16||IOBS|IO_L37N_A6_M1A1_1|UNUSED||1||||||||| J1||IOBS|IO_L38N_M3DQ3_3|UNUSED||3||||||||| -J2|||VCCO_3|||3|||||2.50|||| +J2|||VCCO_3|||3|||||any******|||| J3||IOBM|IO_L38P_M3DQ2_3|UNUSED||3||||||||| -J4|miso|IOB|IO_L42N_GCLK24_M3LDM_3|TRISTATE|LVCMOS25*|3|12|||||LOCATED|NO|NONE| +J4||IOBS|IO_L42N_GCLK24_M3LDM_3|UNUSED||3||||||||| J5|||GND|||||||||||| J6||IOBM|IO_L43P_GCLK23_M3RASN_3|UNUSED||3||||||||| J7|||VCCINT||||||||1.2|||| @@ -160,13 +160,13 @@ J10|||VCCAUX||||||||2.5|||| J11||IOBM|IO_L40P_GCLK11_M1A5_1|UNUSED||1||||||||| J12||IOBS|IO_L40N_GCLK10_M1A6_1|UNUSED||1||||||||| J13||IOBM|IO_L41P_GCLK9_IRDY1_M1RASN_1|UNUSED||1||||||||| -J14||IOBM|IO_L43P_GCLK5_M1DQ4_1|UNUSED||1||||||||| -J15|||VCCO_1|||1|||||any******|||| -J16||IOBS|IO_L43N_GCLK4_M1DQ5_1|UNUSED||1||||||||| +J14|miso|IOB|IO_L43P_GCLK5_M1DQ4_1|TRISTATE|LVCMOS25*|1|12|||||LOCATED|NO|NONE| +J15|||VCCO_1|||1|||||2.50|||| +J16|sclk|IOB|IO_L43N_GCLK4_M1DQ5_1|INPUT|LVCMOS25*|1||||NONE||LOCATED|YES|NONE| K1||IOBS|IO_L37N_M3DQ1_3|UNUSED||3||||||||| K2||IOBM|IO_L37P_M3DQ0_3|UNUSED||3||||||||| -K3|sclk|IOB|IO_L42P_GCLK25_TRDY2_M3UDM_3|INPUT|LVCMOS25*|3||||NONE||LOCATED|YES|NONE| -K4|||VCCO_3|||3|||||2.50|||| +K3||IOBM|IO_L42P_GCLK25_TRDY2_M3UDM_3|UNUSED||3||||||||| +K4|||VCCO_3|||3|||||any******|||| K5||IOBM|IO_L47P_M3A0_3|UNUSED||3||||||||| K6||IOBS|IO_L47N_M3A1_3|UNUSED||3||||||||| K7|||GND|||||||||||| @@ -175,7 +175,7 @@ K9|||GND|||||||||||| K10|||VCCINT||||||||1.2|||| K11||IOBS|IO_L42N_GCLK6_TRDY1_M1LDM_1|UNUSED||1||||||||| K12||IOBM|IO_L42P_GCLK7_M1UDM_1|UNUSED||1||||||||| -K13|||VCCO_1|||1|||||any******|||| +K13|||VCCO_1|||1|||||2.50|||| K14||IOBS|IO_L41N_GCLK8_M1CASN_1|UNUSED||1||||||||| K15||IOBM|IO_L44P_A3_M1DQ6_1|UNUSED||1||||||||| K16||IOBS|IO_L44N_A2_M1DQ7_1|UNUSED||1||||||||| @@ -212,7 +212,7 @@ M14||IOBS|IO_L74N_DOUT_BUSY_1|UNUSED||1||||||||| M15||IOBM|IO_L46P_FCS_B_M1DQ2_1|UNUSED||1||||||||| M16||IOBS|IO_L46N_FOE_B_M1DQ3_1|UNUSED||1||||||||| N1||IOBS|IO_L34N_M3UDQSN_3|UNUSED||3||||||||| -N2|||VCCO_3|||3|||||2.50|||| +N2|||VCCO_3|||3|||||any******|||| N3||IOBM|IO_L34P_M3UDQS_3|UNUSED||3||||||||| N4||IOBS|IO_L2N_3|UNUSED||3||||||||| N5||IOBM|IO_L49P_D3_2|UNUSED||2||||||||| @@ -225,7 +225,7 @@ N11||IOBM|IO_L13P_M1_2|UNUSED||2||||||||| N12||IOBM|IO_L12P_D1_MISO2_2|UNUSED||2||||||||| N13|||GND|||||||||||| N14||IOBM|IO_L45P_A1_M1LDQS_1|UNUSED||1||||||||| -N15|||VCCO_1|||1|||||any******|||| +N15|||VCCO_1|||1|||||2.50|||| N16||IOBS|IO_L45N_A0_M1LDQSN_1|UNUSED||1||||||||| P1||IOBS|IO_L33N_M3DQ13_3|UNUSED||3||||||||| P2||IOBM|IO_L33P_M3DQ12_3|UNUSED||3||||||||| @@ -255,7 +255,7 @@ R9||IOBM|IO_L23P_2|UNUSED||2||||||||| R10|||GND|||||||||||| R11||IOBM|IO_L1P_CCLK_2|UNUSED||2||||||||| R12||IOBM|IO_L52P_M1DQ14_1|UNUSED||1||||||||| -R13|||VCCO_1|||1|||||any******|||| +R13|||VCCO_1|||1|||||2.50|||| R14||IOBM|IO_L50P_M1UDQS_1|UNUSED||1||||||||| R15||IOBM|IO_L49P_M1DQ10_1|UNUSED||1||||||||| R16||IOBS|IO_L49N_M1DQ11_1|UNUSED||1||||||||| diff --git a/toplevel.par b/toplevel.par index 53898b7..b155dc3 100644 --- a/toplevel.par +++ b/toplevel.par @@ -1,7 +1,7 @@ Release 13.2 par O.61xd (lin64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -jenn-laptop:: Wed Nov 07 12:10:50 2012 +jenn-pc:: Thu Nov 08 17:50:33 2012 par -w -intstyle ise -ol high -xe n -mt off toplevel_map.ncd toplevel.ncd toplevel.pcf @@ -33,24 +33,24 @@ Slice Logic Utilization: Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 238 out of 15,032 1% + Number of Slice LUTs: 245 out of 15,032 1% Number used as logic: 227 out of 15,032 1% - Number using O6 output only: 104 - Number using O5 output only: 66 + Number using O6 output only: 105 + Number using O5 output only: 65 Number using O5 and O6: 57 Number used as ROM: 0 Number used as Memory: 0 out of 3,664 0% - Number used exclusively as route-thrus: 11 - Number with same-slice register load: 5 + Number used exclusively as route-thrus: 18 + Number with same-slice register load: 12 Number with same-slice carry load: 6 Number with other load: 0 Slice Logic Distribution: - Number of occupied Slices: 113 out of 3,758 3% - Number of LUT Flip Flop pairs used: 338 - Number with an unused Flip Flop: 84 out of 338 24% - Number with an unused LUT: 100 out of 338 29% - Number of fully used LUT-FF pairs: 154 out of 338 45% + Number of occupied Slices: 117 out of 3,758 3% + Number of LUT Flip Flop pairs used: 344 + Number with an unused Flip Flop: 97 out of 344 28% + Number with an unused LUT: 99 out of 344 28% + Number of fully used LUT-FF pairs: 148 out of 344 43% Number of slice register sites lost to control set restrictions: 0 out of 30,064 0% @@ -104,35 +104,35 @@ Specific Feature Utilization: Overall effort level (-ol): High Router effort level (-rl): High -Starting initial Timing Analysis. REAL time: 7 secs -Finished initial Timing Analysis. REAL time: 7 secs +Starting initial Timing Analysis. REAL time: 4 secs +Finished initial Timing Analysis. REAL time: 4 secs Starting Router -Phase 1 : 1400 unrouted; REAL time: 8 secs +Phase 1 : 1397 unrouted; REAL time: 4 secs -Phase 2 : 1114 unrouted; REAL time: 10 secs +Phase 2 : 1114 unrouted; REAL time: 6 secs -Phase 3 : 357 unrouted; REAL time: 12 secs +Phase 3 : 329 unrouted; REAL time: 7 secs -Phase 4 : 357 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 13 secs +Phase 4 : 329 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 8 secs Updating file: toplevel.ncd with current fully routed design. -Phase 5 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs +Phase 5 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs -Phase 6 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs +Phase 6 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs -Phase 7 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs +Phase 7 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs -Phase 8 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs +Phase 8 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs -Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs +Phase 9 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs -Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 15 secs -Total REAL time to Router completion: 15 secs -Total CPU time to Router completion: 13 secs +Phase 10 : 0 unrouted; (Setup:0, Hold:0, Component Switching Limit:0) REAL time: 9 secs +Total REAL time to Router completion: 9 secs +Total CPU time to Router completion: 9 secs Partition Implementation Status ------------------------------- @@ -150,11 +150,11 @@ Generating Clock Report +---------------------+--------------+------+------+------------+-------------+ | Clock Net | Resource |Locked|Fanout|Net Skew(ns)|Max Delay(ns)| +---------------------+--------------+------+------+------------+-------------+ -| sclk_IBUF_BUFG | BUFGMUX_X2Y11| No | 11 | 0.581 | 1.745 | +| sclk_IBUF_BUFG | BUFGMUX_X3Y8| No | 11 | 0.589 | 1.641 | +---------------------+--------------+------+------+------------+-------------+ -| fastclk | BUFGMUX_X2Y2| No | 65 | 0.678 | 1.742 | +| fastclk | BUFGMUX_X2Y2| No | 62 | 0.594 | 1.639 | +---------------------+--------------+------+------+------------+-------------+ -| clk_1mhz_BUFG | BUFGMUX_X3Y13| No | 23 | 0.537 | 1.721 | +| clk_1mhz_BUFG | BUFGMUX_X3Y13| No | 23 | 0.571 | 1.623 | +---------------------+--------------+------+------+------------+-------------+ * Net Skew is the difference between the minimum and maximum routing @@ -176,19 +176,19 @@ Asterisk (*) preceding a constraint indicates it was not met. Constraint | Check | Worst Case | Best Case | Timing | Timing | | Slack | Achievable | Errors | Score ---------------------------------------------------------------------------------------------------------- - TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "c | SETUP | 4.982ns| 5.017ns| 0| 0 - lk_100mhz_i_clkfx" TS_clk_12mhz / | HOLD | 0.238ns| | 0| 0 + TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "c | SETUP | 4.970ns| 5.029ns| 0| 0 + lk_100mhz_i_clkfx" TS_clk_12mhz / | HOLD | 0.393ns| | 0| 0 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns | | | | | ---------------------------------------------------------------------------------------------------------- TS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" | MINLOWPULSE | 51.332ns| 32.000ns| 0| 0 83.3333 ns HIGH 50% INPUT_JITTER | | | | | 0.01 ns | | | | | ---------------------------------------------------------------------------------------------------------- - TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LO | SETUP | 60.370ns| 4.671ns| 0| 0 - W 50% | HOLD | 0.436ns| | 0| 0 + TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LO | SETUP | 60.645ns| 4.755ns| 0| 0 + W 50% | HOLD | 0.435ns| | 0| 0 ---------------------------------------------------------------------------------------------------------- - TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1 | SETUP | 994.340ns| 5.660ns| 0| 0 - 000 ns HIGH 50% | HOLD | 0.468ns| | 0| 0 + TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1 | SETUP | 993.242ns| 6.758ns| 0| 0 + 000 ns HIGH 50% | HOLD | 0.459ns| | 0| 0 ---------------------------------------------------------------------------------------------------------- @@ -202,8 +202,8 @@ Derived Constraints for TS_clk_12mhz | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ -|TS_clk_12mhz | 83.333ns| 32.000ns| 41.808ns| 0| 0| 0| 1162| -| TS_clk_100mhz_i_clkfx | 10.000ns| 5.017ns| N/A| 0| 0| 1162| 0| +|TS_clk_12mhz | 83.333ns| 32.000ns| 41.908ns| 0| 0| 0| 1162| +| TS_clk_100mhz_i_clkfx | 10.000ns| 5.029ns| N/A| 0| 0| 1162| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. @@ -213,10 +213,10 @@ Generating Pad Report. All signals are completely routed. -Total REAL time to PAR completion: 15 secs -Total CPU time to PAR completion: 14 secs +Total REAL time to PAR completion: 9 secs +Total CPU time to PAR completion: 9 secs -Peak Memory Usage: 494 MB +Peak Memory Usage: 496 MB Placer: Placement generated during map. Routing: Completed - No errors found. diff --git a/toplevel.pcf b/toplevel.pcf index a24eea7..61510a5 100644 --- a/toplevel.pcf +++ b/toplevel.pcf @@ -1,17 +1,17 @@ //! ************************************************************************** -// Written by: Map O.61xd on Wed Nov 07 12:10:44 2012 +// Written by: Map O.61xd on Thu Nov 08 17:50:31 2012 //! ************************************************************************** SCHEMATIC START; COMP "clk_12mhz" LOCATE = SITE "A9" LEVEL 1; -COMP "miso" LOCATE = SITE "J4" LEVEL 1; -COMP "sclk" LOCATE = SITE "K3" LEVEL 1; -COMP "mosi" LOCATE = SITE "H1" LEVEL 1; -COMP "ssel" LOCATE = SITE "H2" LEVEL 1; -COMP "pcm_out<0>" LOCATE = SITE "A2" LEVEL 1; -COMP "pcm_out<1>" LOCATE = SITE "B2" LEVEL 1; -COMP "pcm_out<2>" LOCATE = SITE "B1" LEVEL 1; -COMP "pcm_out<3>" LOCATE = SITE "C1" LEVEL 1; +COMP "miso" LOCATE = SITE "J14" LEVEL 1; +COMP "sclk" LOCATE = SITE "J16" LEVEL 1; +COMP "mosi" LOCATE = SITE "F15" LEVEL 1; +COMP "ssel" LOCATE = SITE "F16" LEVEL 1; +COMP "pcm_out<0>" LOCATE = SITE "B15" LEVEL 1; +COMP "pcm_out<1>" LOCATE = SITE "B16" LEVEL 1; +COMP "pcm_out<2>" LOCATE = SITE "C15" LEVEL 1; +COMP "pcm_out<3>" LOCATE = SITE "C16" LEVEL 1; PIN mem/regs/Mram_regs_pins<20> = BEL "mem/regs/Mram_regs" PINNAME CLKAWRCLK; PIN mem/regs/Mram_regs_pins<21> = BEL "mem/regs/Mram_regs" PINNAME CLKBRDCLK; PIN diff --git a/toplevel.ptwx b/toplevel.ptwx index c18bd55..b45dcf6 100644 --- a/toplevel.ptwx +++ b/toplevel.ptwx @@ -329,4 +329,4 @@ ]> -TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 nsTS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER 0.01 nsTS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%0 +TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 nsTS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER 0.01 nsTS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%0 diff --git a/toplevel.twr b/toplevel.twr index bdfe1e1..aaed3b4 100644 --- a/toplevel.twr +++ b/toplevel.twr @@ -29,17 +29,17 @@ Timing constraint: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%; 1328 paths analyzed, 236 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) - Minimum period is 5.660ns. + Minimum period is 6.758ns. -------------------------------------------------------------------------------- -Paths for end point pcms[0].pcm/pcm_out_reg (OLOGIC_X0Y54.D1), 94 paths +Paths for end point pcms[0].pcm/pcm_out_reg (OLOGIC_X23Y61.D1), 94 paths -------------------------------------------------------------------------------- -Slack (setup path): 994.340ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[0].pcm/pulse_width_reg_7 (FF) +Slack (setup path): 993.242ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[0].pcm/pulse_width_reg_6 (FF) Destination: pcms[0].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 6.077ns (Levels of Logic = 4) - Clock Path Skew: 0.452ns (0.936 - 0.484) + Data Path Delay: 7.180ns (Levels of Logic = 4) + Clock Path Skew: 0.457ns (0.838 - 0.381) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -50,39 +50,39 @@ Slack (setup path): 994.340ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_7 to pcms[0].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_6 to pcms[0].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y54.BQ Tcko 0.447 pcms[0].pcm/pulse_width_reg<9> - pcms[0].pcm/pulse_width_reg_7 - SLICE_X11Y54.B3 net (fanout=3) 0.472 pcms[0].pcm/pulse_width_reg<7> - SLICE_X11Y54.B Tilo 0.259 N26 + SLICE_X24Y58.AQ Tcko 0.408 pcms[0].pcm/pulse_width_reg<9> + pcms[0].pcm/pulse_width_reg_6 + SLICE_X25Y59.D3 net (fanout=3) 0.489 pcms[0].pcm/pulse_width_reg<6> + SLICE_X25Y59.D Tilo 0.259 N26 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 - SLICE_X11Y54.A5 net (fanout=2) 0.193 N26 - SLICE_X11Y54.A Tilo 0.259 N26 + SLICE_X25Y59.C1 net (fanout=2) 0.821 N26 + SLICE_X25Y59.C Tilo 0.259 N26 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> - SLICE_X12Y55.A4 net (fanout=1) 0.585 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> - SLICE_X12Y55.AMUX Topaa 0.382 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> + SLICE_X26Y61.A2 net (fanout=1) 0.804 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X26Y61.AMUX Topaa 0.389 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A1 net (fanout=1) 0.859 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A Tilo 0.259 pcms[0].pcm/pulse_width_reg<5> + SLICE_X27Y60.A4 net (fanout=1) 0.462 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X27Y60.A Tilo 0.259 pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.D1 net (fanout=1) 1.559 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg + OLOGIC_X23Y61.D1 net (fanout=1) 2.227 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y61.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg pcms[0].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 6.077ns (2.409ns logic, 3.668ns route) - (39.6% logic, 60.4% route) + Total 7.180ns (2.377ns logic, 4.803ns route) + (33.1% logic, 66.9% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.353ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[0].pcm/pulse_width_reg_7 (FF) +Slack (setup path): 993.254ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[0].pcm/pulse_width_reg_6 (FF) Destination: pcms[0].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 6.064ns (Levels of Logic = 4) - Clock Path Skew: 0.452ns (0.936 - 0.484) + Data Path Delay: 7.168ns (Levels of Logic = 4) + Clock Path Skew: 0.457ns (0.838 - 0.381) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -93,39 +93,39 @@ Slack (setup path): 994.353ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_7 to pcms[0].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_6 to pcms[0].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y54.BQ Tcko 0.447 pcms[0].pcm/pulse_width_reg<9> - pcms[0].pcm/pulse_width_reg_7 - SLICE_X11Y54.B3 net (fanout=3) 0.472 pcms[0].pcm/pulse_width_reg<7> - SLICE_X11Y54.B Tilo 0.259 N26 + SLICE_X24Y58.AQ Tcko 0.408 pcms[0].pcm/pulse_width_reg<9> + pcms[0].pcm/pulse_width_reg_6 + SLICE_X25Y59.D3 net (fanout=3) 0.489 pcms[0].pcm/pulse_width_reg<6> + SLICE_X25Y59.D Tilo 0.259 N26 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 - SLICE_X11Y54.A5 net (fanout=2) 0.193 N26 - SLICE_X11Y54.A Tilo 0.259 N26 + SLICE_X25Y59.C1 net (fanout=2) 0.821 N26 + SLICE_X25Y59.C Tilo 0.259 N26 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> - SLICE_X12Y55.A4 net (fanout=1) 0.585 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> - SLICE_X12Y55.AMUX Topaa 0.369 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4 + SLICE_X26Y61.A2 net (fanout=1) 0.804 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X26Y61.AMUX Topaa 0.377 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A1 net (fanout=1) 0.859 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A Tilo 0.259 pcms[0].pcm/pulse_width_reg<5> + SLICE_X27Y60.A4 net (fanout=1) 0.462 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X27Y60.A Tilo 0.259 pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.D1 net (fanout=1) 1.559 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg + OLOGIC_X23Y61.D1 net (fanout=1) 2.227 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y61.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg pcms[0].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 6.064ns (2.396ns logic, 3.668ns route) - (39.5% logic, 60.5% route) + Total 7.168ns (2.365ns logic, 4.803ns route) + (33.0% logic, 67.0% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.389ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[0].pcm/pulse_width_reg_6 (FF) +Slack (setup path): 993.323ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[0].pcm/pulse_width_reg_7 (FF) Destination: pcms[0].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 6.028ns (Levels of Logic = 4) - Clock Path Skew: 0.452ns (0.936 - 0.484) + Data Path Delay: 7.099ns (Levels of Logic = 4) + Clock Path Skew: 0.457ns (0.838 - 0.381) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -136,42 +136,42 @@ Slack (setup path): 994.389ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_6 to pcms[0].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[0].pcm/pulse_width_reg_7 to pcms[0].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y54.AQ Tcko 0.447 pcms[0].pcm/pulse_width_reg<9> - pcms[0].pcm/pulse_width_reg_6 - SLICE_X10Y54.A5 net (fanout=3) 0.791 pcms[0].pcm/pulse_width_reg<6> - SLICE_X10Y54.A Tilo 0.203 pcms[0].pcm/pulse_width_reg<9> - pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>1 - SLICE_X12Y54.D1 net (fanout=1) 0.629 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> - SLICE_X12Y54.COUT Topcyd 0.281 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3 - pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X12Y55.CIN net (fanout=1) 0.003 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X12Y55.AMUX Tcina 0.194 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X24Y58.BQ Tcko 0.408 pcms[0].pcm/pulse_width_reg<9> + pcms[0].pcm/pulse_width_reg_7 + SLICE_X25Y59.D4 net (fanout=3) 0.408 pcms[0].pcm/pulse_width_reg<7> + SLICE_X25Y59.D Tilo 0.259 N26 + pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 + SLICE_X25Y59.C1 net (fanout=2) 0.821 N26 + SLICE_X25Y59.C Tilo 0.259 N26 + pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X26Y61.A2 net (fanout=1) 0.804 pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X26Y61.AMUX Topaa 0.389 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A1 net (fanout=1) 0.859 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X9Y54.A Tilo 0.259 pcms[0].pcm/pulse_width_reg<5> + SLICE_X27Y60.A4 net (fanout=1) 0.462 pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X27Y60.A Tilo 0.259 pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.D1 net (fanout=1) 1.559 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y54.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg + OLOGIC_X23Y61.D1 net (fanout=1) 2.227 pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y61.CLK0 Todck 0.803 pcms[0].pcm/pcm_out_reg pcms[0].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 6.028ns (2.187ns logic, 3.841ns route) - (36.3% logic, 63.7% route) + Total 7.099ns (2.377ns logic, 4.722ns route) + (33.5% logic, 66.5% route) -------------------------------------------------------------------------------- -Paths for end point pcms[1].pcm/pcm_out_reg (OLOGIC_X0Y55.D1), 94 paths +Paths for end point pcms[1].pcm/pcm_out_reg (OLOGIC_X23Y60.D1), 94 paths -------------------------------------------------------------------------------- -Slack (setup path): 994.439ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[1].pcm/pulse_width_reg_5 (FF) +Slack (setup path): 994.056ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[1].pcm/pulse_width_reg_3 (FF) Destination: pcms[1].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.980ns (Levels of Logic = 4) - Clock Path Skew: 0.454ns (0.936 - 0.482) + Data Path Delay: 6.397ns (Levels of Logic = 3) + Clock Path Skew: 0.488ns (0.838 - 0.350) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -182,39 +182,36 @@ Slack (setup path): 994.439ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_5 to pcms[1].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_3 to pcms[1].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y56.DMUX Tshcko 0.488 pcms[1].pcm/pulse_width_reg<9> - pcms[1].pcm/pulse_width_reg_5 - SLICE_X10Y57.A1 net (fanout=5) 0.921 pcms[1].pcm/pulse_width_reg<5> - SLICE_X10Y57.AMUX Tilo 0.261 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1 - SLICE_X10Y58.D2 net (fanout=1) 0.651 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7> - SLICE_X10Y58.COUT Topcyd 0.274 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3 - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.CIN net (fanout=1) 0.003 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.AMUX Tcina 0.212 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X33Y54.BQ Tcko 0.391 pcms[1].pcm/pulse_width_reg<5> + pcms[1].pcm/pulse_width_reg_3 + SLICE_X35Y54.A2 net (fanout=6) 1.005 pcms[1].pcm/pulse_width_reg<3> + SLICE_X35Y54.A Tilo 0.259 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> + pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X36Y55.A4 net (fanout=1) 0.585 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X36Y55.AMUX Topaa 0.382 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B1 net (fanout=1) 0.609 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + SLICE_X35Y55.A1 net (fanout=1) 0.649 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y55.A Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.D1 net (fanout=1) 1.499 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg + OLOGIC_X23Y60.D1 net (fanout=1) 2.064 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y60.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg pcms[1].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.980ns (2.297ns logic, 3.683ns route) - (38.4% logic, 61.6% route) + Total 6.397ns (2.094ns logic, 4.303ns route) + (32.7% logic, 67.3% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.452ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[1].pcm/pulse_width_reg_5 (FF) +Slack (setup path): 994.059ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[1].pcm/pulse_width_reg_3 (FF) Destination: pcms[1].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.967ns (Levels of Logic = 4) - Clock Path Skew: 0.454ns (0.936 - 0.482) + Data Path Delay: 6.394ns (Levels of Logic = 3) + Clock Path Skew: 0.488ns (0.838 - 0.350) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -225,39 +222,36 @@ Slack (setup path): 994.452ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_5 to pcms[1].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_3 to pcms[1].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y56.DMUX Tshcko 0.488 pcms[1].pcm/pulse_width_reg<9> - pcms[1].pcm/pulse_width_reg_5 - SLICE_X10Y57.A1 net (fanout=5) 0.921 pcms[1].pcm/pulse_width_reg<5> - SLICE_X10Y57.AMUX Tilo 0.261 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1 - SLICE_X10Y58.D2 net (fanout=1) 0.651 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7> - SLICE_X10Y58.COUT Topcyd 0.261 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<3> - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.CIN net (fanout=1) 0.003 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.AMUX Tcina 0.212 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X33Y54.BQ Tcko 0.391 pcms[1].pcm/pulse_width_reg<5> + pcms[1].pcm/pulse_width_reg_3 + SLICE_X34Y54.B1 net (fanout=6) 1.033 pcms[1].pcm/pulse_width_reg<3> + SLICE_X34Y54.B Tilo 0.203 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8> + pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8>1 + SLICE_X36Y55.A2 net (fanout=1) 0.610 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8> + SLICE_X36Y55.AMUX Topaa 0.382 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B1 net (fanout=1) 0.609 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + SLICE_X35Y55.A1 net (fanout=1) 0.649 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y55.A Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.D1 net (fanout=1) 1.499 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg + OLOGIC_X23Y60.D1 net (fanout=1) 2.064 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y60.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg pcms[1].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.967ns (2.284ns logic, 3.683ns route) - (38.3% logic, 61.7% route) + Total 6.394ns (2.038ns logic, 4.356ns route) + (31.9% logic, 68.1% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.496ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[1].pcm/pulse_width_reg_4 (FF) +Slack (setup path): 994.068ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[1].pcm/pulse_width_reg_7 (FF) Destination: pcms[1].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.923ns (Levels of Logic = 4) - Clock Path Skew: 0.454ns (0.936 - 0.482) + Data Path Delay: 6.385ns (Levels of Logic = 4) + Clock Path Skew: 0.488ns (0.838 - 0.350) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -268,42 +262,42 @@ Slack (setup path): 994.496ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_4 to pcms[1].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[1].pcm/pulse_width_reg_7 to pcms[1].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X10Y56.CMUX Tshcko 0.488 pcms[1].pcm/pulse_width_reg<9> - pcms[1].pcm/pulse_width_reg_4 - SLICE_X10Y57.A2 net (fanout=5) 0.864 pcms[1].pcm/pulse_width_reg<4> - SLICE_X10Y57.AMUX Tilo 0.261 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1 - SLICE_X10Y58.D2 net (fanout=1) 0.651 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7> - SLICE_X10Y58.COUT Topcyd 0.274 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3 - pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.CIN net (fanout=1) 0.003 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X10Y59.AMUX Tcina 0.212 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X32Y54.BQ Tcko 0.408 pcms[1].pcm/pulse_width_reg<9> + pcms[1].pcm/pulse_width_reg_7 + SLICE_X35Y54.B4 net (fanout=3) 0.525 pcms[1].pcm/pulse_width_reg<7> + SLICE_X35Y54.B Tilo 0.259 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> + pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 + SLICE_X35Y54.A5 net (fanout=2) 0.192 N18 + SLICE_X35Y54.A Tilo 0.259 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> + pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X36Y55.A4 net (fanout=1) 0.585 pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X36Y55.AMUX Topaa 0.382 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B1 net (fanout=1) 0.609 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X11Y59.B Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + SLICE_X35Y55.A1 net (fanout=1) 0.649 pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y55.A Tilo 0.259 pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.D1 net (fanout=1) 1.499 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y55.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg + OLOGIC_X23Y60.D1 net (fanout=1) 2.064 pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y60.CLK0 Todck 0.803 pcms[1].pcm/pcm_out_reg pcms[1].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.923ns (2.297ns logic, 3.626ns route) - (38.8% logic, 61.2% route) + Total 6.385ns (2.370ns logic, 4.015ns route) + (37.1% logic, 62.9% route) -------------------------------------------------------------------------------- -Paths for end point pcms[3].pcm/pcm_out_reg (OLOGIC_X0Y51.D1), 94 paths +Paths for end point pcms[2].pcm/pcm_out_reg (OLOGIC_X23Y53.D1), 94 paths -------------------------------------------------------------------------------- -Slack (setup path): 994.742ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[3].pcm/pulse_width_reg_5 (FF) - Destination: pcms[3].pcm/pcm_out_reg (FF) +Slack (setup path): 994.445ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[2].pcm/pulse_width_reg_6 (FF) + Destination: pcms[2].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.602ns (Levels of Logic = 4) - Clock Path Skew: 0.379ns (1.016 - 0.637) + Data Path Delay: 6.002ns (Levels of Logic = 4) + Clock Path Skew: 0.482ns (0.746 - 0.264) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -314,39 +308,39 @@ Slack (setup path): 994.742ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[3].pcm/pulse_width_reg_5 to pcms[3].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[2].pcm/pulse_width_reg_6 to pcms[2].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X4Y42.DQ Tcko 0.408 pcms[3].pcm/pulse_width_reg<5> - pcms[3].pcm/pulse_width_reg_5 - SLICE_X7Y41.A1 net (fanout=5) 0.808 pcms[3].pcm/pulse_width_reg<5> - SLICE_X7Y41.A Tilo 0.259 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_xor<5>11 - SLICE_X4Y40.C3 net (fanout=1) 0.524 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - SLICE_X4Y40.COUT Topcyc 0.295 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<2> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.CIN net (fanout=1) 0.003 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.AMUX Tcina 0.194 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B1 net (fanout=1) 0.609 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B Tilo 0.259 pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> - pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.D1 net (fanout=1) 1.440 pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.CLK0 Todck 0.803 pcms[3].pcm/pcm_out_reg - pcms[3].pcm/pcm_out_reg + SLICE_X32Y50.AQ Tcko 0.408 pcms[2].pcm/pulse_width_reg<9> + pcms[2].pcm/pulse_width_reg_6 + SLICE_X33Y50.D4 net (fanout=3) 0.848 pcms[2].pcm/pulse_width_reg<6> + SLICE_X33Y50.D Tilo 0.259 N10 + pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 + SLICE_X33Y50.C6 net (fanout=2) 0.124 N10 + SLICE_X33Y50.C Tilo 0.259 N10 + pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X34Y50.A2 net (fanout=1) 0.615 pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X34Y50.AMUX Topaa 0.389 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4 + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A4 net (fanout=1) 0.301 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A Tilo 0.259 pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.D1 net (fanout=1) 1.737 pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.CLK0 Todck 0.803 pcms[2].pcm/pcm_out_reg + pcms[2].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.602ns (2.218ns logic, 3.384ns route) + Total 6.002ns (2.377ns logic, 3.625ns route) (39.6% logic, 60.4% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.746ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[3].pcm/pulse_width_reg_5 (FF) - Destination: pcms[3].pcm/pcm_out_reg (FF) +Slack (setup path): 994.457ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[2].pcm/pulse_width_reg_6 (FF) + Destination: pcms[2].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.598ns (Levels of Logic = 4) - Clock Path Skew: 0.379ns (1.016 - 0.637) + Data Path Delay: 5.990ns (Levels of Logic = 4) + Clock Path Skew: 0.482ns (0.746 - 0.264) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -357,39 +351,39 @@ Slack (setup path): 994.746ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[3].pcm/pulse_width_reg_5 to pcms[3].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[2].pcm/pulse_width_reg_6 to pcms[2].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X4Y42.DQ Tcko 0.408 pcms[3].pcm/pulse_width_reg<5> - pcms[3].pcm/pulse_width_reg_5 - SLICE_X7Y41.A1 net (fanout=5) 0.808 pcms[3].pcm/pulse_width_reg<5> - SLICE_X7Y41.A Tilo 0.259 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_xor<5>11 - SLICE_X4Y40.C3 net (fanout=1) 0.524 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5> - SLICE_X4Y40.COUT Topcyc 0.291 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi2 - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.CIN net (fanout=1) 0.003 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.AMUX Tcina 0.194 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B1 net (fanout=1) 0.609 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B Tilo 0.259 pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> - pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.D1 net (fanout=1) 1.440 pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.CLK0 Todck 0.803 pcms[3].pcm/pcm_out_reg - pcms[3].pcm/pcm_out_reg + SLICE_X32Y50.AQ Tcko 0.408 pcms[2].pcm/pulse_width_reg<9> + pcms[2].pcm/pulse_width_reg_6 + SLICE_X33Y50.D4 net (fanout=3) 0.848 pcms[2].pcm/pulse_width_reg<6> + SLICE_X33Y50.D Tilo 0.259 N10 + pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0 + SLICE_X33Y50.C6 net (fanout=2) 0.124 N10 + SLICE_X33Y50.C Tilo 0.259 N10 + pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X34Y50.A2 net (fanout=1) 0.615 pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9> + SLICE_X34Y50.AMUX Topaa 0.377 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4> + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A4 net (fanout=1) 0.301 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A Tilo 0.259 pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.D1 net (fanout=1) 1.737 pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.CLK0 Todck 0.803 pcms[2].pcm/pcm_out_reg + pcms[2].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.598ns (2.214ns logic, 3.384ns route) + Total 5.990ns (2.365ns logic, 3.625ns route) (39.5% logic, 60.5% route) -------------------------------------------------------------------------------- -Slack (setup path): 994.815ns (requirement - (data path - clock path skew + uncertainty)) - Source: pcms[3].pcm/pulse_width_reg_7 (FF) - Destination: pcms[3].pcm/pcm_out_reg (FF) +Slack (setup path): 994.472ns (requirement - (data path - clock path skew + uncertainty)) + Source: pcms[2].pcm/pulse_width_reg_6 (FF) + Destination: pcms[2].pcm/pcm_out_reg (FF) Requirement: 1000.000ns - Data Path Delay: 5.527ns (Levels of Logic = 4) - Clock Path Skew: 0.377ns (1.016 - 0.639) + Data Path Delay: 5.975ns (Levels of Logic = 4) + Clock Path Skew: 0.482ns (0.746 - 0.264) Source Clock: clk_1mhz_BUFG rising at 0.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.035ns @@ -400,121 +394,121 @@ Slack (setup path): 994.815ns (requirement - (data path - clock path skew + Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: pcms[3].pcm/pulse_width_reg_7 to pcms[3].pcm/pcm_out_reg + Maximum Data Path at Slow Process Corner: pcms[2].pcm/pulse_width_reg_6 to pcms[2].pcm/pcm_out_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X4Y43.BQ Tcko 0.408 pcms[3].pcm/pulse_width_reg<9> - pcms[3].pcm/pulse_width_reg_7 - SLICE_X4Y41.D1 net (fanout=3) 0.645 pcms[3].pcm/pulse_width_reg<7> - SLICE_X4Y41.DMUX Tilo 0.251 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> - pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1 - SLICE_X4Y40.D2 net (fanout=1) 0.634 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7> - SLICE_X4Y40.COUT Topcyd 0.281 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3 - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.CIN net (fanout=1) 0.003 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> - SLICE_X4Y41.AMUX Tcina 0.194 pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> - pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B1 net (fanout=1) 0.609 pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> - SLICE_X5Y41.B Tilo 0.259 pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> - pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.D1 net (fanout=1) 1.440 pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_o - OLOGIC_X0Y51.CLK0 Todck 0.803 pcms[3].pcm/pcm_out_reg - pcms[3].pcm/pcm_out_reg + SLICE_X32Y50.AQ Tcko 0.408 pcms[2].pcm/pulse_width_reg<9> + pcms[2].pcm/pulse_width_reg_6 + SLICE_X33Y50.A1 net (fanout=3) 1.048 pcms[2].pcm/pulse_width_reg<6> + SLICE_X33Y50.A Tilo 0.259 N10 + pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>1 + SLICE_X34Y49.D3 net (fanout=1) 0.671 pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6> + SLICE_X34Y49.COUT Topcyd 0.274 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3 + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> + SLICE_X34Y50.CIN net (fanout=1) 0.003 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3> + SLICE_X34Y50.AMUX Tcina 0.212 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A4 net (fanout=1) 0.301 pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4> + SLICE_X35Y50.A Tilo 0.259 pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9> + pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.D1 net (fanout=1) 1.737 pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_o + OLOGIC_X23Y53.CLK0 Todck 0.803 pcms[2].pcm/pcm_out_reg + pcms[2].pcm/pcm_out_reg ------------------------------------------------- --------------------------- - Total 5.527ns (2.196ns logic, 3.331ns route) - (39.7% logic, 60.3% route) + Total 5.975ns (2.215ns logic, 3.760ns route) + (37.1% logic, 62.9% route) -------------------------------------------------------------------------------- Hold Paths: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%; -------------------------------------------------------------------------------- -Paths for end point pcms[0].pcm/pcm_count_11 (SLICE_X8Y55.D6), 1 path +Paths for end point pcms[2].pcm/pcm_count_11 (SLICE_X36Y52.D6), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.468ns (requirement - (clock path skew + uncertainty - data path)) - Source: pcms[0].pcm/pcm_count_11 (FF) - Destination: pcms[0].pcm/pcm_count_11 (FF) +Slack (hold path): 0.459ns (requirement - (clock path skew + uncertainty - data path)) + Source: pcms[2].pcm/pcm_count_11 (FF) + Destination: pcms[2].pcm/pcm_count_11 (FF) Requirement: 0.000ns - Data Path Delay: 0.468ns (Levels of Logic = 1) + Data Path Delay: 0.459ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: clk_1mhz_BUFG rising at 1000.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: pcms[0].pcm/pcm_count_11 to pcms[0].pcm/pcm_count_11 + Minimum Data Path at Fast Process Corner: pcms[2].pcm/pcm_count_11 to pcms[2].pcm/pcm_count_11 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X8Y55.DQ Tcko 0.200 pcms[0].pcm/pcm_count<11> - pcms[0].pcm/pcm_count_11 - SLICE_X8Y55.D6 net (fanout=3) 0.031 pcms[0].pcm/pcm_count<11> - SLICE_X8Y55.CLK Tah (-Th) -0.237 pcms[0].pcm/pcm_count<11> - pcms[0].pcm/pcm_count<11>_rt - pcms[0].pcm/Mcount_pcm_count_xor<11> - pcms[0].pcm/pcm_count_11 + SLICE_X36Y52.DQ Tcko 0.200 pcms[2].pcm/pcm_count<11> + pcms[2].pcm/pcm_count_11 + SLICE_X36Y52.D6 net (fanout=3) 0.022 pcms[2].pcm/pcm_count<11> + SLICE_X36Y52.CLK Tah (-Th) -0.237 pcms[2].pcm/pcm_count<11> + pcms[2].pcm/pcm_count<11>_rt + pcms[2].pcm/Mcount_pcm_count_xor<11> + pcms[2].pcm/pcm_count_11 ------------------------------------------------- --------------------------- - Total 0.468ns (0.437ns logic, 0.031ns route) - (93.4% logic, 6.6% route) + Total 0.459ns (0.437ns logic, 0.022ns route) + (95.2% logic, 4.8% route) -------------------------------------------------------------------------------- -Paths for end point pcms[0].pcm/pcm_count_1 (SLICE_X8Y53.B5), 1 path +Paths for end point pcms[0].pcm/pcm_count_11 (SLICE_X28Y61.D6), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.508ns (requirement - (clock path skew + uncertainty - data path)) - Source: pcms[0].pcm/pcm_count_1 (FF) - Destination: pcms[0].pcm/pcm_count_1 (FF) +Slack (hold path): 0.468ns (requirement - (clock path skew + uncertainty - data path)) + Source: pcms[0].pcm/pcm_count_11 (FF) + Destination: pcms[0].pcm/pcm_count_11 (FF) Requirement: 0.000ns - Data Path Delay: 0.508ns (Levels of Logic = 1) + Data Path Delay: 0.468ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: clk_1mhz_BUFG rising at 1000.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: pcms[0].pcm/pcm_count_1 to pcms[0].pcm/pcm_count_1 + Minimum Data Path at Fast Process Corner: pcms[0].pcm/pcm_count_11 to pcms[0].pcm/pcm_count_11 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X8Y53.BQ Tcko 0.200 pcms[0].pcm/pcm_count<3> - pcms[0].pcm/pcm_count_1 - SLICE_X8Y53.B5 net (fanout=2) 0.074 pcms[0].pcm/pcm_count<1> - SLICE_X8Y53.CLK Tah (-Th) -0.234 pcms[0].pcm/pcm_count<3> - pcms[0].pcm/pcm_count<1>_rt - pcms[0].pcm/Mcount_pcm_count_cy<3> - pcms[0].pcm/pcm_count_1 + SLICE_X28Y61.DQ Tcko 0.200 pcms[0].pcm/pcm_count<11> + pcms[0].pcm/pcm_count_11 + SLICE_X28Y61.D6 net (fanout=3) 0.031 pcms[0].pcm/pcm_count<11> + SLICE_X28Y61.CLK Tah (-Th) -0.237 pcms[0].pcm/pcm_count<11> + pcms[0].pcm/pcm_count<11>_rt + pcms[0].pcm/Mcount_pcm_count_xor<11> + pcms[0].pcm/pcm_count_11 ------------------------------------------------- --------------------------- - Total 0.508ns (0.434ns logic, 0.074ns route) - (85.4% logic, 14.6% route) + Total 0.468ns (0.437ns logic, 0.031ns route) + (93.4% logic, 6.6% route) -------------------------------------------------------------------------------- -Paths for end point pcms[0].pcm/pcm_count_9 (SLICE_X8Y55.B5), 1 path +Paths for end point pcms[3].pcm/pcm_count_11 (SLICE_X36Y45.D6), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.519ns (requirement - (clock path skew + uncertainty - data path)) - Source: pcms[0].pcm/pcm_count_9 (FF) - Destination: pcms[0].pcm/pcm_count_9 (FF) +Slack (hold path): 0.471ns (requirement - (clock path skew + uncertainty - data path)) + Source: pcms[3].pcm/pcm_count_11 (FF) + Destination: pcms[3].pcm/pcm_count_11 (FF) Requirement: 0.000ns - Data Path Delay: 0.519ns (Levels of Logic = 1) + Data Path Delay: 0.471ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: clk_1mhz_BUFG rising at 1000.000ns Destination Clock: clk_1mhz_BUFG rising at 1000.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: pcms[0].pcm/pcm_count_9 to pcms[0].pcm/pcm_count_9 + Minimum Data Path at Fast Process Corner: pcms[3].pcm/pcm_count_11 to pcms[3].pcm/pcm_count_11 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X8Y55.BQ Tcko 0.200 pcms[0].pcm/pcm_count<11> - pcms[0].pcm/pcm_count_9 - SLICE_X8Y55.B5 net (fanout=4) 0.085 pcms[0].pcm/pcm_count<9> - SLICE_X8Y55.CLK Tah (-Th) -0.234 pcms[0].pcm/pcm_count<11> - pcms[0].pcm/pcm_count<9>_rt - pcms[0].pcm/Mcount_pcm_count_xor<11> - pcms[0].pcm/pcm_count_9 + SLICE_X36Y45.DQ Tcko 0.200 pcms[3].pcm/pcm_count<11> + pcms[3].pcm/pcm_count_11 + SLICE_X36Y45.D6 net (fanout=3) 0.034 pcms[3].pcm/pcm_count<11> + SLICE_X36Y45.CLK Tah (-Th) -0.237 pcms[3].pcm/pcm_count<11> + pcms[3].pcm/pcm_count<11>_rt + pcms[3].pcm/Mcount_pcm_count_xor<11> + pcms[3].pcm/pcm_count_11 ------------------------------------------------- --------------------------- - Total 0.519ns (0.434ns logic, 0.085ns route) - (83.6% logic, 16.4% route) + Total 0.471ns (0.437ns logic, 0.034ns route) + (92.8% logic, 7.2% route) -------------------------------------------------------------------------------- @@ -533,7 +527,7 @@ Slack: 998.361ns (period - min period limit) Min period limit: 1.639ns (610.128MHz) (Tockper) Physical resource: pcms[0].pcm/pcm_out_reg/CLK0 Logical resource: pcms[0].pcm/pcm_out_reg/CK0 - Location pin: OLOGIC_X0Y54.CLK0 + Location pin: OLOGIC_X23Y61.CLK0 Clock network: clk_1mhz_BUFG -------------------------------------------------------------------------------- Slack: 998.361ns (period - min period limit) @@ -541,7 +535,7 @@ Slack: 998.361ns (period - min period limit) Min period limit: 1.639ns (610.128MHz) (Tockper) Physical resource: pcms[1].pcm/pcm_out_reg/CLK0 Logical resource: pcms[1].pcm/pcm_out_reg/CK0 - Location pin: OLOGIC_X0Y55.CLK0 + Location pin: OLOGIC_X23Y60.CLK0 Clock network: clk_1mhz_BUFG -------------------------------------------------------------------------------- @@ -589,17 +583,17 @@ Timing constraint: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%; 220 paths analyzed, 63 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) - Minimum period is 4.671ns. + Minimum period is 4.755ns. -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D3), 5 paths +Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D5), 5 paths -------------------------------------------------------------------------------- -Slack (setup path): 60.370ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/spi_slave/state_reg_2 (FF) +Slack (setup path): 60.645ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/spi_slave/sh_reg_7 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 2.098ns (Levels of Logic = 2) - Clock Path Skew: 0.003ns (0.371 - 0.368) + Data Path Delay: 1.807ns (Levels of Logic = 2) + Clock Path Skew: -0.013ns (0.142 - 0.155) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -610,30 +604,30 @@ Slack (setup path): 60.370ns (requirement - (data path - clock path skew + u Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/spi_slave/state_reg_2 to mem/spi_slave/tx_bit_reg + Maximum Data Path at Slow Process Corner: mem/spi_slave/sh_reg_7 to mem/spi_slave/tx_bit_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X17Y37.AMUX Tshcko 0.461 mem/spi_slave/sh_next<6>1 - mem/spi_slave/state_reg_2 - SLICE_X14Y35.C2 net (fanout=21) 0.875 mem/spi_slave/state_reg<2> - SLICE_X14Y35.C Tilo 0.204 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y37.BQ Tcko 0.408 mem/spi_slave/sh_reg<6> + mem/spi_slave/sh_reg_7 + SLICE_X32Y39.C2 net (fanout=3) 0.777 mem/spi_slave/sh_reg<7> + SLICE_X32Y39.C Tilo 0.205 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.D3 net (fanout=1) 0.404 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D5 net (fanout=1) 0.204 mem/spi_slave/tx_bit_next2 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 2.098ns (0.819ns logic, 1.279ns route) - (39.0% logic, 61.0% route) + Total 1.807ns (0.826ns logic, 0.981ns route) + (45.7% logic, 54.3% route) -------------------------------------------------------------------------------- -Slack (setup path): 60.648ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/spi_slave/sh_reg_7 (FF) +Slack (setup path): 60.680ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/spi_slave/state_reg_2 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.820ns (Levels of Logic = 2) - Clock Path Skew: 0.003ns (0.371 - 0.368) + Data Path Delay: 1.760ns (Levels of Logic = 2) + Clock Path Skew: -0.025ns (0.239 - 0.264) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -644,30 +638,30 @@ Slack (setup path): 60.648ns (requirement - (data path - clock path skew + u Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/spi_slave/sh_reg_7 to mem/spi_slave/tx_bit_reg + Maximum Data Path at Slow Process Corner: mem/spi_slave/state_reg_2 to mem/spi_slave/tx_bit_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X16Y37.AQ Tcko 0.408 mem/spi_slave/sh_reg<6> - mem/spi_slave/sh_reg_7 - SLICE_X14Y35.C4 net (fanout=3) 0.650 mem/spi_slave/sh_reg<7> - SLICE_X14Y35.C Tilo 0.204 mem/spi_slave/Mmux_sh_next241 + SLICE_X34Y38.AMUX Tshcko 0.488 mem/spi_slave/GND_14_o_state_reg[3]_equal_13_o + mem/spi_slave/state_reg_2 + SLICE_X32Y39.C4 net (fanout=21) 0.650 mem/spi_slave/state_reg<2> + SLICE_X32Y39.C Tilo 0.205 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.D3 net (fanout=1) 0.404 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D5 net (fanout=1) 0.204 mem/spi_slave/tx_bit_next2 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.820ns (0.766ns logic, 1.054ns route) - (42.1% logic, 57.9% route) + Total 1.760ns (0.906ns logic, 0.854ns route) + (51.5% logic, 48.5% route) -------------------------------------------------------------------------------- -Slack (setup path): 60.700ns (requirement - (data path - clock path skew + uncertainty)) +Slack (setup path): 60.925ns (requirement - (data path - clock path skew + uncertainty)) Source: mem/spi_slave/state_reg_0 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.760ns (Levels of Logic = 2) - Clock Path Skew: -0.005ns (0.151 - 0.156) + Data Path Delay: 1.529ns (Levels of Logic = 2) + Clock Path Skew: -0.011ns (0.142 - 0.153) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -682,29 +676,29 @@ Slack (setup path): 60.700ns (requirement - (data path - clock path skew + u Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X14Y37.AQ Tcko 0.447 mem/spi_slave/state_reg<3> + SLICE_X33Y38.AQ Tcko 0.391 mem/spi_slave/state_reg<3> mem/spi_slave/state_reg_0 - SLICE_X14Y35.C3 net (fanout=20) 0.551 mem/spi_slave/state_reg<0> - SLICE_X14Y35.C Tilo 0.204 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.C3 net (fanout=20) 0.516 mem/spi_slave/state_reg<0> + SLICE_X32Y39.C Tilo 0.205 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.D3 net (fanout=1) 0.404 mem/spi_slave/tx_bit_next2 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D5 net (fanout=1) 0.204 mem/spi_slave/tx_bit_next2 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.760ns (0.805ns logic, 0.955ns route) - (45.7% logic, 54.3% route) + Total 1.529ns (0.809ns logic, 0.720ns route) + (52.9% logic, 47.1% route) -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D5), 4 paths +Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D4), 4 paths -------------------------------------------------------------------------------- -Slack (setup path): 60.492ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/spi_slave/state_reg_2 (FF) +Slack (setup path): 60.733ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/spi_slave/state_reg_1 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.976ns (Levels of Logic = 2) - Clock Path Skew: 0.003ns (0.371 - 0.368) + Data Path Delay: 1.721ns (Levels of Logic = 2) + Clock Path Skew: -0.011ns (0.142 - 0.153) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -715,30 +709,30 @@ Slack (setup path): 60.492ns (requirement - (data path - clock path skew + u Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/spi_slave/state_reg_2 to mem/spi_slave/tx_bit_reg + Maximum Data Path at Slow Process Corner: mem/spi_slave/state_reg_1 to mem/spi_slave/tx_bit_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X17Y37.AMUX Tshcko 0.461 mem/spi_slave/sh_next<6>1 - mem/spi_slave/state_reg_2 - SLICE_X15Y36.C3 net (fanout=21) 0.746 mem/spi_slave/state_reg<2> - SLICE_X15Y36.C Tilo 0.259 mem/spi_slave/sh_reg<3> + SLICE_X33Y38.AMUX Tshcko 0.461 mem/spi_slave/state_reg<3> + mem/spi_slave/state_reg_1 + SLICE_X33Y39.B4 net (fanout=21) 0.559 mem/spi_slave/state_reg<1> + SLICE_X33Y39.B Tilo 0.259 mem/spi_slave/tx_bit_next1 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.D5 net (fanout=1) 0.356 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D4 net (fanout=1) 0.229 mem/spi_slave/tx_bit_next1 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.976ns (0.874ns logic, 1.102ns route) - (44.2% logic, 55.8% route) + Total 1.721ns (0.933ns logic, 0.788ns route) + (54.2% logic, 45.8% route) -------------------------------------------------------------------------------- -Slack (setup path): 60.591ns (requirement - (data path - clock path skew + uncertainty)) +Slack (setup path): 60.740ns (requirement - (data path - clock path skew + uncertainty)) Source: mem/spi_slave/state_reg_0 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.869ns (Levels of Logic = 2) - Clock Path Skew: -0.005ns (0.151 - 0.156) + Data Path Delay: 1.714ns (Levels of Logic = 2) + Clock Path Skew: -0.011ns (0.142 - 0.153) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -753,26 +747,26 @@ Slack (setup path): 60.591ns (requirement - (data path - clock path skew + u Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X14Y37.AQ Tcko 0.447 mem/spi_slave/state_reg<3> + SLICE_X33Y38.AQ Tcko 0.391 mem/spi_slave/state_reg<3> mem/spi_slave/state_reg_0 - SLICE_X15Y36.C2 net (fanout=20) 0.653 mem/spi_slave/state_reg<0> - SLICE_X15Y36.C Tilo 0.259 mem/spi_slave/sh_reg<3> + SLICE_X33Y39.B1 net (fanout=20) 0.622 mem/spi_slave/state_reg<0> + SLICE_X33Y39.B Tilo 0.259 mem/spi_slave/tx_bit_next1 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.D5 net (fanout=1) 0.356 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D4 net (fanout=1) 0.229 mem/spi_slave/tx_bit_next1 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.869ns (0.860ns logic, 1.009ns route) - (46.0% logic, 54.0% route) + Total 1.714ns (0.863ns logic, 0.851ns route) + (50.4% logic, 49.6% route) -------------------------------------------------------------------------------- -Slack (setup path): 60.774ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/spi_slave/sh_reg_7 (FF) +Slack (setup path): 60.756ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/spi_slave/state_reg_2 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.694ns (Levels of Logic = 2) - Clock Path Skew: 0.003ns (0.371 - 0.368) + Data Path Delay: 1.684ns (Levels of Logic = 2) + Clock Path Skew: -0.025ns (0.239 - 0.264) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -783,33 +777,33 @@ Slack (setup path): 60.774ns (requirement - (data path - clock path skew + u Discrete Jitter (DJ): 0.000ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/spi_slave/sh_reg_7 to mem/spi_slave/tx_bit_reg + Maximum Data Path at Slow Process Corner: mem/spi_slave/state_reg_2 to mem/spi_slave/tx_bit_reg Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X16Y37.AQ Tcko 0.408 mem/spi_slave/sh_reg<6> - mem/spi_slave/sh_reg_7 - SLICE_X15Y36.C4 net (fanout=3) 0.517 mem/spi_slave/sh_reg<7> - SLICE_X15Y36.C Tilo 0.259 mem/spi_slave/sh_reg<3> + SLICE_X34Y38.AMUX Tshcko 0.488 mem/spi_slave/GND_14_o_state_reg[3]_equal_13_o + mem/spi_slave/state_reg_2 + SLICE_X33Y39.B5 net (fanout=21) 0.495 mem/spi_slave/state_reg<2> + SLICE_X33Y39.B Tilo 0.259 mem/spi_slave/tx_bit_next1 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.D5 net (fanout=1) 0.356 mem/spi_slave/tx_bit_next1 - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D4 net (fanout=1) 0.229 mem/spi_slave/tx_bit_next1 + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.694ns (0.821ns logic, 0.873ns route) - (48.5% logic, 51.5% route) + Total 1.684ns (0.960ns logic, 0.724ns route) + (57.0% logic, 43.0% route) -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D4), 1 path +Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D3), 1 path -------------------------------------------------------------------------------- -Slack (setup path): 61.206ns (requirement - (data path - clock path skew + uncertainty)) +Slack (setup path): 61.368ns (requirement - (data path - clock path skew + uncertainty)) Source: mem/spi_slave/state_reg_3 (FF) Destination: mem/spi_slave/tx_bit_reg (FF) Requirement: 62.500ns - Data Path Delay: 1.254ns (Levels of Logic = 1) - Clock Path Skew: -0.005ns (0.151 - 0.156) + Data Path Delay: 1.086ns (Levels of Logic = 1) + Clock Path Skew: -0.011ns (0.142 - 0.153) Source Clock: sclk_IBUF_BUFG rising at 62.500ns Destination Clock: sclk_IBUF_BUFG falling at 125.000ns Clock Uncertainty: 0.035ns @@ -824,28 +818,28 @@ Slack (setup path): 61.206ns (requirement - (data path - clock path skew + u Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X14Y37.BQ Tcko 0.447 mem/spi_slave/state_reg<3> + SLICE_X33Y38.BQ Tcko 0.391 mem/spi_slave/state_reg<3> mem/spi_slave/state_reg_3 - SLICE_X14Y35.D4 net (fanout=9) 0.653 mem/spi_slave/state_reg<3> - SLICE_X14Y35.CLK Tas 0.154 mem/spi_slave/Mmux_sh_next241 + SLICE_X32Y39.D3 net (fanout=9) 0.482 mem/spi_slave/state_reg<3> + SLICE_X32Y39.CLK Tas 0.213 mem/spi_slave/Mmux_sh_next241 mem/spi_slave/tx_bit_next3 mem/spi_slave/tx_bit_reg ------------------------------------------------- --------------------------- - Total 1.254ns (0.601ns logic, 0.653ns route) - (47.9% logic, 52.1% route) + Total 1.086ns (0.604ns logic, 0.482ns route) + (55.6% logic, 44.4% route) -------------------------------------------------------------------------------- Hold Paths: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%; -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/sh_reg_0 (SLICE_X15Y36.A6), 1 path +Paths for end point mem/spi_slave/sh_reg_0 (SLICE_X37Y38.A6), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.436ns (requirement - (clock path skew + uncertainty - data path)) +Slack (hold path): 0.435ns (requirement - (clock path skew + uncertainty - data path)) Source: mem/spi_slave/sh_reg_0 (FF) Destination: mem/spi_slave/sh_reg_0 (FF) Requirement: 0.000ns - Data Path Delay: 0.436ns (Levels of Logic = 1) + Data Path Delay: 0.435ns (Levels of Logic = 1) Clock Path Skew: 0.000ns Source Clock: sclk_IBUF_BUFG rising at 187.500ns Destination Clock: sclk_IBUF_BUFG rising at 187.500ns @@ -855,26 +849,26 @@ Slack (hold path): 0.436ns (requirement - (clock path skew + uncertainty - Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X15Y36.AQ Tcko 0.198 mem/spi_slave/sh_reg<3> + SLICE_X37Y38.AQ Tcko 0.198 mem/spi_slave/sh_reg<3> mem/spi_slave/sh_reg_0 - SLICE_X15Y36.A6 net (fanout=3) 0.023 mem/spi_slave/sh_reg<0> - SLICE_X15Y36.CLK Tah (-Th) -0.215 mem/spi_slave/sh_reg<3> + SLICE_X37Y38.A6 net (fanout=3) 0.022 mem/spi_slave/sh_reg<0> + SLICE_X37Y38.CLK Tah (-Th) -0.215 mem/spi_slave/sh_reg<3> mem/spi_slave/Mmux_sh_next11 mem/spi_slave/sh_reg_0 ------------------------------------------------- --------------------------- - Total 0.436ns (0.413ns logic, 0.023ns route) - (94.7% logic, 5.3% route) + Total 0.435ns (0.413ns logic, 0.022ns route) + (94.9% logic, 5.1% route) -------------------------------------------------------------------------------- -Paths for end point mem/addr_hold_5 (SLICE_X12Y37.B3), 1 path +Paths for end point mem/addr_hold_0 (SLICE_X42Y37.B5), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.485ns (requirement - (clock path skew + uncertainty - data path)) - Source: mem/spi_slave/do_buffer_reg_5 (FF) - Destination: mem/addr_hold_5 (FF) +Slack (hold path): 0.439ns (requirement - (clock path skew + uncertainty - data path)) + Source: mem/spi_slave/do_buffer_reg_0 (FF) + Destination: mem/addr_hold_0 (FF) Requirement: 0.000ns - Data Path Delay: 0.723ns (Levels of Logic = 1) - Clock Path Skew: -0.453ns (0.665 - 1.118) + Data Path Delay: 0.713ns (Levels of Logic = 1) + Clock Path Skew: -0.417ns (0.575 - 0.992) Source Clock: sclk_IBUF_BUFG rising at 187.500ns Destination Clock: fastclk rising at 187.500ns Clock Uncertainty: 0.691ns @@ -885,30 +879,30 @@ Slack (hold path): 0.485ns (requirement - (clock path skew + uncertainty - Discrete Jitter (DJ): 1.210ns Phase Error (PE): 0.050ns - Minimum Data Path at Fast Process Corner: mem/spi_slave/do_buffer_reg_5 to mem/addr_hold_5 + Minimum Data Path at Fast Process Corner: mem/spi_slave/do_buffer_reg_0 to mem/addr_hold_0 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X14Y36.DQ Tcko 0.234 mem/spi_slave/do_buffer_reg<5> - mem/spi_slave/do_buffer_reg_5 - SLICE_X12Y37.B3 net (fanout=2) 0.299 mem/spi_slave/do_buffer_reg<5> - SLICE_X12Y37.CLK Tah (-Th) -0.190 mem/addr_hold<6> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT61 - mem/addr_hold_5 + SLICE_X36Y37.AQ Tcko 0.200 mem/spi_slave/do_buffer_reg<1> + mem/spi_slave/do_buffer_reg_0 + SLICE_X42Y37.B5 net (fanout=2) 0.382 mem/spi_slave/do_buffer_reg<0> + SLICE_X42Y37.CLK Tah (-Th) -0.131 mem/addr_hold<3> + mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT11 + mem/addr_hold_0 ------------------------------------------------- --------------------------- - Total 0.723ns (0.424ns logic, 0.299ns route) - (58.6% logic, 41.4% route) + Total 0.713ns (0.331ns logic, 0.382ns route) + (46.4% logic, 53.6% route) -------------------------------------------------------------------------------- -Paths for end point mem/addr_hold_6 (SLICE_X12Y37.C4), 1 path +Paths for end point mem/addr_hold_4 (SLICE_X43Y37.A5), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.499ns (requirement - (clock path skew + uncertainty - data path)) - Source: mem/spi_slave/do_buffer_reg_6 (FF) - Destination: mem/addr_hold_6 (FF) +Slack (hold path): 0.493ns (requirement - (clock path skew + uncertainty - data path)) + Source: mem/spi_slave/do_buffer_reg_4 (FF) + Destination: mem/addr_hold_4 (FF) Requirement: 0.000ns - Data Path Delay: 0.755ns (Levels of Logic = 1) - Clock Path Skew: -0.435ns (0.665 - 1.100) + Data Path Delay: 0.770ns (Levels of Logic = 1) + Clock Path Skew: -0.414ns (0.575 - 0.989) Source Clock: sclk_IBUF_BUFG rising at 187.500ns Destination Clock: fastclk rising at 187.500ns Clock Uncertainty: 0.691ns @@ -919,19 +913,19 @@ Slack (hold path): 0.499ns (requirement - (clock path skew + uncertainty - Discrete Jitter (DJ): 1.210ns Phase Error (PE): 0.050ns - Minimum Data Path at Fast Process Corner: mem/spi_slave/do_buffer_reg_6 to mem/addr_hold_6 + Minimum Data Path at Fast Process Corner: mem/spi_slave/do_buffer_reg_4 to mem/addr_hold_4 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X16Y38.AQ Tcko 0.200 mem/spi_slave/do_buffer_reg<7> - mem/spi_slave/do_buffer_reg_6 - SLICE_X12Y37.C4 net (fanout=2) 0.365 mem/spi_slave/do_buffer_reg<6> - SLICE_X12Y37.CLK Tah (-Th) -0.190 mem/addr_hold<6> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT71 - mem/addr_hold_6 + SLICE_X36Y38.BQ Tcko 0.200 mem/spi_slave/do_buffer_reg<5> + mem/spi_slave/do_buffer_reg_4 + SLICE_X43Y37.A5 net (fanout=2) 0.355 mem/spi_slave/do_buffer_reg<4> + SLICE_X43Y37.CLK Tah (-Th) -0.215 mem/addr_hold<6> + mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52 + mem/addr_hold_4 ------------------------------------------------- --------------------------- - Total 0.755ns (0.390ns logic, 0.365ns route) - (51.7% logic, 48.3% route) + Total 0.770ns (0.415ns logic, 0.355ns route) + (53.9% logic, 46.1% route) -------------------------------------------------------------------------------- @@ -942,7 +936,7 @@ Slack: 123.270ns (period - min period limit) Min period limit: 1.730ns (578.035MHz) (Tbcper_I) Physical resource: sclk_IBUF_BUFG/I0 Logical resource: sclk_IBUF_BUFG/I0 - Location pin: BUFGMUX_X2Y11.I0 + Location pin: BUFGMUX_X3Y8.I0 Clock network: sclk_IBUF_direct -------------------------------------------------------------------------------- Slack: 123.940ns (period - (min high pulse limit / (high pulse / period))) @@ -951,7 +945,7 @@ Slack: 123.940ns (period - (min high pulse limit / (high pulse / period))) High pulse limit: 0.530ns (Tispwh) Physical resource: ssel_IBUF/SR Logical resource: mem/spi_slave/preload_miso/SR - Location pin: ILOGIC_X0Y29.SR + Location pin: ILOGIC_X23Y48.SR Clock network: ssel_IBUF -------------------------------------------------------------------------------- Slack: 123.941ns (period - min period limit) @@ -959,7 +953,7 @@ Slack: 123.941ns (period - min period limit) Min period limit: 1.059ns (944.287MHz) (Tickper) Physical resource: sclk_IBUF/CLK0 Logical resource: mem/sclk_del_reg/CLK0 - Location pin: ILOGIC_X0Y35.CLK0 + Location pin: ILOGIC_X23Y32.CLK0 Clock network: fastclk -------------------------------------------------------------------------------- @@ -969,51 +963,17 @@ TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns; 1162 paths analyzed, 540 endpoints analyzed, 0 failing endpoints 0 timing errors detected. (0 setup errors, 0 hold errors, 0 component switching limit errors) - Minimum period is 5.017ns. --------------------------------------------------------------------------------- - -Paths for end point mem/addr_hold_4 (SLICE_X12Y37.A2), 2 paths + Minimum period is 5.029ns. -------------------------------------------------------------------------------- -Slack (setup path): 4.983ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/sclk_del_reg (FF) - Destination: mem/addr_hold_4 (FF) - Requirement: 10.000ns - Data Path Delay: 3.813ns (Levels of Logic = 2) - Clock Path Skew: -0.563ns (0.380 - 0.943) - Source Clock: fastclk rising at 0.000ns - Destination Clock: fastclk rising at 10.000ns - Clock Uncertainty: 0.641ns - - Clock Uncertainty: 0.641ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Total Input Jitter (TIJ): 0.010ns - Discrete Jitter (DJ): 1.210ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: mem/sclk_del_reg to mem/addr_hold_4 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - ILOGIC_X0Y35.Q4 Tickq 0.992 sclk_IBUF - mem/sclk_del_reg - SLICE_X13Y37.B3 net (fanout=10) 1.804 mem/sclk_del_reg - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X12Y37.A2 net (fanout=4) 0.417 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X12Y37.CLK Tas 0.341 mem/addr_hold<6> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52 - mem/addr_hold_4 - ------------------------------------------------- --------------------------- - Total 3.813ns (1.592ns logic, 2.221ns route) - (41.8% logic, 58.2% route) +Paths for end point mem/rd_data_out_1 (SLICE_X30Y43.BX), 1 path -------------------------------------------------------------------------------- -Slack (setup path): 7.135ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB (FF) - Destination: mem/addr_hold_4 (FF) +Slack (setup path): 4.971ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/regs/Mram_regs (RAM) + Destination: mem/rd_data_out_1 (FF) Requirement: 10.000ns - Data Path Delay: 2.187ns (Levels of Logic = 2) - Clock Path Skew: -0.037ns (0.380 - 0.417) + Data Path Delay: 4.286ns (Levels of Logic = 0) + Clock Path Skew: -0.102ns (0.244 - 0.346) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.641ns @@ -1024,33 +984,29 @@ Slack (setup path): 7.135ns (requirement - (data path - clock path skew + un Discrete Jitter (DJ): 1.210ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB to mem/addr_hold_4 + Maximum Data Path at Slow Process Corner: mem/regs/Mram_regs to mem/rd_data_out_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X8Y36.AQ Tcko 0.408 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B4 net (fanout=8) 0.762 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X12Y37.A2 net (fanout=4) 0.417 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X12Y37.CLK Tas 0.341 mem/addr_hold<6> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52 - mem/addr_hold_4 + RAMB8_X2Y18.DOBDO1 Trcko_DOB 1.850 mem/regs/Mram_regs + mem/regs/Mram_regs + SLICE_X30Y43.BX net (fanout=2) 2.350 mem/reg_rd_data<1> + SLICE_X30Y43.CLK Tdick 0.086 mem/rd_data_out<3> + mem/rd_data_out_1 ------------------------------------------------- --------------------------- - Total 2.187ns (1.008ns logic, 1.179ns route) - (46.1% logic, 53.9% route) + Total 4.286ns (1.936ns logic, 2.350ns route) + (45.2% logic, 54.8% route) -------------------------------------------------------------------------------- -Paths for end point mem/addr_hold_7 (SLICE_X10Y37.B4), 2 paths +Paths for end point mem/rd_data_out_2 (SLICE_X30Y43.CX), 1 path -------------------------------------------------------------------------------- -Slack (setup path): 4.991ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/sclk_del_reg (FF) - Destination: mem/addr_hold_7 (FF) +Slack (setup path): 5.297ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/regs/Mram_regs (RAM) + Destination: mem/rd_data_out_2 (FF) Requirement: 10.000ns - Data Path Delay: 3.816ns (Levels of Logic = 2) - Clock Path Skew: -0.552ns (0.391 - 0.943) + Data Path Delay: 3.960ns (Levels of Logic = 0) + Clock Path Skew: -0.102ns (0.244 - 0.346) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.641ns @@ -1061,101 +1017,29 @@ Slack (setup path): 4.991ns (requirement - (data path - clock path skew + un Discrete Jitter (DJ): 1.210ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/sclk_del_reg to mem/addr_hold_7 + Maximum Data Path at Slow Process Corner: mem/regs/Mram_regs to mem/rd_data_out_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - ILOGIC_X0Y35.Q4 Tickq 0.992 sclk_IBUF - mem/sclk_del_reg - SLICE_X13Y37.B3 net (fanout=10) 1.804 mem/sclk_del_reg - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X10Y37.B4 net (fanout=4) 0.472 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X10Y37.CLK Tas 0.289 mem/addr_hold<7> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT81 - mem/addr_hold_7 + RAMB8_X2Y18.DOBDO2 Trcko_DOB 1.850 mem/regs/Mram_regs + mem/regs/Mram_regs + SLICE_X30Y43.CX net (fanout=2) 2.024 mem/reg_rd_data<2> + SLICE_X30Y43.CLK Tdick 0.086 mem/rd_data_out<3> + mem/rd_data_out_2 ------------------------------------------------- --------------------------- - Total 3.816ns (1.540ns logic, 2.276ns route) - (40.4% logic, 59.6% route) + Total 3.960ns (1.936ns logic, 2.024ns route) + (48.9% logic, 51.1% route) -------------------------------------------------------------------------------- -Slack (setup path): 7.143ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB (FF) - Destination: mem/addr_hold_7 (FF) - Requirement: 10.000ns - Data Path Delay: 2.190ns (Levels of Logic = 2) - Clock Path Skew: -0.026ns (0.391 - 0.417) - Source Clock: fastclk rising at 0.000ns - Destination Clock: fastclk rising at 10.000ns - Clock Uncertainty: 0.641ns - - Clock Uncertainty: 0.641ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Total Input Jitter (TIJ): 0.010ns - Discrete Jitter (DJ): 1.210ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB to mem/addr_hold_7 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - SLICE_X8Y36.AQ Tcko 0.408 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B4 net (fanout=8) 0.762 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X10Y37.B4 net (fanout=4) 0.472 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X10Y37.CLK Tas 0.289 mem/addr_hold<7> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT81 - mem/addr_hold_7 - ------------------------------------------------- --------------------------- - Total 2.190ns (0.956ns logic, 1.234ns route) - (43.7% logic, 56.3% route) - --------------------------------------------------------------------------------- - -Paths for end point mem/addr_hold_2 (SLICE_X13Y37.C4), 2 paths --------------------------------------------------------------------------------- -Slack (setup path): 5.107ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/sclk_del_reg (FF) - Destination: mem/addr_hold_2 (FF) - Requirement: 10.000ns - Data Path Delay: 3.689ns (Levels of Logic = 2) - Clock Path Skew: -0.563ns (0.380 - 0.943) - Source Clock: fastclk rising at 0.000ns - Destination Clock: fastclk rising at 10.000ns - Clock Uncertainty: 0.641ns - - Clock Uncertainty: 0.641ns ((TSJ^2 + TIJ^2)^1/2 + DJ) / 2 + PE - Total System Jitter (TSJ): 0.070ns - Total Input Jitter (TIJ): 0.010ns - Discrete Jitter (DJ): 1.210ns - Phase Error (PE): 0.000ns - - Maximum Data Path at Slow Process Corner: mem/sclk_del_reg to mem/addr_hold_2 - Location Delay type Delay(ns) Physical Resource - Logical Resource(s) - ------------------------------------------------- ------------------- - ILOGIC_X0Y35.Q4 Tickq 0.992 sclk_IBUF - mem/sclk_del_reg - SLICE_X13Y37.B3 net (fanout=10) 1.804 mem/sclk_del_reg - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X13Y37.C4 net (fanout=4) 0.312 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X13Y37.CLK Tas 0.322 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT31 - mem/addr_hold_2 - ------------------------------------------------- --------------------------- - Total 3.689ns (1.573ns logic, 2.116ns route) - (42.6% logic, 57.4% route) +Paths for end point mem/rd_data_out_3 (SLICE_X30Y43.DX), 1 path -------------------------------------------------------------------------------- -Slack (setup path): 7.259ns (requirement - (data path - clock path skew + uncertainty)) - Source: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB (FF) - Destination: mem/addr_hold_2 (FF) +Slack (setup path): 5.344ns (requirement - (data path - clock path skew + uncertainty)) + Source: mem/regs/Mram_regs (RAM) + Destination: mem/rd_data_out_3 (FF) Requirement: 10.000ns - Data Path Delay: 2.063ns (Levels of Logic = 2) - Clock Path Skew: -0.037ns (0.380 - 0.417) + Data Path Delay: 3.913ns (Levels of Logic = 0) + Clock Path Skew: -0.102ns (0.244 - 0.346) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.641ns @@ -1166,22 +1050,18 @@ Slack (setup path): 7.259ns (requirement - (data path - clock path skew + un Discrete Jitter (DJ): 1.210ns Phase Error (PE): 0.000ns - Maximum Data Path at Slow Process Corner: mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB to mem/addr_hold_2 + Maximum Data Path at Slow Process Corner: mem/regs/Mram_regs to mem/rd_data_out_3 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X8Y36.AQ Tcko 0.408 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B4 net (fanout=8) 0.762 mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRB - SLICE_X13Y37.B Tilo 0.259 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511 - SLICE_X13Y37.C4 net (fanout=4) 0.312 mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51 - SLICE_X13Y37.CLK Tas 0.322 mem/addr_hold<3> - mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT31 - mem/addr_hold_2 + RAMB8_X2Y18.DOBDO3 Trcko_DOB 1.850 mem/regs/Mram_regs + mem/regs/Mram_regs + SLICE_X30Y43.DX net (fanout=2) 1.977 mem/reg_rd_data<3> + SLICE_X30Y43.CLK Tdick 0.086 mem/rd_data_out<3> + mem/rd_data_out_3 ------------------------------------------------- --------------------------- - Total 2.063ns (0.989ns logic, 1.074ns route) - (47.9% logic, 52.1% route) + Total 3.913ns (1.936ns logic, 1.977ns route) + (49.5% logic, 50.5% route) -------------------------------------------------------------------------------- @@ -1189,84 +1069,84 @@ Hold Paths: TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_1 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns; -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/di_reg_7 (SLICE_X14Y38.CE), 1 path +Paths for end point mem/rd_data_out_3 (SLICE_X30Y43.CE), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.238ns (requirement - (clock path skew + uncertainty - data path)) - Source: mem/spi_wr_en (FF) - Destination: mem/spi_slave/di_reg_7 (FF) +Slack (hold path): 0.393ns (requirement - (clock path skew + uncertainty - data path)) + Source: mem/rd_data_almost_ready (FF) + Destination: mem/rd_data_out_3 (FF) Requirement: 0.000ns - Data Path Delay: 0.230ns (Levels of Logic = 0) - Clock Path Skew: -0.008ns (0.199 - 0.207) + Data Path Delay: 0.409ns (Levels of Logic = 0) + Clock Path Skew: 0.016ns (0.078 - 0.062) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: mem/spi_wr_en to mem/spi_slave/di_reg_7 + Minimum Data Path at Fast Process Corner: mem/rd_data_almost_ready to mem/rd_data_out_3 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X12Y38.AQ Tcko 0.200 mem/spi_wr_en - mem/spi_wr_en - SLICE_X14Y38.CE net (fanout=3) 0.138 mem/spi_wr_en - SLICE_X14Y38.CLK Tckce (-Th) 0.108 mem/spi_slave/di_reg<7> - mem/spi_slave/di_reg_7 + SLICE_X30Y38.CQ Tcko 0.234 mem/rd_data_almost_ready + mem/rd_data_almost_ready + SLICE_X30Y43.CE net (fanout=2) 0.283 mem/rd_data_almost_ready + SLICE_X30Y43.CLK Tckce (-Th) 0.108 mem/rd_data_out<3> + mem/rd_data_out_3 ------------------------------------------------- --------------------------- - Total 0.230ns (0.092ns logic, 0.138ns route) - (40.0% logic, 60.0% route) + Total 0.409ns (0.126ns logic, 0.283ns route) + (30.8% logic, 69.2% route) -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/di_reg_6 (SLICE_X14Y38.CE), 1 path +Paths for end point mem/rd_data_out_2 (SLICE_X30Y43.CE), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.242ns (requirement - (clock path skew + uncertainty - data path)) - Source: mem/spi_wr_en (FF) - Destination: mem/spi_slave/di_reg_6 (FF) +Slack (hold path): 0.397ns (requirement - (clock path skew + uncertainty - data path)) + Source: mem/rd_data_almost_ready (FF) + Destination: mem/rd_data_out_2 (FF) Requirement: 0.000ns - Data Path Delay: 0.234ns (Levels of Logic = 0) - Clock Path Skew: -0.008ns (0.199 - 0.207) + Data Path Delay: 0.413ns (Levels of Logic = 0) + Clock Path Skew: 0.016ns (0.078 - 0.062) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: mem/spi_wr_en to mem/spi_slave/di_reg_6 + Minimum Data Path at Fast Process Corner: mem/rd_data_almost_ready to mem/rd_data_out_2 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X12Y38.AQ Tcko 0.200 mem/spi_wr_en - mem/spi_wr_en - SLICE_X14Y38.CE net (fanout=3) 0.138 mem/spi_wr_en - SLICE_X14Y38.CLK Tckce (-Th) 0.104 mem/spi_slave/di_reg<7> - mem/spi_slave/di_reg_6 + SLICE_X30Y38.CQ Tcko 0.234 mem/rd_data_almost_ready + mem/rd_data_almost_ready + SLICE_X30Y43.CE net (fanout=2) 0.283 mem/rd_data_almost_ready + SLICE_X30Y43.CLK Tckce (-Th) 0.104 mem/rd_data_out<3> + mem/rd_data_out_2 ------------------------------------------------- --------------------------- - Total 0.234ns (0.096ns logic, 0.138ns route) - (41.0% logic, 59.0% route) + Total 0.413ns (0.130ns logic, 0.283ns route) + (31.5% logic, 68.5% route) -------------------------------------------------------------------------------- -Paths for end point mem/spi_slave/di_reg_5 (SLICE_X14Y38.CE), 1 path +Paths for end point mem/rd_data_out_1 (SLICE_X30Y43.CE), 1 path -------------------------------------------------------------------------------- -Slack (hold path): 0.244ns (requirement - (clock path skew + uncertainty - data path)) - Source: mem/spi_wr_en (FF) - Destination: mem/spi_slave/di_reg_5 (FF) +Slack (hold path): 0.399ns (requirement - (clock path skew + uncertainty - data path)) + Source: mem/rd_data_almost_ready (FF) + Destination: mem/rd_data_out_1 (FF) Requirement: 0.000ns - Data Path Delay: 0.236ns (Levels of Logic = 0) - Clock Path Skew: -0.008ns (0.199 - 0.207) + Data Path Delay: 0.415ns (Levels of Logic = 0) + Clock Path Skew: 0.016ns (0.078 - 0.062) Source Clock: fastclk rising at 0.000ns Destination Clock: fastclk rising at 10.000ns Clock Uncertainty: 0.000ns - Minimum Data Path at Fast Process Corner: mem/spi_wr_en to mem/spi_slave/di_reg_5 + Minimum Data Path at Fast Process Corner: mem/rd_data_almost_ready to mem/rd_data_out_1 Location Delay type Delay(ns) Physical Resource Logical Resource(s) ------------------------------------------------- ------------------- - SLICE_X12Y38.AQ Tcko 0.200 mem/spi_wr_en - mem/spi_wr_en - SLICE_X14Y38.CE net (fanout=3) 0.138 mem/spi_wr_en - SLICE_X14Y38.CLK Tckce (-Th) 0.102 mem/spi_slave/di_reg<7> - mem/spi_slave/di_reg_5 + SLICE_X30Y38.CQ Tcko 0.234 mem/rd_data_almost_ready + mem/rd_data_almost_ready + SLICE_X30Y43.CE net (fanout=2) 0.283 mem/rd_data_almost_ready + SLICE_X30Y43.CLK Tckce (-Th) 0.102 mem/rd_data_out<3> + mem/rd_data_out_1 ------------------------------------------------- --------------------------- - Total 0.236ns (0.098ns logic, 0.138ns route) - (41.5% logic, 58.5% route) + Total 0.415ns (0.132ns logic, 0.283ns route) + (31.8% logic, 68.2% route) -------------------------------------------------------------------------------- @@ -1278,7 +1158,7 @@ Slack: 6.876ns (period - min period limit) Min period limit: 3.124ns (320.102MHz) (Trper_CLKA(Fmax)) Physical resource: mem/regs/Mram_regs/CLKAWRCLK Logical resource: mem/regs/Mram_regs/CLKAWRCLK - Location pin: RAMB8_X1Y19.CLKAWRCLK + Location pin: RAMB8_X2Y18.CLKAWRCLK Clock network: fastclk -------------------------------------------------------------------------------- Slack: 6.876ns (period - min period limit) @@ -1286,7 +1166,7 @@ Slack: 6.876ns (period - min period limit) Min period limit: 3.124ns (320.102MHz) (Trper_CLKB(Fmax)) Physical resource: mem/regs/Mram_regs/CLKBRDCLK Logical resource: mem/regs/Mram_regs/CLKBRDCLK - Location pin: RAMB8_X1Y19.CLKBRDCLK + Location pin: RAMB8_X2Y18.CLKBRDCLK Clock network: fastclk -------------------------------------------------------------------------------- Slack: 6.876ns (period - min period limit) @@ -1294,7 +1174,7 @@ Slack: 6.876ns (period - min period limit) Min period limit: 3.124ns (320.102MHz) (Trper_CLKA(Fmax)) Physical resource: mem/afifo/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK Logical resource: mem/afifo/U0/xst_fifo_generator/gconvfifo.rf/grf.rf/gntv_or_sync_fifo.mem/gbm.gbmg.gbmga.ngecc.bmg/gnativebmg.native_blk_mem_gen/valid.cstr/ramloop[0].ram.r/s6_noinit.ram/SDP.WIDE_PRIM9.ram/CLKAWRCLK - Location pin: RAMB8_X1Y18.CLKAWRCLK + Location pin: RAMB8_X2Y17.CLKAWRCLK Clock network: fastclk -------------------------------------------------------------------------------- @@ -1306,8 +1186,8 @@ Derived Constraints for TS_clk_12mhz | Constraint | Requirement |-------------+-------------|-------------+-------------|-------------+-------------| | | | Direct | Derivative | Direct | Derivative | Direct | Derivative | +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ -|TS_clk_12mhz | 83.333ns| 32.000ns| 41.808ns| 0| 0| 0| 1162| -| TS_clk_100mhz_i_clkfx | 10.000ns| 5.017ns| N/A| 0| 0| 1162| 0| +|TS_clk_12mhz | 83.333ns| 32.000ns| 41.908ns| 0| 0| 0| 1162| +| TS_clk_100mhz_i_clkfx | 10.000ns| 5.029ns| N/A| 0| 0| 1162| 0| +-------------------------------+-------------+-------------+-------------+-------------+-------------+-------------+-------------+ All constraints were met. @@ -1322,8 +1202,8 @@ Clock to Setup on destination clock clk_12mhz | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ -clk_12mhz | 5.017| | | | -sclk | 4.671| | | | +clk_12mhz | 5.029| | | | +sclk | 4.755| | | | ---------------+---------+---------+---------+---------+ Clock to Setup on destination clock sclk @@ -1331,7 +1211,7 @@ Clock to Setup on destination clock sclk | Src:Rise| Src:Fall| Src:Rise| Src:Fall| Source Clock |Dest:Rise|Dest:Rise|Dest:Fall|Dest:Fall| ---------------+---------+---------+---------+---------+ -sclk | 3.353| | 2.130| 1.600| +sclk | 3.523| | 1.855| 1.429| ---------------+---------+---------+---------+---------+ @@ -1340,7 +1220,7 @@ Timing summary: Timing errors: 0 Score: 0 (Setup/Max: 0, Hold: 0) -Constraints cover 2710 paths, 0 nets, and 1119 connections +Constraints cover 2710 paths, 0 nets, and 1114 connections Design statistics: Minimum period: 32.000ns{1} (Maximum frequency: 31.250MHz) @@ -1349,14 +1229,14 @@ Design statistics: ------------------------------------Footnotes----------------------------------- 1) The minimum period statistic assumes all single cycle delays. -Analysis completed Wed Nov 7 12:11:15 2012 +Analysis completed Thu Nov 8 17:50:47 2012 -------------------------------------------------------------------------------- Trace Settings: ------------------------- Trace Settings -Peak Memory Usage: 320 MB +Peak Memory Usage: 321 MB diff --git a/toplevel.twx b/toplevel.twx index fd62886..10e646f 100644 --- a/toplevel.twx +++ b/toplevel.twx @@ -333,31 +333,31 @@ 3 -fastpaths -xml toplevel.twx toplevel.ncd -o toplevel.twr toplevel.pcf -ucf toplevel.ucf -toplevel.ncdtoplevel.ncdtoplevel.pcftoplevel.pcfxc6slx25C-3PRODUCTION 1.19 2011-06-2013INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%;1328000023605.660Paths for end point pcms[0].pcm/pcm_out_reg (OLOGIC_X0Y54.D1), 94 paths -994.340pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg6.077-0.4521000.0000.035pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg4SLICE_X10Y54.CLKclk_1mhz_BUFGSLICE_X10Y54.BQTcko0.447pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_7SLICE_X11Y54.B3net30.472pcms[0].pcm/pulse_width_reg<7>SLICE_X11Y54.BTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X11Y54.A5net20.193N26SLICE_X11Y54.ATilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X12Y55.A4net10.585pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X12Y55.AMUXTopaa0.382pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.A1net10.859pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.ATilo0.259pcms[0].pcm/pulse_width_reg<5>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.D1net11.559pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.4093.6686.077clk_1mhz_BUFG39.660.4994.353pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg6.064-0.4521000.0000.035pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg4SLICE_X10Y54.CLKclk_1mhz_BUFGSLICE_X10Y54.BQTcko0.447pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_7SLICE_X11Y54.B3net30.472pcms[0].pcm/pulse_width_reg<7>SLICE_X11Y54.BTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X11Y54.A5net20.193N26SLICE_X11Y54.ATilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X12Y55.A4net10.585pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X12Y55.AMUXTopaa0.369pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.A1net10.859pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.ATilo0.259pcms[0].pcm/pulse_width_reg<5>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.D1net11.559pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.3963.6686.064clk_1mhz_BUFG39.560.5994.389pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg6.028-0.4521000.0000.035pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg4SLICE_X10Y54.CLKclk_1mhz_BUFGSLICE_X10Y54.AQTcko0.447pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_6SLICE_X10Y54.A5net30.791pcms[0].pcm/pulse_width_reg<6>SLICE_X10Y54.ATilo0.203pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>1SLICE_X12Y54.D1net10.629pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>SLICE_X12Y54.COUTTopcyd0.281pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X12Y55.CINnet10.003pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X12Y55.AMUXTcina0.194pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.A1net10.859pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X9Y54.ATilo0.259pcms[0].pcm/pulse_width_reg<5>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.D1net11.559pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y54.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.1873.8416.028clk_1mhz_BUFG36.363.7Paths for end point pcms[1].pcm/pcm_out_reg (OLOGIC_X0Y55.D1), 94 paths -994.439pcms[1].pcm/pulse_width_reg_5pcms[1].pcm/pcm_out_reg5.980-0.4541000.0000.035pcms[1].pcm/pulse_width_reg_5pcms[1].pcm/pcm_out_reg4SLICE_X10Y56.CLKclk_1mhz_BUFGSLICE_X10Y56.DMUXTshcko0.488pcms[1].pcm/pulse_width_reg<9>pcms[1].pcm/pulse_width_reg_5SLICE_X10Y57.A1net50.921pcms[1].pcm/pulse_width_reg<5>SLICE_X10Y57.AMUXTilo0.261pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1SLICE_X10Y58.D2net10.651pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>SLICE_X10Y58.COUTTopcyd0.274pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.CINnet10.003pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.AMUXTcina0.212pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.B1net10.609pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.BTilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.D1net11.499pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.2973.6835.980clk_1mhz_BUFG38.461.6994.452pcms[1].pcm/pulse_width_reg_5pcms[1].pcm/pcm_out_reg5.967-0.4541000.0000.035pcms[1].pcm/pulse_width_reg_5pcms[1].pcm/pcm_out_reg4SLICE_X10Y56.CLKclk_1mhz_BUFGSLICE_X10Y56.DMUXTshcko0.488pcms[1].pcm/pulse_width_reg<9>pcms[1].pcm/pulse_width_reg_5SLICE_X10Y57.A1net50.921pcms[1].pcm/pulse_width_reg<5>SLICE_X10Y57.AMUXTilo0.261pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1SLICE_X10Y58.D2net10.651pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>SLICE_X10Y58.COUTTopcyd0.261pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<3>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.CINnet10.003pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.AMUXTcina0.212pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.B1net10.609pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.BTilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.D1net11.499pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.2843.6835.967clk_1mhz_BUFG38.361.7994.496pcms[1].pcm/pulse_width_reg_4pcms[1].pcm/pcm_out_reg5.923-0.4541000.0000.035pcms[1].pcm/pulse_width_reg_4pcms[1].pcm/pcm_out_reg4SLICE_X10Y56.CLKclk_1mhz_BUFGSLICE_X10Y56.CMUXTshcko0.488pcms[1].pcm/pulse_width_reg<9>pcms[1].pcm/pulse_width_reg_4SLICE_X10Y57.A2net50.864pcms[1].pcm/pulse_width_reg<4>SLICE_X10Y57.AMUXTilo0.261pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1SLICE_X10Y58.D2net10.651pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>SLICE_X10Y58.COUTTopcyd0.274pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.CINnet10.003pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X10Y59.AMUXTcina0.212pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.B1net10.609pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X11Y59.BTilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.D1net11.499pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y55.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.2973.6265.923clk_1mhz_BUFG38.861.2Paths for end point pcms[3].pcm/pcm_out_reg (OLOGIC_X0Y51.D1), 94 paths -994.742pcms[3].pcm/pulse_width_reg_5pcms[3].pcm/pcm_out_reg5.602-0.3791000.0000.035pcms[3].pcm/pulse_width_reg_5pcms[3].pcm/pcm_out_reg4SLICE_X4Y42.CLKclk_1mhz_BUFGSLICE_X4Y42.DQTcko0.408pcms[3].pcm/pulse_width_reg<5>pcms[3].pcm/pulse_width_reg_5SLICE_X7Y41.A1net50.808pcms[3].pcm/pulse_width_reg<5>SLICE_X7Y41.ATilo0.259pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_xor<5>11SLICE_X4Y40.C3net10.524pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>SLICE_X4Y40.COUTTopcyc0.295pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<2>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.CINnet10.003pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.AMUXTcina0.194pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.B1net10.609pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.BTilo0.259pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.D1net11.440pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.CLK0Todck0.803pcms[3].pcm/pcm_out_regpcms[3].pcm/pcm_out_reg2.2183.3845.602clk_1mhz_BUFG39.660.4994.746pcms[3].pcm/pulse_width_reg_5pcms[3].pcm/pcm_out_reg5.598-0.3791000.0000.035pcms[3].pcm/pulse_width_reg_5pcms[3].pcm/pcm_out_reg4SLICE_X4Y42.CLKclk_1mhz_BUFGSLICE_X4Y42.DQTcko0.408pcms[3].pcm/pulse_width_reg<5>pcms[3].pcm/pulse_width_reg_5SLICE_X7Y41.A1net50.808pcms[3].pcm/pulse_width_reg<5>SLICE_X7Y41.ATilo0.259pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_xor<5>11SLICE_X4Y40.C3net10.524pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<5>SLICE_X4Y40.COUTTopcyc0.291pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi2pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.CINnet10.003pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.AMUXTcina0.194pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.B1net10.609pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.BTilo0.259pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.D1net11.440pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.CLK0Todck0.803pcms[3].pcm/pcm_out_regpcms[3].pcm/pcm_out_reg2.2143.3845.598clk_1mhz_BUFG39.560.5994.815pcms[3].pcm/pulse_width_reg_7pcms[3].pcm/pcm_out_reg5.527-0.3771000.0000.035pcms[3].pcm/pulse_width_reg_7pcms[3].pcm/pcm_out_reg4SLICE_X4Y43.CLKclk_1mhz_BUFGSLICE_X4Y43.BQTcko0.408pcms[3].pcm/pulse_width_reg<9>pcms[3].pcm/pulse_width_reg_7SLICE_X4Y41.D1net30.645pcms[3].pcm/pulse_width_reg<7>SLICE_X4Y41.DMUXTilo0.251pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>1SLICE_X4Y40.D2net10.634pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<7>SLICE_X4Y40.COUTTopcyd0.281pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.CINnet10.003pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X4Y41.AMUXTcina0.194pcms[3].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.B1net10.609pcms[3].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X5Y41.BTilo0.259pcms[3].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.D1net11.440pcms[3].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X0Y51.CLK0Todck0.803pcms[3].pcm/pcm_out_regpcms[3].pcm/pcm_out_reg2.1963.3315.527clk_1mhz_BUFG39.760.3Hold Paths: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%; -Paths for end point pcms[0].pcm/pcm_count_11 (SLICE_X8Y55.D6), 1 path -0.468pcms[0].pcm/pcm_count_11pcms[0].pcm/pcm_count_110.4680.0000.0000.000pcms[0].pcm/pcm_count_11pcms[0].pcm/pcm_count_111SLICE_X8Y55.CLKclk_1mhz_BUFGSLICE_X8Y55.DQTcko0.200pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count_11SLICE_X8Y55.D6net30.031pcms[0].pcm/pcm_count<11>SLICE_X8Y55.CLKTah0.237pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count<11>_rtpcms[0].pcm/Mcount_pcm_count_xor<11>pcms[0].pcm/pcm_count_110.4370.0310.468clk_1mhz_BUFG93.46.6Paths for end point pcms[0].pcm/pcm_count_1 (SLICE_X8Y53.B5), 1 path -0.508pcms[0].pcm/pcm_count_1pcms[0].pcm/pcm_count_10.5080.0000.0000.000pcms[0].pcm/pcm_count_1pcms[0].pcm/pcm_count_11SLICE_X8Y53.CLKclk_1mhz_BUFGSLICE_X8Y53.BQTcko0.200pcms[0].pcm/pcm_count<3>pcms[0].pcm/pcm_count_1SLICE_X8Y53.B5net20.074pcms[0].pcm/pcm_count<1>SLICE_X8Y53.CLKTah0.234pcms[0].pcm/pcm_count<3>pcms[0].pcm/pcm_count<1>_rtpcms[0].pcm/Mcount_pcm_count_cy<3>pcms[0].pcm/pcm_count_10.4340.0740.508clk_1mhz_BUFG85.414.6Paths for end point pcms[0].pcm/pcm_count_9 (SLICE_X8Y55.B5), 1 path -0.519pcms[0].pcm/pcm_count_9pcms[0].pcm/pcm_count_90.5190.0000.0000.000pcms[0].pcm/pcm_count_9pcms[0].pcm/pcm_count_91SLICE_X8Y55.CLKclk_1mhz_BUFGSLICE_X8Y55.BQTcko0.200pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count_9SLICE_X8Y55.B5net40.085pcms[0].pcm/pcm_count<9>SLICE_X8Y55.CLKTah0.234pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count<9>_rtpcms[0].pcm/Mcount_pcm_count_xor<11>pcms[0].pcm/pcm_count_90.4340.0850.519clk_1mhz_BUFG83.616.4Component Switching Limit Checks: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%;TS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER 0.01 ns;000000032.000Component Switching Limit Checks: TS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER - 0.01 ns;TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%;22000006304.671Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D3), 5 paths -60.370mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg2.098-0.00362.5000.035mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg2SLICE_X17Y37.CLKsclk_IBUF_BUFGSLICE_X17Y37.AMUXTshcko0.461mem/spi_slave/sh_next<6>1mem/spi_slave/state_reg_2SLICE_X14Y35.C2net210.875mem/spi_slave/state_reg<2>SLICE_X14Y35.CTilo0.204mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X14Y35.D3net10.404mem/spi_slave/tx_bit_next2SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8191.2792.098sclk_IBUF_BUFG39.061.060.648mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg1.820-0.00362.5000.035mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg2SLICE_X16Y37.CLKsclk_IBUF_BUFGSLICE_X16Y37.AQTcko0.408mem/spi_slave/sh_reg<6>mem/spi_slave/sh_reg_7SLICE_X14Y35.C4net30.650mem/spi_slave/sh_reg<7>SLICE_X14Y35.CTilo0.204mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X14Y35.D3net10.404mem/spi_slave/tx_bit_next2SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.7661.0541.820sclk_IBUF_BUFG42.157.960.700mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg1.7600.00562.5000.035mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg2SLICE_X14Y37.CLKsclk_IBUF_BUFGSLICE_X14Y37.AQTcko0.447mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_0SLICE_X14Y35.C3net200.551mem/spi_slave/state_reg<0>SLICE_X14Y35.CTilo0.204mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X14Y35.D3net10.404mem/spi_slave/tx_bit_next2SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8050.9551.760sclk_IBUF_BUFG45.754.3Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D5), 4 paths -60.492mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg1.976-0.00362.5000.035mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg2SLICE_X17Y37.CLKsclk_IBUF_BUFGSLICE_X17Y37.AMUXTshcko0.461mem/spi_slave/sh_next<6>1mem/spi_slave/state_reg_2SLICE_X15Y36.C3net210.746mem/spi_slave/state_reg<2>SLICE_X15Y36.CTilo0.259mem/spi_slave/sh_reg<3>mem/spi_slave/tx_bit_next1SLICE_X14Y35.D5net10.356mem/spi_slave/tx_bit_next1SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8741.1021.976sclk_IBUF_BUFG44.255.860.591mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg1.8690.00562.5000.035mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg2SLICE_X14Y37.CLKsclk_IBUF_BUFGSLICE_X14Y37.AQTcko0.447mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_0SLICE_X15Y36.C2net200.653mem/spi_slave/state_reg<0>SLICE_X15Y36.CTilo0.259mem/spi_slave/sh_reg<3>mem/spi_slave/tx_bit_next1SLICE_X14Y35.D5net10.356mem/spi_slave/tx_bit_next1SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8601.0091.869sclk_IBUF_BUFG46.054.060.774mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg1.694-0.00362.5000.035mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg2SLICE_X16Y37.CLKsclk_IBUF_BUFGSLICE_X16Y37.AQTcko0.408mem/spi_slave/sh_reg<6>mem/spi_slave/sh_reg_7SLICE_X15Y36.C4net30.517mem/spi_slave/sh_reg<7>SLICE_X15Y36.CTilo0.259mem/spi_slave/sh_reg<3>mem/spi_slave/tx_bit_next1SLICE_X14Y35.D5net10.356mem/spi_slave/tx_bit_next1SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8210.8731.694sclk_IBUF_BUFG48.551.5Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X14Y35.D4), 1 path -61.206mem/spi_slave/state_reg_3mem/spi_slave/tx_bit_reg1.2540.00562.5000.035mem/spi_slave/state_reg_3mem/spi_slave/tx_bit_reg1SLICE_X14Y37.CLKsclk_IBUF_BUFGSLICE_X14Y37.BQTcko0.447mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_3SLICE_X14Y35.D4net90.653mem/spi_slave/state_reg<3>SLICE_X14Y35.CLKTas0.154mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.6010.6531.254sclk_IBUF_BUFG47.952.1Hold Paths: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%; -Paths for end point mem/spi_slave/sh_reg_0 (SLICE_X15Y36.A6), 1 path -0.436mem/spi_slave/sh_reg_0mem/spi_slave/sh_reg_00.4360.0000.0000.000mem/spi_slave/sh_reg_0mem/spi_slave/sh_reg_01SLICE_X15Y36.CLKsclk_IBUF_BUFGSLICE_X15Y36.AQTcko0.198mem/spi_slave/sh_reg<3>mem/spi_slave/sh_reg_0SLICE_X15Y36.A6net30.023mem/spi_slave/sh_reg<0>SLICE_X15Y36.CLKTah0.215mem/spi_slave/sh_reg<3>mem/spi_slave/Mmux_sh_next11mem/spi_slave/sh_reg_00.4130.0230.436sclk_IBUF_BUFG94.75.3Paths for end point mem/addr_hold_5 (SLICE_X12Y37.B3), 1 path -0.485mem/spi_slave/do_buffer_reg_5mem/addr_hold_50.7230.4530.0000.691mem/spi_slave/do_buffer_reg_5mem/addr_hold_51SLICE_X14Y36.CLKsclk_IBUF_BUFGSLICE_X14Y36.DQTcko0.234mem/spi_slave/do_buffer_reg<5>mem/spi_slave/do_buffer_reg_5SLICE_X12Y37.B3net20.299mem/spi_slave/do_buffer_reg<5>SLICE_X12Y37.CLKTah0.190mem/addr_hold<6>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT61mem/addr_hold_50.4240.2990.723fastclk58.641.4Paths for end point mem/addr_hold_6 (SLICE_X12Y37.C4), 1 path -0.499mem/spi_slave/do_buffer_reg_6mem/addr_hold_60.7550.4350.0000.691mem/spi_slave/do_buffer_reg_6mem/addr_hold_61SLICE_X16Y38.CLKsclk_IBUF_BUFGSLICE_X16Y38.AQTcko0.200mem/spi_slave/do_buffer_reg<7>mem/spi_slave/do_buffer_reg_6SLICE_X12Y37.C4net20.365mem/spi_slave/do_buffer_reg<6>SLICE_X12Y37.CLKTah0.190mem/addr_hold<6>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT71mem/addr_hold_60.3900.3650.755fastclk51.748.3Component Switching Limit Checks: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%;TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns;1162000054005.017Paths for end point mem/addr_hold_4 (SLICE_X12Y37.A2), 2 paths -4.983mem/sclk_del_regmem/addr_hold_43.8130.56310.0000.641mem/sclk_del_regmem/addr_hold_42ILOGIC_X0Y35.CLK0fastclkILOGIC_X0Y35.Q4Tickq0.992sclk_IBUFmem/sclk_del_regSLICE_X13Y37.B3net101.804mem/sclk_del_regSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X12Y37.A2net40.417mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X12Y37.CLKTas0.341mem/addr_hold<6>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52mem/addr_hold_41.5922.2213.813fastclk41.858.27.135mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_42.1870.03710.0000.641mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_42SLICE_X8Y36.CLKfastclkSLICE_X8Y36.AQTcko0.408mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.B4net80.762mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X12Y37.A2net40.417mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X12Y37.CLKTas0.341mem/addr_hold<6>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52mem/addr_hold_41.0081.1792.187fastclk46.153.9Paths for end point mem/addr_hold_7 (SLICE_X10Y37.B4), 2 paths -4.991mem/sclk_del_regmem/addr_hold_73.8160.55210.0000.641mem/sclk_del_regmem/addr_hold_72ILOGIC_X0Y35.CLK0fastclkILOGIC_X0Y35.Q4Tickq0.992sclk_IBUFmem/sclk_del_regSLICE_X13Y37.B3net101.804mem/sclk_del_regSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X10Y37.B4net40.472mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X10Y37.CLKTas0.289mem/addr_hold<7>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT81mem/addr_hold_71.5402.2763.816fastclk40.459.67.143mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_72.1900.02610.0000.641mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_72SLICE_X8Y36.CLKfastclkSLICE_X8Y36.AQTcko0.408mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.B4net80.762mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X10Y37.B4net40.472mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X10Y37.CLKTas0.289mem/addr_hold<7>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT81mem/addr_hold_70.9561.2342.190fastclk43.756.3Paths for end point mem/addr_hold_2 (SLICE_X13Y37.C4), 2 paths -5.107mem/sclk_del_regmem/addr_hold_23.6890.56310.0000.641mem/sclk_del_regmem/addr_hold_22ILOGIC_X0Y35.CLK0fastclkILOGIC_X0Y35.Q4Tickq0.992sclk_IBUFmem/sclk_del_regSLICE_X13Y37.B3net101.804mem/sclk_del_regSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X13Y37.C4net40.312mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X13Y37.CLKTas0.322mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT31mem/addr_hold_21.5732.1163.689fastclk42.657.47.259mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_22.0630.03710.0000.641mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/addr_hold_22SLICE_X8Y36.CLKfastclkSLICE_X8Y36.AQTcko0.408mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBmem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.B4net80.762mem/GND_13_o_sclk_count[10]_equal_2_o<10>2_FRBSLICE_X13Y37.BTilo0.259mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT511SLICE_X13Y37.C4net40.312mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT51SLICE_X13Y37.CLKTas0.322mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT31mem/addr_hold_20.9891.0742.063fastclk47.952.1Hold Paths: TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / +toplevel.ncdtoplevel.ncdtoplevel.pcftoplevel.pcfxc6slx25C-3PRODUCTION 1.19 2011-06-2013INFO:Timing:2752 - To get complete path coverage, use the unconstrained paths option. All paths that are not constrained will be reported in the unconstrained paths section(s) of the report.INFO:Timing:3339 - The clock-to-out numbers in this timing report are based on a 50 Ohm transmission line loading model. For the details of this model, and for more information on accounting for different loading conditions, please see the device datasheet.TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%;1328000023606.758Paths for end point pcms[0].pcm/pcm_out_reg (OLOGIC_X23Y61.D1), 94 paths +993.242pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg7.180-0.4571000.0000.035pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg4SLICE_X24Y58.CLKclk_1mhz_BUFGSLICE_X24Y58.AQTcko0.408pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_6SLICE_X25Y59.D3net30.489pcms[0].pcm/pulse_width_reg<6>SLICE_X25Y59.DTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X25Y59.C1net20.821N26SLICE_X25Y59.CTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.A2net10.804pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.AMUXTopaa0.389pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.A4net10.462pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.ATilo0.259pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.D1net12.227pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.3774.8037.180clk_1mhz_BUFG33.166.9993.254pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg7.168-0.4571000.0000.035pcms[0].pcm/pulse_width_reg_6pcms[0].pcm/pcm_out_reg4SLICE_X24Y58.CLKclk_1mhz_BUFGSLICE_X24Y58.AQTcko0.408pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_6SLICE_X25Y59.D3net30.489pcms[0].pcm/pulse_width_reg<6>SLICE_X25Y59.DTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X25Y59.C1net20.821N26SLICE_X25Y59.CTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.A2net10.804pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.AMUXTopaa0.377pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.A4net10.462pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.ATilo0.259pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.D1net12.227pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.3654.8037.168clk_1mhz_BUFG33.067.0993.323pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg7.099-0.4571000.0000.035pcms[0].pcm/pulse_width_reg_7pcms[0].pcm/pcm_out_reg4SLICE_X24Y58.CLKclk_1mhz_BUFGSLICE_X24Y58.BQTcko0.408pcms[0].pcm/pulse_width_reg<9>pcms[0].pcm/pulse_width_reg_7SLICE_X25Y59.D4net30.408pcms[0].pcm/pulse_width_reg<7>SLICE_X25Y59.DTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X25Y59.C1net20.821N26SLICE_X25Y59.CTilo0.259N26pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.A2net10.804pcms[0].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X26Y61.AMUXTopaa0.389pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.A4net10.462pcms[0].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X27Y60.ATilo0.259pcms[0].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.D1net12.227pcms[0].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y61.CLK0Todck0.803pcms[0].pcm/pcm_out_regpcms[0].pcm/pcm_out_reg2.3774.7227.099clk_1mhz_BUFG33.566.5Paths for end point pcms[1].pcm/pcm_out_reg (OLOGIC_X23Y60.D1), 94 paths +994.056pcms[1].pcm/pulse_width_reg_3pcms[1].pcm/pcm_out_reg6.397-0.4881000.0000.035pcms[1].pcm/pulse_width_reg_3pcms[1].pcm/pcm_out_reg3SLICE_X33Y54.CLKclk_1mhz_BUFGSLICE_X33Y54.BQTcko0.391pcms[1].pcm/pulse_width_reg<5>pcms[1].pcm/pulse_width_reg_3SLICE_X35Y54.A2net61.005pcms[1].pcm/pulse_width_reg<3>SLICE_X35Y54.ATilo0.259pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X36Y55.A4net10.585pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X36Y55.AMUXTopaa0.382pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.A1net10.649pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.ATilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.D1net12.064pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.0944.3036.397clk_1mhz_BUFG32.767.3994.059pcms[1].pcm/pulse_width_reg_3pcms[1].pcm/pcm_out_reg6.394-0.4881000.0000.035pcms[1].pcm/pulse_width_reg_3pcms[1].pcm/pcm_out_reg3SLICE_X33Y54.CLKclk_1mhz_BUFGSLICE_X33Y54.BQTcko0.391pcms[1].pcm/pulse_width_reg<5>pcms[1].pcm/pulse_width_reg_3SLICE_X34Y54.B1net61.033pcms[1].pcm/pulse_width_reg<3>SLICE_X34Y54.BTilo0.203pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8>1SLICE_X36Y55.A2net10.610pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<8>SLICE_X36Y55.AMUXTopaa0.382pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.A1net10.649pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.ATilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.D1net12.064pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.0384.3566.394clk_1mhz_BUFG31.968.1994.068pcms[1].pcm/pulse_width_reg_7pcms[1].pcm/pcm_out_reg6.385-0.4881000.0000.035pcms[1].pcm/pulse_width_reg_7pcms[1].pcm/pcm_out_reg4SLICE_X32Y54.CLKclk_1mhz_BUFGSLICE_X32Y54.BQTcko0.408pcms[1].pcm/pulse_width_reg<9>pcms[1].pcm/pulse_width_reg_7SLICE_X35Y54.B4net30.525pcms[1].pcm/pulse_width_reg<7>SLICE_X35Y54.BTilo0.259pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X35Y54.A5net20.192N18SLICE_X35Y54.ATilo0.259pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X36Y55.A4net10.585pcms[1].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X36Y55.AMUXTopaa0.382pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.A1net10.649pcms[1].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y55.ATilo0.259pcms[1].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.D1net12.064pcms[1].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y60.CLK0Todck0.803pcms[1].pcm/pcm_out_regpcms[1].pcm/pcm_out_reg2.3704.0156.385clk_1mhz_BUFG37.162.9Paths for end point pcms[2].pcm/pcm_out_reg (OLOGIC_X23Y53.D1), 94 paths +994.445pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg6.002-0.4821000.0000.035pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg4SLICE_X32Y50.CLKclk_1mhz_BUFGSLICE_X32Y50.AQTcko0.408pcms[2].pcm/pulse_width_reg<9>pcms[2].pcm/pulse_width_reg_6SLICE_X33Y50.D4net30.848pcms[2].pcm/pulse_width_reg<6>SLICE_X33Y50.DTilo0.259N10pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X33Y50.C6net20.124N10SLICE_X33Y50.CTilo0.259N10pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X34Y50.A2net10.615pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X34Y50.AMUXTopaa0.389pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi4pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.A4net10.301pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.ATilo0.259pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.D1net11.737pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.CLK0Todck0.803pcms[2].pcm/pcm_out_regpcms[2].pcm/pcm_out_reg2.3773.6256.002clk_1mhz_BUFG39.660.4994.457pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg5.990-0.4821000.0000.035pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg4SLICE_X32Y50.CLKclk_1mhz_BUFGSLICE_X32Y50.AQTcko0.408pcms[2].pcm/pulse_width_reg<9>pcms[2].pcm/pulse_width_reg_6SLICE_X33Y50.D4net30.848pcms[2].pcm/pulse_width_reg<6>SLICE_X33Y50.DTilo0.259N10pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>_SW0SLICE_X33Y50.C6net20.124N10SLICE_X33Y50.CTilo0.259N10pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X34Y50.A2net10.615pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<9>SLICE_X34Y50.AMUXTopaa0.377pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lut<4>pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.A4net10.301pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.ATilo0.259pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.D1net11.737pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.CLK0Todck0.803pcms[2].pcm/pcm_out_regpcms[2].pcm/pcm_out_reg2.3653.6255.990clk_1mhz_BUFG39.560.5994.472pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg5.975-0.4821000.0000.035pcms[2].pcm/pulse_width_reg_6pcms[2].pcm/pcm_out_reg4SLICE_X32Y50.CLKclk_1mhz_BUFGSLICE_X32Y50.AQTcko0.408pcms[2].pcm/pulse_width_reg<9>pcms[2].pcm/pulse_width_reg_6SLICE_X33Y50.A1net31.048pcms[2].pcm/pulse_width_reg<6>SLICE_X33Y50.ATilo0.259N10pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>1SLICE_X34Y49.D3net10.671pcms[2].pcm/GND_37_o_pulse_width_reg[11]_add_0_OUT<6>SLICE_X34Y49.COUTTopcyd0.274pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_lutdi3pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X34Y50.CINnet10.003pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<3>SLICE_X34Y50.AMUXTcina0.212pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.A4net10.301pcms[2].pcm/Mcompar_pcm_count[11]_GND_37_o_LessThan_2_o_cy<4>SLICE_X35Y50.ATilo0.259pcms[2].pcm/Madd_GND_37_o_pulse_width_reg[11]_add_0_OUT_cy<9>pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.D1net11.737pcms[2].pcm/pcm_count[11]_pcm_count[11]_AND_32_oOLOGIC_X23Y53.CLK0Todck0.803pcms[2].pcm/pcm_out_regpcms[2].pcm/pcm_out_reg2.2153.7605.975clk_1mhz_BUFG37.162.9Hold Paths: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%; +Paths for end point pcms[2].pcm/pcm_count_11 (SLICE_X36Y52.D6), 1 path +0.459pcms[2].pcm/pcm_count_11pcms[2].pcm/pcm_count_110.4590.0000.0000.000pcms[2].pcm/pcm_count_11pcms[2].pcm/pcm_count_111SLICE_X36Y52.CLKclk_1mhz_BUFGSLICE_X36Y52.DQTcko0.200pcms[2].pcm/pcm_count<11>pcms[2].pcm/pcm_count_11SLICE_X36Y52.D6net30.022pcms[2].pcm/pcm_count<11>SLICE_X36Y52.CLKTah0.237pcms[2].pcm/pcm_count<11>pcms[2].pcm/pcm_count<11>_rtpcms[2].pcm/Mcount_pcm_count_xor<11>pcms[2].pcm/pcm_count_110.4370.0220.459clk_1mhz_BUFG95.24.8Paths for end point pcms[0].pcm/pcm_count_11 (SLICE_X28Y61.D6), 1 path +0.468pcms[0].pcm/pcm_count_11pcms[0].pcm/pcm_count_110.4680.0000.0000.000pcms[0].pcm/pcm_count_11pcms[0].pcm/pcm_count_111SLICE_X28Y61.CLKclk_1mhz_BUFGSLICE_X28Y61.DQTcko0.200pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count_11SLICE_X28Y61.D6net30.031pcms[0].pcm/pcm_count<11>SLICE_X28Y61.CLKTah0.237pcms[0].pcm/pcm_count<11>pcms[0].pcm/pcm_count<11>_rtpcms[0].pcm/Mcount_pcm_count_xor<11>pcms[0].pcm/pcm_count_110.4370.0310.468clk_1mhz_BUFG93.46.6Paths for end point pcms[3].pcm/pcm_count_11 (SLICE_X36Y45.D6), 1 path +0.471pcms[3].pcm/pcm_count_11pcms[3].pcm/pcm_count_110.4710.0000.0000.000pcms[3].pcm/pcm_count_11pcms[3].pcm/pcm_count_111SLICE_X36Y45.CLKclk_1mhz_BUFGSLICE_X36Y45.DQTcko0.200pcms[3].pcm/pcm_count<11>pcms[3].pcm/pcm_count_11SLICE_X36Y45.D6net30.034pcms[3].pcm/pcm_count<11>SLICE_X36Y45.CLKTah0.237pcms[3].pcm/pcm_count<11>pcms[3].pcm/pcm_count<11>_rtpcms[3].pcm/Mcount_pcm_count_xor<11>pcms[3].pcm/pcm_count_110.4370.0340.471clk_1mhz_BUFG92.87.2Component Switching Limit Checks: TS_clk_1mhz = PERIOD TIMEGRP "clk_1mhz" 1000 ns HIGH 50%;TS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER 0.01 ns;000000032.000Component Switching Limit Checks: TS_clk_12mhz = PERIOD TIMEGRP "clk_12mhz" 83.3333 ns HIGH 50% INPUT_JITTER + 0.01 ns;TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%;22000006304.755Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D5), 5 paths +60.645mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg1.8070.01362.5000.035mem/spi_slave/sh_reg_7mem/spi_slave/tx_bit_reg2SLICE_X32Y37.CLKsclk_IBUF_BUFGSLICE_X32Y37.BQTcko0.408mem/spi_slave/sh_reg<6>mem/spi_slave/sh_reg_7SLICE_X32Y39.C2net30.777mem/spi_slave/sh_reg<7>SLICE_X32Y39.CTilo0.205mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X32Y39.D5net10.204mem/spi_slave/tx_bit_next2SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8260.9811.807sclk_IBUF_BUFG45.754.360.680mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg1.7600.02562.5000.035mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg2SLICE_X34Y38.CLKsclk_IBUF_BUFGSLICE_X34Y38.AMUXTshcko0.488mem/spi_slave/GND_14_o_state_reg[3]_equal_13_omem/spi_slave/state_reg_2SLICE_X32Y39.C4net210.650mem/spi_slave/state_reg<2>SLICE_X32Y39.CTilo0.205mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X32Y39.D5net10.204mem/spi_slave/tx_bit_next2SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.9060.8541.760sclk_IBUF_BUFG51.548.560.925mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg1.5290.01162.5000.035mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg2SLICE_X33Y38.CLKsclk_IBUF_BUFGSLICE_X33Y38.AQTcko0.391mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_0SLICE_X32Y39.C3net200.516mem/spi_slave/state_reg<0>SLICE_X32Y39.CTilo0.205mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next2SLICE_X32Y39.D5net10.204mem/spi_slave/tx_bit_next2SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8090.7201.529sclk_IBUF_BUFG52.947.1Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D4), 4 paths +60.733mem/spi_slave/state_reg_1mem/spi_slave/tx_bit_reg1.7210.01162.5000.035mem/spi_slave/state_reg_1mem/spi_slave/tx_bit_reg2SLICE_X33Y38.CLKsclk_IBUF_BUFGSLICE_X33Y38.AMUXTshcko0.461mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_1SLICE_X33Y39.B4net210.559mem/spi_slave/state_reg<1>SLICE_X33Y39.BTilo0.259mem/spi_slave/tx_bit_next1mem/spi_slave/tx_bit_next1SLICE_X32Y39.D4net10.229mem/spi_slave/tx_bit_next1SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.9330.7881.721sclk_IBUF_BUFG54.245.860.740mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg1.7140.01162.5000.035mem/spi_slave/state_reg_0mem/spi_slave/tx_bit_reg2SLICE_X33Y38.CLKsclk_IBUF_BUFGSLICE_X33Y38.AQTcko0.391mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_0SLICE_X33Y39.B1net200.622mem/spi_slave/state_reg<0>SLICE_X33Y39.BTilo0.259mem/spi_slave/tx_bit_next1mem/spi_slave/tx_bit_next1SLICE_X32Y39.D4net10.229mem/spi_slave/tx_bit_next1SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.8630.8511.714sclk_IBUF_BUFG50.449.660.756mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg1.6840.02562.5000.035mem/spi_slave/state_reg_2mem/spi_slave/tx_bit_reg2SLICE_X34Y38.CLKsclk_IBUF_BUFGSLICE_X34Y38.AMUXTshcko0.488mem/spi_slave/GND_14_o_state_reg[3]_equal_13_omem/spi_slave/state_reg_2SLICE_X33Y39.B5net210.495mem/spi_slave/state_reg<2>SLICE_X33Y39.BTilo0.259mem/spi_slave/tx_bit_next1mem/spi_slave/tx_bit_next1SLICE_X32Y39.D4net10.229mem/spi_slave/tx_bit_next1SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.9600.7241.684sclk_IBUF_BUFG57.043.0Paths for end point mem/spi_slave/tx_bit_reg (SLICE_X32Y39.D3), 1 path +61.368mem/spi_slave/state_reg_3mem/spi_slave/tx_bit_reg1.0860.01162.5000.035mem/spi_slave/state_reg_3mem/spi_slave/tx_bit_reg1SLICE_X33Y38.CLKsclk_IBUF_BUFGSLICE_X33Y38.BQTcko0.391mem/spi_slave/state_reg<3>mem/spi_slave/state_reg_3SLICE_X32Y39.D3net90.482mem/spi_slave/state_reg<3>SLICE_X32Y39.CLKTas0.213mem/spi_slave/Mmux_sh_next241mem/spi_slave/tx_bit_next3mem/spi_slave/tx_bit_reg0.6040.4821.086sclk_IBUF_BUFG55.644.4Hold Paths: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%; +Paths for end point mem/spi_slave/sh_reg_0 (SLICE_X37Y38.A6), 1 path +0.435mem/spi_slave/sh_reg_0mem/spi_slave/sh_reg_00.4350.0000.0000.000mem/spi_slave/sh_reg_0mem/spi_slave/sh_reg_01SLICE_X37Y38.CLKsclk_IBUF_BUFGSLICE_X37Y38.AQTcko0.198mem/spi_slave/sh_reg<3>mem/spi_slave/sh_reg_0SLICE_X37Y38.A6net30.022mem/spi_slave/sh_reg<0>SLICE_X37Y38.CLKTah0.215mem/spi_slave/sh_reg<3>mem/spi_slave/Mmux_sh_next11mem/spi_slave/sh_reg_00.4130.0220.435sclk_IBUF_BUFG94.95.1Paths for end point mem/addr_hold_0 (SLICE_X42Y37.B5), 1 path +0.439mem/spi_slave/do_buffer_reg_0mem/addr_hold_00.7130.4170.0000.691mem/spi_slave/do_buffer_reg_0mem/addr_hold_01SLICE_X36Y37.CLKsclk_IBUF_BUFGSLICE_X36Y37.AQTcko0.200mem/spi_slave/do_buffer_reg<1>mem/spi_slave/do_buffer_reg_0SLICE_X42Y37.B5net20.382mem/spi_slave/do_buffer_reg<0>SLICE_X42Y37.CLKTah0.131mem/addr_hold<3>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT11mem/addr_hold_00.3310.3820.713fastclk46.453.6Paths for end point mem/addr_hold_4 (SLICE_X43Y37.A5), 1 path +0.493mem/spi_slave/do_buffer_reg_4mem/addr_hold_40.7700.4140.0000.691mem/spi_slave/do_buffer_reg_4mem/addr_hold_41SLICE_X36Y38.CLKsclk_IBUF_BUFGSLICE_X36Y38.BQTcko0.200mem/spi_slave/do_buffer_reg<5>mem/spi_slave/do_buffer_reg_4SLICE_X43Y37.A5net20.355mem/spi_slave/do_buffer_reg<4>SLICE_X43Y37.CLKTah0.215mem/addr_hold<6>mem/Mmux_addr_hold[7]_addr_hold[7]_mux_13_OUT52mem/addr_hold_40.4150.3550.770fastclk53.946.1Component Switching Limit Checks: TS_sclk = PERIOD TIMEGRP "sclk" 125 ns LOW 50%;TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns;1162000054005.029Paths for end point mem/rd_data_out_1 (SLICE_X30Y43.BX), 1 path +4.971mem/regs/Mram_regsmem/rd_data_out_14.2860.10210.0000.641mem/regs/Mram_regsmem/rd_data_out_10RAMB8_X2Y18.CLKBRDCLKfastclkRAMB8_X2Y18.DOBDO1Trcko_DOB1.850mem/regs/Mram_regsmem/regs/Mram_regsSLICE_X30Y43.BXnet22.350mem/reg_rd_data<1>SLICE_X30Y43.CLKTdick0.086mem/rd_data_out<3>mem/rd_data_out_11.9362.3504.286fastclk45.254.8Paths for end point mem/rd_data_out_2 (SLICE_X30Y43.CX), 1 path +5.297mem/regs/Mram_regsmem/rd_data_out_23.9600.10210.0000.641mem/regs/Mram_regsmem/rd_data_out_20RAMB8_X2Y18.CLKBRDCLKfastclkRAMB8_X2Y18.DOBDO2Trcko_DOB1.850mem/regs/Mram_regsmem/regs/Mram_regsSLICE_X30Y43.CXnet22.024mem/reg_rd_data<2>SLICE_X30Y43.CLKTdick0.086mem/rd_data_out<3>mem/rd_data_out_21.9362.0243.960fastclk48.951.1Paths for end point mem/rd_data_out_3 (SLICE_X30Y43.DX), 1 path +5.344mem/regs/Mram_regsmem/rd_data_out_33.9130.10210.0000.641mem/regs/Mram_regsmem/rd_data_out_30RAMB8_X2Y18.CLKBRDCLKfastclkRAMB8_X2Y18.DOBDO3Trcko_DOB1.850mem/regs/Mram_regsmem/regs/Mram_regsSLICE_X30Y43.DXnet21.977mem/reg_rd_data<3>SLICE_X30Y43.CLKTdick0.086mem/rd_data_out<3>mem/rd_data_out_31.9361.9773.913fastclk49.550.5Hold Paths: TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns; -Paths for end point mem/spi_slave/di_reg_7 (SLICE_X14Y38.CE), 1 path -0.238mem/spi_wr_enmem/spi_slave/di_reg_70.2300.0080.0000.000mem/spi_wr_enmem/spi_slave/di_reg_70SLICE_X12Y38.CLKfastclkSLICE_X12Y38.AQTcko0.200mem/spi_wr_enmem/spi_wr_enSLICE_X14Y38.CEnet30.138mem/spi_wr_enSLICE_X14Y38.CLKTckce-0.108mem/spi_slave/di_reg<7>mem/spi_slave/di_reg_70.0920.1380.230fastclk40.060.0Paths for end point mem/spi_slave/di_reg_6 (SLICE_X14Y38.CE), 1 path -0.242mem/spi_wr_enmem/spi_slave/di_reg_60.2340.0080.0000.000mem/spi_wr_enmem/spi_slave/di_reg_60SLICE_X12Y38.CLKfastclkSLICE_X12Y38.AQTcko0.200mem/spi_wr_enmem/spi_wr_enSLICE_X14Y38.CEnet30.138mem/spi_wr_enSLICE_X14Y38.CLKTckce-0.104mem/spi_slave/di_reg<7>mem/spi_slave/di_reg_60.0960.1380.234fastclk41.059.0Paths for end point mem/spi_slave/di_reg_5 (SLICE_X14Y38.CE), 1 path -0.244mem/spi_wr_enmem/spi_slave/di_reg_50.2360.0080.0000.000mem/spi_wr_enmem/spi_slave/di_reg_50SLICE_X12Y38.CLKfastclkSLICE_X12Y38.AQTcko0.200mem/spi_wr_enmem/spi_wr_enSLICE_X14Y38.CEnet30.138mem/spi_wr_enSLICE_X14Y38.CLKTckce-0.102mem/spi_slave/di_reg<7>mem/spi_slave/di_reg_50.0980.1380.236fastclk41.558.5Component Switching Limit Checks: TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / - 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns;0clk_12mhzclk_12mhz5.017sclk4.671sclksclk3.3532.1301.600000027100111932.00031.250Wed Nov 7 12:11:15 2012 TraceTrace Settings +Paths for end point mem/rd_data_out_3 (SLICE_X30Y43.CE), 1 path +0.393mem/rd_data_almost_readymem/rd_data_out_30.409-0.0160.0000.000mem/rd_data_almost_readymem/rd_data_out_30SLICE_X30Y38.CLKfastclkSLICE_X30Y38.CQTcko0.234mem/rd_data_almost_readymem/rd_data_almost_readySLICE_X30Y43.CEnet20.283mem/rd_data_almost_readySLICE_X30Y43.CLKTckce-0.108mem/rd_data_out<3>mem/rd_data_out_30.1260.2830.409fastclk30.869.2Paths for end point mem/rd_data_out_2 (SLICE_X30Y43.CE), 1 path +0.397mem/rd_data_almost_readymem/rd_data_out_20.413-0.0160.0000.000mem/rd_data_almost_readymem/rd_data_out_20SLICE_X30Y38.CLKfastclkSLICE_X30Y38.CQTcko0.234mem/rd_data_almost_readymem/rd_data_almost_readySLICE_X30Y43.CEnet20.283mem/rd_data_almost_readySLICE_X30Y43.CLKTckce-0.104mem/rd_data_out<3>mem/rd_data_out_20.1300.2830.413fastclk31.568.5Paths for end point mem/rd_data_out_1 (SLICE_X30Y43.CE), 1 path +0.399mem/rd_data_almost_readymem/rd_data_out_10.415-0.0160.0000.000mem/rd_data_almost_readymem/rd_data_out_10SLICE_X30Y38.CLKfastclkSLICE_X30Y38.CQTcko0.234mem/rd_data_almost_readymem/rd_data_almost_readySLICE_X30Y43.CEnet20.283mem/rd_data_almost_readySLICE_X30Y43.CLKTckce-0.102mem/rd_data_out<3>mem/rd_data_out_10.1320.2830.415fastclk31.868.2Component Switching Limit Checks: TS_clk_100mhz_i_clkfx = PERIOD TIMEGRP "clk_100mhz_i_clkfx" TS_clk_12mhz / + 8.33333333 HIGH 50% INPUT_JITTER 0.01 ns;0clk_12mhzclk_12mhz5.029sclk4.755sclksclk3.5231.8551.429000027100111432.00031.250Thu Nov 8 17:50:47 2012 TraceTrace Settings -Peak Memory Usage: 320 MB +Peak Memory Usage: 321 MB diff --git a/toplevel.ucf b/toplevel.ucf index 3f9f57e..4465311 100644 --- a/toplevel.ucf +++ b/toplevel.ucf @@ -9,11 +9,13 @@ TIMESPEC TS_sclk = PERIOD "sclk" 125 ns LOW 50 %; NET "clk_12mhz" LOC = A9; -NET "miso" LOC = J4; -NET "mosi" LOC = H1; -NET "pcm_out[0]" LOC = A2; -NET "pcm_out[1]" LOC = B2; -NET "pcm_out[2]" LOC = B1; -NET "pcm_out[3]" LOC = C1; -NET "sclk" LOC = K3; -NET "ssel" LOC = H2; + +NET "pcm_out[0]" LOC = B15; #14 +NET "pcm_out[1]" LOC = B16; #13 +NET "pcm_out[2]" LOC = C15; #12 +NET "pcm_out[3]" LOC = C16; #11 + +NET "sclk" LOC = J16; #7 +NET "miso" LOC = J14; #8 +NET "mosi" LOC = F15; #9 +NET "ssel" LOC = F16; #10 diff --git a/toplevel.unroutes b/toplevel.unroutes index c0e2cd0..7cbd845 100644 --- a/toplevel.unroutes +++ b/toplevel.unroutes @@ -1,7 +1,7 @@ Release 13.2 - par O.61xd (lin64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Wed Nov 7 12:11:06 2012 +Thu Nov 8 17:50:43 2012 All signals are completely routed. diff --git a/toplevel_bitgen.xwbt b/toplevel_bitgen.xwbt index 647eef3..3760320 100644 --- a/toplevel_bitgen.xwbt +++ b/toplevel_bitgen.xwbt @@ -1,8 +1,8 @@ INTSTYLE=ise -INFILE=/home/jenn/git/quad_fpga/toplevel.ncd -OUTFILE=/home/jenn/git/quad_fpga/toplevel.bit +INFILE=/home/jenn/quad/quad_fpga/toplevel.ncd +OUTFILE=/home/jenn/quad/quad_fpga/toplevel.bit FAMILY=Spartan6 PART=xc6slx25-3ftg256 -WORKINGDIR=/home/jenn/git/quad_fpga +WORKINGDIR=/home/jenn/quad/quad_fpga LICENSE=WebPack USER_INFO=200898488_0_0_375 diff --git a/toplevel_guide.ncd b/toplevel_guide.ncd index 18d80c1..5654574 100644 --- a/toplevel_guide.ncd +++ b/toplevel_guide.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###5760:XlxV32DM 3ff1 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###2440:XlxV32DM 3fe6 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###2940:XlxV32DM 3fdf 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###2012:XlxV32DM 3fe6 7c4eNqt2m1rG1cQBeB/1O459+4bDYJAIRSaFNq0/RDCYktOYmrHwi+0/fdd2ZaMHJ87s3f6zd6Ze2Z1Le2uHrxdX958aD5+t11ffv92fXW5Pbme5p+n9dXd19sPwMfpzbsfp9RPV9PPZzc377+cfJ04/7L+91VepbMftpXrL+5uXzWr9CkYgOApIPoaGA1I0YD5z7CJBGzOYyewOUc0gNGAFA3I0YCOfB4wr3pav/vANCsmswlzlx0FTxM9TcnTlD1Nraep8zT1nqbB0zSaW/5wHeHo6Zp+evfH1FiJ/1xd7xKz3QVnG1aemb4uurqSqyu7ulpXV+fq6l1dg6trXKE96vr17Obu4v6u0r10HLIAkaSOUxxP4ngWx1txvBPHe3F8EMfnHRqOjh82cLdJn2Rp2p6s/5qAUXTs3veNrj0sT1gXWq5vdToK6XhMzzod9+nqhUO/8H02cao7CtHU0TxsyqnuKEQnHZ0OO3KqOwrRWUfnwzvhVHcUolsd3Zp73RajOx3dmXvdFaN7Hd2be90XowcdPZh7PRSjRx09mns97qKT+NDdPzod//Z69yA1PzulvHjJ9NufQP/ysqmRFagXPqHRJT2JspJkJctKKyudrPSyMsjK+ELl6u52uj77jOMH4O3dxc3Z9Pf55vbLrrq7NpUb5ieG1mh4fBc5+5KzrzdOLFsnlp0ntu/Lzr7BOLHWOrH9NQ7OvtbZ1xkn1lkn1jkH7vtGY+D8VNcZDY870RgTny6w5YmDNfHpcmokzdfNTalhvlKU68moZ6PeGvXOqPdGfTDqIx/vG3ioH74kH1+/D1+Z83zZb5auwP5OsWDJfKdoUiuWHb+I+8Unm83UTL/8/n5HKlXr2sp13Sp1detQN7CvHNjXDhwqBw61A8dVGuvW3b9tNkdr3+7qvoAHdNhrUu165BwLeLyj5aY65uH7PRCMmB/h8Xw3lzFzs4qtR3A9g+tTcH3+5t1UzewIMzuizI4osyPK7IgyO4LMjiizI8rsiDI7osyOKLPDw+xwMTs8zA4Ps8PD7PAwOzzMDg+zw8Ps8DA7PMwOF7NjAbPDxezwMTt8zA4Xs8PF7HAxO1zMDhezw8XscDE7XMwOF7NDMDsUs0MxOwSzQzA7BLNDMDsEs0MwOwSzQzA7BLNDMztMZkeB2WEzO8rMjgKzw2Z2lJkdmtlhMjuKzA7N7DCZHUVmh2Z2mMyOIrNDMztMZkeR2aGZHSazo8js0MwOk9lRZHZoZofJ7CgyOzSzw2R2FJkdmtlhMjuKzI7lzI46Zodkdkhmh2Z2aGaHZHZIZodkdkhmh2R2SGaHZHZIZodkdljMDovZYfC5EZStoG9Y3OjLxsDWGnjgbiOos4IOPG0E9VZQ/xzMITW5NRr2H+1y0BMmw8BkGJgMA5NhYDIMTIaByTAwGQYmczEmczkmsw6TWYnJrMRkVmIyazGZlZjMWkxmJSazFpNZickMYDKDmMwoJvP/wWTGMZlxTGYQkxnEZAYxmUFMZhCTGcVkhjGZUUxmFJMZxWRGMZlBTGYUkxnFZEYxmVFMZhST6cFkujCZHkymB5PpwWR6MJkeTKYHk+nBZHowmR5MpguTuQCT6cJk+jCZPkymC5PpwmS6MJkuTKYLk+nCZLowmS5MpguTKTCZCpOpMJkCkykwmQKTKTCZApMpMJkCkykwmQKTqTGZJiazgMm0MZllTGYBk2ljMsuYTI3JNDGZRUymxmSamMwiJlNjMk1MZhGTqTGZJiaziMnUmEwTk1nEZGpMponJLGIyNSbTxGQWMZkak2liMouYTI3JNDGZRUzmckxmHSZTYjIlJlNjMjUmU2IyJSZTYjIlJlNiMiUmU2IyJSZTYjItTKaFySxjcuvsG4yB2Rq4v/p0zr7RGNge/s+XBjo3xsT2OXPT0mmWdRrOvmQM7K2BvXNg/xypaWk3ndpNS7tpaDcN7aah3TS0m4Z209BuGtpNQ7vTYu1Oi7T7P65WraY=###3240:XlxV32DM 3ff3 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###5120:XlxV32DM 3fff 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###3964:XlxV32DM 3fff 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###4388:XlxV32DM 3fff 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###5216:XlxV32DM 3fff 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###4764:XlxV32DM 3fff 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###4912:XlxV32DM 3fff 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###4940:XlxV32DM 3fff 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###4728:XlxV32DM 3fff 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###4804:XlxV32DM 3fff 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###6992:XlxV32DM 3fff 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###5148:XlxV32DM 3fff 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###2068:XlxV32DM 3fff 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###2240:XlxV32DM 3fff 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###2408:XlxV32DM 3fff 950eNq1W0l65SgMvpIZxJA+Rx8gsd9b1q5X+erujZGNJwYJktqUYzSA9GsA85xWetZf8I7/S3iD03P4y+sX6PUvJZQAr5Ty06Rdorb4FMY22txo4AeYlLiMHXqWmx7Vq2fVAFKDtkGSCe8TRZAbHoNcqWzgxLfm4NQuPC9arLKjDqPf4b0u0s6DuiK/9uFJAgRt8V2QZqIVVBjRGsg6CHL0+4d0EeSc1pyh3NZORYJU6tcRByOIy2q4x475cQ33NdghDYhMtclyQZYOZkdvHzZ9+HjlOMaPCMpjCdGwoiLI1SddUmUj7SrxNL6EdzpJa0Q/WWtRan1mO8pL8YN6gzY46UZP/WoeYusdzEkVfb+anyp6fzNX3SPcDcXfJinIlsH7yyZTRpkujD/QGKOTz9XSZbt02ZauQIs5VpMpgUAp1BQzlWTQKgatZtBy5muKtHOgfq/xEqinI37w3U6HEjWAXLPFfTzkMA8q+mrzXkTfrFfE+Zixl4y9DdEztJUipSd727Rwl2RqMqUnUFry2i0Z6Za8opUSCui9UjqydkdGriN708V5KiIlbe2Olm8aK5rIK8pRXnLkltu+Khx0X5WsdaX0ZK96Wk7fKGkZ0ZPzoSf7ytMqVsqFtDXVaSdGTZgYNWFi1ISJURPutIJR7wSjhglGDROMteH+fCLJVeT8Wpd7nwOQI0dUO4+8XGDQmuH53mkNAw+GYV/DWJtlzMEy5mAZc3CMObiG3PUJsGu/0Z9GDnpw4Pa/mdyxi8vwLg8LnEYumlu8Jsu76l069ZZ45wdyeqxlHrNuW4uiuWXpI+b4loaKpWm8MMCrB3htFzqO3MvXqwZQWdPbQuVRs3pQWeNuofKown28vYg+ugq+l6bHerm8aoBXdvNi1/ezvHVk+QcnHVf+EYM83j5U+YeNOZyltVI4bQeOj/0R16vHHqyHU3chyXXHnOuOONdduVx33ap5pZ7FaxaicOpOTm6tm29nLvwItZVOhsZrOyLNdvRPy+0cjIsG6MZuf+dUm20dDdCNQGD0LoSzWeLZa2Fs/y5RrKJ9PdbUbZ1610DjVQO8stuj/VigrXX/CrJ/SZKX7yHmRPG+fwPavmSFMS2VC3QWT/IjHrYvll0aJoYGMbgGWdAgfmwNbQ1hDX///hFvO31MH/D9Ry7zh57++U8uXx/yn3/l8vkhxOe3cuqzcBaTYi+Ov4Mmk30L986hst8qca9jjyhkyUHuaKt0utEjJ96oiHkYI6xlm/UuRcBUzHalWZXngr5dggxXnNlZw5in8LbI2IrMjisRcGURV8pdcfXpvlccM5CFOSk34xFMYL5ax8S9OjDl7BiV96zLxGjy+T3zM2MGUszIIaxDwrpmIEMXfKibWBcgmjj/iZm4YRnoq1H8oqdGVwN7zMkQc2aLuc9rzBm5xtzEiDnsPnKoGMO4SLEyls9NihU5FCs2xYoeihWZYkUwfFqyvWjGiiTECgejJX+jpUfXo4ZlRE8hztXRs6jXrWeZaD0L6pJxV6VAKqEr1W+jgDCPV8FKMFRBcOeGaIYhNJuEZjWEZpPQTM9Q0ZrF1TW6nCaaZeW7IHUmp/5En3LlfMuVmpord8SW+ilO/JntDtPTp5yKZyqyR2eiG518zErEbtUMr+mBYybCbUK4GYo4nSJODGUAlzKAHupFkydU2uNBwLresG4vWP8KUDdkoOdbflEPbzRyAxCeDIeV0oStbdRd5DpRYPIeMGlcHx690aGStG7PuytMcIVCV0jxvvhiNuiL0qeC+WbHOZskS5eP8pSvUNDko/T5dECH43i0DeshRKZMHj9tQYqNeiuqreuvPtFCIWkLxT0OuchACeloRFWORoQO/0Cet7H22MbO4uIuCdNaJvwletLROthtTeLUYBSu++3tRRzf7SYzHjEnW63jrwqtTeNIm0s5UxMrrQ1Mrvl2g9vv2iey0ipmfO6WghuxOW2ZR9CmSEeJOtDI+8dDxozR8nO0niZcMT/nzIy0yjzmx8Z8JmzM/Q9sh33fZrgVj0UskjVv0iTpJwGw/hBiy4qlyiVO1JhDp8SZz85lq+x5etpzmAs57Atz2GIuOSxg6HttivM5jHxwqLIXTrF+hBCqruZaPw5L5XzkC5egH7UtaZaFi7P3ub0OmxXmhhTrz2RUoU6p4rXjVxV7oliz4cT3ytYQqg51s3rddlDRdZ9X6cieqCsXSc355XE5gmCZ2S67SwfbPpqU+Z88NDMPdFfQ+8eIzjq8rzBTPy25GkGqFK54ccsT5PhUzdWQHJGqoyJe3ClE5nYxQd6vnTHkYPThbGCgR3GpR+nHjFilbLsZGIyaKAtrjA81xm19srr2yS7TJ9dqmKhs9+kSXtlDvWe+VKccNNV7h0Lu/x8qpP3Q###2900:XlxV32DM 3fff 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###2872:XlxV32DM 3fff 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###2720:XlxV32DM 3fff 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###2944:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###1932:XlxV32DM 3fff 774eNq9W0mSpDoMvRKaMGSfow9QlcOyd3+V0XdvAwlpSDzI8q9FRVSCpSfLz5I8gAx8k4cMBIQyEtHYdXzlBzsemfzfXR6MJESnz5kG/3z6xdNvQaM+Ii7Q1/unc/tTHUAuo2OyWfhKwDE7ZMbJ6fiU6wm6TkjQq62Rl0n+1M9cb8+pnzGjz0V8Gxs3KNDnlpGL2DRUWcRRi0azRVLpJY6yamjqdciyLOxjV8msvA4p0tEyCuRtoiLfnMyYiJfs/KZsXMrrgEpPxxjZmt/2OYdFOsrnyDKi1jggLbnUUJdkOeXlxCVz3ZjXkMmW+WyStwJLepKxA0r6Is5n50kHVFY0fTb24GyfTQc1saNrWjVAkb50dZbPgcrKqGkPMTvTB1Um67MzQ6cPqvVFIlvjKrVtb7FoNaDRJ/X5rqiq7wtWFmKOUWvUf/Dof790zRoGr2FG2KJtrOqzaoBXP+o1rKOb0OAl7jw99ZLeU6HnVrkwc4c6aPZlV1D/0kFSZknOSvaVchBB7CsR+0JE8m9Eho1tb+ngTUxahpmtg0FP/9ICCS3cyBo2WvNmT1rLwp5bYM1tZifP/z2E+SHdjtWTn+a3BAf2Lk9RJgmacb91Gn2rSQpnzTtbt9m2xxC+N0RYIsIeYXpD/pkwNURaotcbCX2wmHq3RlPfzuuW+d0+Nm/x9kOnj6lrFJrGfWk37Up4GecZ4HVRN9s+SsdLJWTDExUe6PCWbLUhQBxh8R6DsT8Y9IdSaJ4LjifuoRmRN0RMeBB4IiAymseMp9YqRKtXRYln9Smoe0hGxF6NyA2ZWoY4WnmjZU2D6DKoMcHMnU6NaY8BXWkUZTAzhwKv5tHE3DdSoPXm2KbpmzNzZVSgteCJU+GRmSmowrMyU+dNaVgrQQbPHq9ZgSUNq7I8Wm9mZa9Ac2a0UYFmzweixDPPcRUamSMYq/Dsucep8MScD6AUz69P7LU1la1WNjww45WN31qttKjJtIhgHkMtIjasAcsQqWGlW4Zo3ylAJeJo5uqoZY6ZrYMa0T4jQY2JDes0LNq1aDknY4hQv8902PdJIDTa9wmzfBKt2b4PBJmQEh5suZ4Os0UpJjRckZViYsNI0xVgQhPfOjUmNItupYjYMPsnMKf/m1RTGjRouLIoiwE1u9mgiGp4ehq4v0PzEJkyzaxtOanE03Oyt+zUd4ieCQX6dthwcvIdtpUT7Oun7AH7WoSd7fd8zowfp6eQliuwua+xufKun8f6+/cPPO7dpbvw8w/erpeb/PoPb98X/PUbb18X4PFJI31lbxPcxREmbgflGcKS4wh+nADHx2lpe3/5+3x83dZiubG6tj4/Ex+C1rD1GLM3HEu8U3dfI31fttXqgDJxEBqcD7ECDVbWgmctLaxF1+9pS92Tek/brFmJBfPjowQ6o+RuURNcs7hPeF5umoC+fx9TM9HyiOIt2l85LZAh7SXVUKrmSpxLyO2eU9l1oIMuoTNdweXeVptSZYXNuJIQQxK6HQkf308/kewcHJMMPGwd/G8M3F9iLGEgKDkTSC2FS8FF635rKzHmNtseLWPGsDKDQmYM+/CE0CY8DUlq/AwxtLSoIcVMiVd27kookbxBRyV3CaNyse9dWu2NldHMrTTjkGbjnmbd2CYCUSYC7RaihUTrKyKQU0cgpxroUCpz5VFBm/RnH602kcpos5X8EtLm6xCdrk9yDWiDSdrIj5BGlJSRCsLInIQ+VgPBqu7dYlkDSGF6k63ta4VSnhZfbaNp0Uw+VJNPVvL1Ifm+D+SjRpV7JmbhD8UsVMcsrIpZmLv6rIhY/eHTrtLPaWIfhwxmqmk3Hnmlmgupdj3sbciThgZU6zJU4x+iGqupxlVUY0U1JodqTCI7Z/QR7yCIdxDd97m/W2+7cuaTkhzZ/gEdo49l###2636:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###2528:XlxV32DM 3fff 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###2540:XlxV32DM 3fff 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###2764:XlxV32DM 3fff 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###3240:XlxV32DM 3fff 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###3060:XlxV32DM 3fff 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###2988:XlxV32DM 3fff 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###2684:XlxV32DM 3fff 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###2952:XlxV32DM 3fff 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###3660:XlxV32DM 2c4e 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 \ No newline at end of file +###5732:XlxV32DM 3ff4 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###2440:XlxV32DM 3faf 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###2972:XlxV32DM 3fed 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###1996:XlxV32DM 3ff5 7b4eNqt2FtvI0UQBeB/BHNO99wEsoSEhJDYRYIFHlarUWJn2YjsxspFwL9n7MSOnOR01XTxlkxVn8p0Jp3xt11/vn3ffPhqu/789Zv19eft2c00fz2tr++/3L0HPkw/vP1+Sv10Pf10cXv77tPZl4nzN1f3d982q/Txm20oAOkilIBVMIDRgBQNyKu0iQRsLmM/wOYS0QBGA1I0IEcDOvJ5wLzqaf20/nd+XJnMJsxddhQ8TfQ0JU9T9jS1nqbO09R7mgZP02hu+cM5wtHTNf349vepsRL/ub7ZJWa7C842rDwzfV10dSVXV3Z1ta6uztXVu7oGV9e4QnvS9cvF7f3V/r9K99p1yAJEkrpOcT2J61lcb8X1TlzvxfVBXJ93aDi5ftzA3SZ9lKVpe7b+awJG0bF77htde1iesC603NzpdBTS8ZiedTr26erGoW/8kE2c645CNHU0j5tyrjsK0UlHp+OOnOuOQnTW0fn4JJzrjkJ0q6Nbc6/bYnSnoztzr7tidK+je3Ov+2L0oKMHc6+HYvSoo0dzr8dddBJ/dPtXp9Pvvtu9SM3vTikvXjL9+gfQv75samQF6sYnNLqkJ1FWkqxkWWllpZOVXlYGWRlfqVzf3003F3/i9AV4e391ezH9fbm5+7Sr7s6mcsP8xtAaDY8PqLOPxsBsDTycPnT2JWNgaw1snXfYOgd21sDueJyUg3or6HAutUbQYD0F8ymyKTXMv9VyPRn1bNRbo94Z9d6oD0Z95OMpiof68SPj6Wl2/ACZ50OwWboCh3NzwZL53GxSK5ad3sR+8dlmMzXTz7+92wFD1bq2cl23Sl3dOtQN7CsH9rUDh8qBQ+3AcZXGunX7x2ZzsvbNru4LePgIfrCV2vXIORbw+H8gN9UxD592gWDE/EKL57u5QJr2hhRbj+B6Bten4Pr84mmqRmeE0RlRdEYUnRFFZ0TRGUF0RhSdEUVnRNEZUXRGFJ3hQWe40BkedIYHneFBZ3jQGR50hged4UFneNAZHnSGC52xAJ3hQmf40Bk+dIYLneFCZ7jQGS50hgud4UJnuNAZLnSGC50h0BkKnaHQGQKdIdAZAp0h0BkCnSHQGQKdIdAZAp2h0RkmOqOAzrDRGWV0RgGdYaMzyugMjc4w0RlFdIZGZ5jojCI6Q6MzTHRGEZ2h0RkmOqOIztDoDBOdUURnaHSGic4oojM0OsNEZxTRGRqdYaIziugMjc4w0RlFdMZydEYdOkOiMyQ6Q6MzNDpDojMkOkOiMyQ6Q6IzJDpDojMkOkOiMyx0hoXOMDDZ2ZeNgdkaeMRkZ19rDGytgS/QGU50hoXOKKMzjaDeCuqPh0c5aLCegid0hoHOMNAZBjrDQGcY6AwDnWGgMwx05mJ05nJ0Zh06sxKdWYnOrERn1qIzK9GZtejMSnRmLTqzEp0ZQGcG0ZlRdOb/g86MozPj6MwgOjOIzgyiM4PozCA6M4rODKMzo+jMKDozis6MojOD6MwoOjOKzoyiM6PozCg604POdKEzPehMDzrTg870oDM96EwPOtODzvSgMz3oTBc6cwE604XO9KEzfehMFzrThc50oTNd6EwXOtOFznShM13oTBc6U6AzFTpToTMFOlOgMwU6U6AzBTpToDMFOlOgMwU6U6MzTXRmAZ1pozPL6MwCOtNGZ5bRmRqdaaIzi+hMjc400ZlFdKZGZ5rozCI6U6MzTXRmEZ2p0ZkmOrOIztToTBOdWURnanSmic4sojM1OtNEZxbRmRqdaaIzi+jM5ejMOnSmRGdKdKZGZ2p0pkRnSnSmRGdKdKZEZ0p0pkRnSnSmRGda6EwLnWlgsrNvMAZma+ARk519ozGwtQa2z5Xb6OuMgfMrUWc0PP7NN8bEFzpNS6dp6LTxkz0ptjFxsCYezrXeCHribhrcTYO7aXA3De6mwd00uJsGd9Pg7rSYu9Ny7k513J0quTtVcneq5O5Uwd3/AWwgroQ=###3308:XlxV32DM 3ffc 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###5016:XlxV32DM 3fff 1380eNrtWluP5bYN/jPzsMm8WHfZxgI7aFCkQNqg3SAP+zCAJdlAXrItghYt5vLbS1KUTZ/LbOZy0BTILubQ80kiKerCj55zf/+9f//uxl8/3vivvn737kZ9/e5Gw4+BH/v14437Cv/dazPeH3blbo/Qr3a71/bJXqztXsXjblXHvepPNT3WtlPDrs83faAm7Y6aHtGpxwPf1XyiH0WBTICi7nSHbWb3ajnV5Rq7sBJzyhvbfIK+2O36XXNwjb+aTo7jwBwtzo09iTpAj5boETuXFf2Av1rNvz/ihqjOsUMe3avTxok50oL/rttaM3Cvg1SyDzqObB33PVGpvt7itrNxb5a1Z3OJIwj6sNP1uwPt120vt3UWGqQZsMJ+K7f2kKok7hDHAFrAee/d2HvevI/y0ddx93/6+MPNX765+ds3w3c//uHP33/U7uHDh79rm8L44cO/+74b/ji4Qd19VGoZtPWDzvCrMZ1XdjB+Xpa7n80C0AjCD368MosZzKLGBxiSTgxZujh+1MYNvvdDt2tII405alD6XIMZC/oI4KCf8BG8KmkwJY9X8zT0YRp/1tYMruuzCbqMV9pqguHB4nB4mNPQ92r81w+6qKH+Nzo+bWTWw6TGv+rZQsgeQGqUV7osw6THK9VFbAdnlmrNlBmMaHwogzUYvbnDWOq5NO+W8T969oOZIz44evhOl24wd1cqWBgWxp+VyjAMfg+DDnp8+FbnBXpZNeS7K2u6wcKMIH4wMTNY341Xvv4WqnBV5CqmKtJgOxA9mbhaAAQtZehn1D+b8ZOZDYRdaT2Au4CVhJ6BgWpzPhwbSe9DwiVTQz/EMqii7v6hSz/ChxtBxTR+gsiru8kMD59Uh4/WWHg2i6ZnNzz8ZBWu+bdmVuM/9Qxr343jT31Ix6Dv9ame5gj8HDTqhHWPQ4DTCEsQhm487KTmo07quFM56qSPO+WjTuawk++P55kc7q7B0IZUd1dag/ZsYGFDGYpHTf3gM2x1XWCXBtxc06D0NP6U0HkQC4ncVaGrMFXYKlwVvopQRawiVZGrKFVUnaXqhBNDoqouVXWpqktVrZRhWWFlepZTlVax1Cy5v+X+lvXY6qNyNP6z8oFlZDmxTCwzy8JyZllnoALrDVWvMZllYVn7GVunaubAMrLsWZJdWq0OVwu639GSGJNgkeDkaw+Lc7h80CXAneDqsi3z76v2P1i1h19UxI767j2uH6DjLX4AHhaJ94j3gLspSzwiHglPEg+IB9JTBG47wOEDcakHeMUtfgA+GYkXxAvhWuIZ8Yx2Z+E/RGm8xQ/AyyzxGfGZ8CzxgnghfJJ4Rpz0l17iCfFEeJT4hPhEeJB4RJziU7zEA+KB/BfzglUFHD5wvlbiDnFHuBK4g3XBD4y/tGtRj/WES7sW9VhHuJO4RdwSLu1ag7gh3EhcI64Jl/5bhbgiXPppMD6G4tMniaP/Bv23RupX6I+yhEs9Cv1R5E8R/kNGvS00qyK8L6Ck0JyK0F1ARakahOcFJlRoPkXYKzCdQrMpYpcV2MQF97DL4owAD7nNtIN7icIGy7R/5bwhad3mTKjQm2FzZdpbk0RhC2XaQTu9sIEy7Z9e7PMMy55p1Xtx6oDl3WaKTi/2fobo5LriYofDBX2b63qL/Z0hOlnTbSGiniEOuZ5lMbcEcUgUhyBOVIIDCD8PD8kBJ1EDXIf4cTarF87qXmb1etWletOdzhbqhUmj3op5eiKFzBfKJCaxzCwLy5kl3/x8oz8789jAMrJk+7ZlMrZv2b5l+5btW7bv2L5j+47tO7bv2L5j+65lPrbvosyEINm+Y/uOM59bOON1LBVLzdKwtCwdS38mw/anM21g/UFdhg8R96bJHvGhfjnLh6zgQ7/v99/3+//LfoeMsTI62PmCSbo1OxC+Mck1PxC+McnQS3xlki7MAhdMspe4YJJrDiR8Y5K99FMwyTW/YoYKyGSC2mVYwjvEu12ORVwwQ6lfMsM1qxOOzMf3u/xL+MYY1wxM+MYYV+ZGODI973fMjXBket7tmBvhyKy83TE3wpFZebNjkoQj0/N6xyQJx/j4PdMjHOPjux3TQ9whM3fEzIP0c2O8Lsj5CsbbT1IPMnNXuZOMm8P4u0S41OMw/o4qml7Oa2POdt7pwfi7SLiMs8P4O6poehkHh/47Yuz9rj/676r/cr4O4+8s4XJdHMbfGbIr4+kw/g7jb4vcnw7j7xTpkevoMP6ungupx2L8LZ2LKPVYrIzsTLjc5xYrI0vnJcp9bjH+NpOfcn0txt8m8nOHY/ztRLiMv8X4W4y/V9J/i/G3kfCd/xh/S/GP8nxtlY6KO7trpaOi3D9bpaOiXPet0vFKntOt0vHdLm5rpaOi3G94L6l6L0U5X7yXVL2Xotw/BuNvavzlPsH7StF95dUOx/jTfeWV3D8G428S+SnXcau8fCfXUVZeMv6nKi/C18pLRWF3q7yMiP5WeRkRm63yMuKkbJWXEXFfKy8VhXdr5aWi8G2rvKLQkCGwed69yUB0rcdkNtnqsSBitNZjSma2DAHN0+5NBaIQztzv3lMgutZuXsRhq928iMNWu3k5t7V2c9KHVrspefeutZuSmWar3WR+yxDfrHZ1KaJrRdcLDVtFF4W/raIT1R+iEN9UdtUfohBf+IHqzyY96AFO2DyocleLPVjRb/XS1YqvvRBUOgHZ7eur424wEd9np0plSmUgdB9y6wStcyVOM/Owzq3NvR4RYGLWMRFTTIgUv2pTjYCqbeCMAw0zsFAZoKnUOGliUPKFZzQwk3liLp965vLT+FlXqg0BoNNj5CDb3pKqOnDqNA/chUDDbbCGQFfODvooKzupz7E+nVtRUVjfImbfMe3VdfbGRDm5h+SJfuxml3azi6dmN82Hs8s4aK4uLfXf3ObZnZ7ncnKe+XCepc2ztHmmF80zHMyzN1+eZ1IH8+zdG82TTtMsNfvxk57zEO8sZAgLLMlCBrHAki1kGAvnzEIGwnEPB8bJpsKdzbHQTP0NU3/DR8XwyaixAWc8y8Cy4Uz5Q5MTy8Qyt8VaDharD22x5tcsll6mw8Vqy2PHz0TIaUn9Ya/lVyypPVjSSb3RkiZ9EI1Jt2gsr4jGLz3F2929x0sA0PE9nhJ+WPgBjOPDraFkaBMnLcINkq1A5DIxycB9BOuIA/EPxJj+9JK3Ntgj43sMsKYkWOdG+uyQiFMoGpLEEK3G97hyfVlpBTsNU6xO88PCD0nTAzCOjoxwNqJZkdOKJkMl7qpqaqqmpmpqqpAEdeivbukZHYP9zB10oTYl2yJr4bbVhUwQd8I0rltiJTe2NkzmNshAKPRDURRSswUPGGYOfI03E1O8mjDMHHi0VU8Wj6N4d47gsrlHEZrJ+jQ3eJZWKBJLbFaitFLH5W0cWikLoWGbJxkpVCc1hoapTRjpyQgPwVwpjFSf/TYOjSTiT1wl3CZUhC3EwPivLbezGqtTFa2BgiCEsUakwhPDxtR9jDCewwW/3aCGIrgHnfFFEhB/hoDoNycgXf71RCQ/QUT89AUicvq+C8dE5I3uO3eOkpjudanavoCSkHsXoSQpnqMkRr1unuYllGS+GCVZnqAkQEMgDVkosi0UTxaKcAullYUiHcedoSRt72/U5OKUJOVzlMTo1y2WfgHZyOoNyMbJeiAt58gG3IZvQjbgeDO1iO2hsY607MhGbkkzLTuykddEuzDZyIrJhum6rY3Jhl7JRkt+WQmysTEK8Iz5Q2wPjVqkZccotrSMOL5T6eidVmp5NOXTTINMTM3E1ExMzYRgGn4zwUxjYxNOtkXWwm2NiaGFyiaWxjSmlb052VaZxiKmJJlG4wBp5QDLyjSWxgFMS89LYxopb+ME00j95p5gGqkFNCVppTKNuVmx0kodF7dxG9NIfpunYBqpEZAUpJHKNEoz4qSR6rPbxgmmkQTTwJZKHrJgGuhURcuOaawEZJZMI6vGNIBSqSEP/aBsIxq6Eo3KMcLlOMY5bjEfUAb1AspAf1K8CGXI+ixlsK+7nbsXUAZKKhehDNmfpQzuNfO09etX57K/d83ZdNZZ4SW95+tPJHwHCR+TfAc/mOTVgH2/kOQPk/u5ZH4uidfzdC55+9cnNYsZRXMKy2spHXe5jJIM96wpJa/1a9znlnYRR7fLLdPUyqd4nFtWzVPTPDXNIqX0m2YuUOOaNtpFmlsRSp1q2mj3ZtayrZZ67eKe2sUNbTU92FXnlGQKyMKUSAG5eQcPQtNEWV2327nj2xmDU1NA9tu4LQVks/ksUkBuV3l20khPRlQzoqSR6rPexokUsIgUgC10q/NXCmsKQKfoUo9Q5uthwTfXuV3qRhSOwGMuXTi+/o11eP4b60h/lz39xtp84Y31qTswUnK3J+56JCynDvOX7niihc+842N/qbIwEkuxJ+54pfLL5jc/vxyM/aXKwUhfJDldDka4waOHJ7hXo59BFpALSHzufjtvqGOaDhapZRSl0ksWyXT+17yZJlL9zGIxTupCb6YjAfZEsYgFxPOj8EukL1tBnYSHnNIongZ+mNpDkok1tjerhG9FYmxvY3HfUJEYp61ITFtbLRIhsLVIjO1tLHbfikTTBTEE30jjitU30vQFBHaaMjo6zQ9Te0gyx8f23R+a1ZbLY/uuAVqhoo8GUoaO7fsGtS2ydm6LTV+oGbp2CtTmWpuXbZg761lss9qKvti+s4QPlCZrFGvwWJ+ngnAaOYpkq313CcdtGT+2r+DQaq4ZP7a/HeODsFIzvm1WFmmljuu3cWvGj+17LLR31owfw7pwURqpGd+018tBGqk+q23clvH5yzWU8amlZny9ZXxyqqJGFH0UkQpbUfTRLqv8AEiSwj9tF0EQ7OHr5VAu/3o5vw1b8OTOM2vEONkL1YiR7s7TvKF/WV6dXsAbpnAx3lDO8ob4svn1L+ANVKVchjfYJ3gDcgQ1RKgsI2zDCJVlDAYkPMO438xr5Ehc7DRvCM9epP8CdcITrA==###4116:XlxV32DM 3fff ffceNrNm9HS3KYOgF+mDwACDOxO788z9CIzYPBMb5qLXmb67kcgZOT910nWybbpzNb8yEKAbT5JkPs/ecnhpm4af+rLb1CWm4nb/X9Q0x3/8reYw/03U9JtS/fPsCa87/5PDqlgwQilpJtSvf8Fpd42+q9SE0mp0US+/5kjKv0GVd3AbHf8O7cWseU8Ws4bFqxsGahRrZfRpZhHe9v9T61aT/AK/frZmND/NmvtDf4dbLPovvwe8nrD2jsWChcqF7Ze+NT+hyppRRX4QvUm3D9pD72+jHpvb8avqIg12HWUGbVNmUad39vE4oR1vTxkeHuO2JzRWG01zJ7hOKhno1C5sPXCJ61Va8krYUWtWI//axYi97iOHus+klDn/bDG0SCULitSFobVIdtnoPSqcZPvMh5N6/aULb1/VvRPp9aP3l5KPAOpT9iYQpq50ccF3402YWMKu60Upl6fOeV69TK714dbu/Xk+W4vraRupbCVJK2Qnpt6zUrZeq2Z4+xGSujVPMRkpZHYjaxsJEsj1GeYes1Ibhq6kulPuTXUJLnX0vg+VX2nTlEtDQ8nwd9pRqg6jGpj6I1s1fglxQU/63rDhxS//AU13pbi2ke63fuH5St9RRoOn6UJMD/LQh9T2aY0obTqLu29bJ+g28WxKWP/hmClq1b9fnwn6W+jd4Wk7ijwID9aXJP8w5oUzLfXpKwe1qRgf9KatKqHNSk4XpPchTUJx7c8ji9/x/jM4/jWnzU+/Ti+wuOz18bnHpnyHc8vuofxRfeTxudbYZUtL/c/oK43/yUEcwve3UKweF3wl25hKbem88+D4W5Pi/fauHEdH4Lxw/Ayrvx3GNdI8+T5msY1j+vKjzo8PJDo+YGYKw8kxL5GnPI686zmp7Nq20cqEB1PEQ0/hmj80AjI+EYOMgcuxAOiIy/1rV4gOjIwcE4J0TEMRLcFkWRY9QzDaJ2gi9YHfQMX4hHDdlqRGI5c37otMezn/QPDcUftImVhWCVZZNy3HhFq845hdjhat6esAy0y0LAwGJUZtxqYUY4ZlRm30Uw9gdsMsxsCt3kHpJFWOm61ZiuLtEJ6euoJ3IrxCNxmngIsCCMdt1qxES+NEG7r1BO4jQK3TUIETQK3rVNUmyVu24x0rkaD3L9tSFa9MlcX/Jg2Nbga387VnafllKuxNgVj6IYHvoJfvs7X+GR9jqp85Gv/7GEBXj/g+1flaPMJVetF6JjXoRqNehNUo11PoFrNteHB60yNxryJqbG/nPUJU8OXiB59VPoWcRGIyuAV8GrximXUO+EqvsdpzIH+Gl/xGn4OZ6PdTjhbr4AsG/UhluanYu+fY+EnqV+PuGOfg3dE3NGpE5zjcniF5qUST9sH3tndPoVR2EbBKUnzaAavev2kOQ261eNb02neajjgzlM2Am49Au62RJMMb5+kN8oLFcDFvj2wWKQT0Drdkd86PQrbKDglnYBoBhX7qCbso96mlQ77rtiBHnWVsjBaH7LC7Y24mm7yXZZYlqWs4ZS+RB7VjLnp2++TMPBMs0iT55icQOSkWey2jJ560wmIsM3uTSeAFtB+t5JWKOa2bMVIK10P6tTbnYAIfo5zOgGR4+rGIGGEYu4xzAY1YYT6vEw94QSs0wnoEsJ9mU5A7xTVVuEE9Bmh6k3E3P0tI9/Atg8b8VyEc+Ap6BYeQvr3I++LnsLS3a8XI/Fo4psi8ej0mc+gLkG1O50v+wzru3wGByc+Q9muDa9e8Rm2t/kM8dxn0OgfqAV9BIdX7JvGcludNZZR7xux+PQd3u4zOHPiM5R67RmVC96Ahbd5A/bEGyjlx7wBp5n9wAXDBXvwBiwDqNULb8AycPF9IG/AwmP6vcnIG+ip+e4NWEYU3v4k7m89G4AHLhgu2APyJ2qjjPujYZi1IQlXAMy8f7gClnEPIGVhWB2yfQaAcR/ZFQAejdNSRq5AFP2TrgDPDhYGP+OH9DsuFoOfcXcFytQTroDJs3vSFWBKY0FYOabf0yatkF6aetMVMGGOU7gChodoojTykH5X0gj12U+96QpsSrgCTdLpvmnpCqxcCwdXYONqI12B9oqRKwD5Q/o9yPR7/nXT733/61XoW/c26LsT6Jf12oqbL0DfhrdBfzmDfr42vHQB+pDeBf3+9j1PvkeNgFcJIR/xmvG34Q9uTec/S75HW84An648j9gFp7n3FV7JvUdbz/AcfxDPjmG8cLBeuFAPeAZe5m094BkYFvj0CM/Au+NtMSQZlOcIdgzchaPuwoV6RHCcViSCgettOUbj67yfEGzrHnFnKQvD6kDwnpJYBmb7TYRgTi+0bk8ZxbUMMxg73TQdx9R7GnvQfRCEWghTT6DW+tkNgVrLd9sgrRxT7ylLK6S3TL2JWivGI1BreQqsk0aOqfe0SiPUZzP1BGqtQK11TE8nUNs6RbWLRC0k3tJu4f9D6j2KwHrxj0z9dTLu4fWMu6/LacbdnmXcn2x/gj3bvnbLJcKs6cL2tYrv2r4Gd7Z97a5tz3dUvLp9rdY3EdT3Nfp52Oyrv3lsx1f8FWRqzXgteMUy6v0yqfYAp1vazl7LtcfvybWvV063qe1dp9vgdOvcXdkV+tv3kzJt8xrs2CgHxwXeOofj1jkHfL1ebJ1zCN3eG9o6V9tjsr3JKLxe99NtHNq1258k27sKJdvjQ7K9dZr218FxgXfc4bjjzh3oo5p491uYVmhnHXhn3W9eysJofch41x0Gwukm32W8rw1WyhpA6VvkUYkDbhx6tkJnJc3iMdm+jgNuNIt9x12lqSd23FWY3RM77uz8BBWllWOyfU3SCun5qTd33JWa45zY9+NI2u8NP8LIQ7I9SCOuT9s29QT2/cR+lxDgg9hxV3vcHeWOu9oD7yQPuKmNvQGMGj4k29Njsn0J7/IJflqOfb1y2k3Dj4Tbz5amdOolwDWKugtegnZv8xLyqZegr43PXvASdHiXl1DhK14CegT4mvta8afw18r65rdWhl8nuR5gPfUSrm3wrOYC/3tc+B7+lzP+2+0H+Z+Y9pkLfN4dyoH/vJfc6wX/ORpubwTxX+fH9HqTDf4b5r9mKOHtz47VYc8G0jMX+Lw7FAn5CddmRRyr04wvWI/wX+f9A/5lB3yWsjCsDtk+A2M3nW4i+PNoWrenrMO/guifgL9mWusRjdMUHtPrqx3E7FPY4a+XqSfgr+3snoC/5kN92kkrx/T66qQV0jNTb8KfswT9xZnH7ThF0QrCyDG9vnpphPqspp6AfxbH7TQwz1cBf71nAoqEv95TAVXCv71iIxVgP6TXs0ivL/Ffw/73ZtVXuIL5+rMxX88wb6/tX676AuZ72PkezG9nmLfl2vjUlWSAeRvm42k63W+I9GoQ64BXi7+A2M+3pvPfnWWH5Qzp9tL2TVDL1/Lp2tiXDrP3E0fPiZx/kMj8r8tg48LCBX+MyHllb/UyIl+YN5Ejcj7+Fjjca1VPqcv/ggw2Lixc8AfqTqodNrWDYhTAcqSum/cP6vqdrFbKwrA6ZHveYWOyxp26nEOAKmUUvDK/lGEkxQ8Z9VUxkuIeWuupJ+gKanZD0BV2Hmpp5ZhRX7W0QnTdpp6gqxiPpCtPga7SyDGjvoI0QnRdp56g6ybpWgmYMKJ6omvrFNXqQ2htBkZNO0Fxw4haYHQlgsLZOXb1YYO6KroMgo6VRDFJGZzpAZxBxs244sAkbpLxsuMcunk9hw5uO82hq2+cWi9P1l9j4YSfoPftwVWuF2PUz9eNtl0bX+encfUVfmoxvvTULVjyhWDWLPoVyn27F+D8aQyLjwvX7oKPDRcGh17ukvCKr+mCQQrq/TKZbqOX7zoEfuHYl1nsK4Hp44SP1zp/fK3/ppZbYg+nsqOm1Yzgj8ObJhsnrflslVnG4txuzy0iMtBVvFDpyd92nq8nf/l4cfuSBIYMZ3KpvjWlmgXgf8Lcmmq4+YTrQa9fZX3HU+j1WdZ7XN9dr06i+UYYkrc1nF477u2M6+j97oMbTKDZoUnZT1CPuI5mx3c9NfX6pKhmhj7aVu2qbI4Qw9nbFGVzpFemXmuu9vniXdY2oIkYcPt0bdIIIWbP3lLw/n/jCzyZ###4340:XlxV32DM 3fff 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###5000:XlxV32DM 3fff 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###4776:XlxV32DM 3fff 1290eNq1W0uS3DYMvYwPwP+nu7zPKaaKFKWqbOKFl1O+e/gDAXKk9owSV8oZ6VENUSL4+ABC4sEe4v27UNsjhucbl+L566cShsC+wLzA/CCwKzCrcCSwzbA4KmwJbAq8F1jyDNv370q4B2fpmQ8CHHg42OAgwkGqB2+c11sK3myXC6QsXeHFuDQC7hkeYovdgki1TcNvtgrli2K+KFR7Ctu6PVefYc+4fK+X5vdT7db3xEz9GSPNvjeX98V0/XUgza43F+NM1WZDmm1vLu+PyWpcwrPE9v5YHRvuoau+dXUvXZF6g6sdPLmHJ9cH/CTAk3t4cp6wrZlrXVfQN98f3NUH39uIO9Lqe2t+7tQGfnTF18dNddz5eP2u3SiVNywVh4st9Nv1fisusa2Yz/9+/eSx+dXbzvuNY0VdQzmDN5nhX1Hll8cf4aEe4f0fsfuHyw70TSTzEO75TabyQrbnj1R+/fw7lQF7/thNPdu3+oez0P5yVlt5dYp8Lvq5Fe3clu4+o9Ll+vL+2Hu9kwzp+Y9I+yNPrgzYh/eh3fs4iHmWutn690d+R+VvfgQdF3vZSZo9ec/ettjbeLen7tlLq73Y7ekb9n5Ky7qnZMPFE97ymy3uoCLibZJaUSc2uLDe+vWCDabRBQeC0UAnGghGz7yiApqivHI4aiqAqQCmApiq3BBqr4apxkBv+1bv4BEm09cYgNsUfUt1diqH8Jg0v6J3xatt+QdezbtX78SrQ6xvOBbb38Sep2yIz3xu8dyVc4fnupx7PDflPOC5KucRz+3zWXuTKZ06/NYcgOfuNg/IvNE8INL+CMWm/gh5TP0Rcpv6I2Sa+iNknPoj5P58/sg00jypdSy/vnJQyOUtRDoGBc408RYjfdcVzeMYA3W6igIHDT+paB6WWFcLlQiaiTz/K+hG0Mzj+V8eQmlkHr700I8dhlD0ITxOhtAuQ+CWIXwxZBZeUaY52/58nu1yP9nKZr4NruAbTO/e40N+gi6Eq2+JGgzPv8S+r1YjkEaYXcTUIQ7NmjzKgT7xPaGBzY6N+J5bfO2Fb+344sClovxAdkDugsc77Fn84JzdBU936LPRnnr/XkaukpzsJPe9+hyynTw8vTLAlQGuHGQm3N58uOKDzFrfO4xkJmDaSSDwSmbt1XWYKIADrj4CmaTdTXrnqmjJSBMtzSd7W7NUZpq3B1oaM9g7S1CYwd5JgsIMFg6kS77XmME8Nr4oPNGu79KiEPBOZ7BsM1iy/0taiD7IdUzbfN78swACAVEBiUCZIR9UidqvHHe/teqr48pvj3sqwl2pEnZrXjXnpvZCX5RClyWBeUIMflmUXiwyB1lkcsf9lfzht+QPTKpieJI/FnEqf44NPNm3+dPUM5kNvq5y2UXm6eBJyEfmgych35hpZXhOtVV2q6aksj90SQVxnfaztjJo6lRbVVMBTAUwFcAUaitgknol0VYaYaKtlAB4p9pKOoSJtlI5Isn/uYfJ/4OJrfrE5q/VlV3UlVmWarUs1W5ZqjVZqifaSO1eaRvXxuKkKeE5L+c72iqrVzqwvbDEzvC83GtHWgnFqf9b4ONduNSB9rUObGutXdZit0xBv6zdYdGJy1qttLgKxMIdSvHOL8+XpVx/PgPPp8+fLy3Pt3/t+Rolxel56YNeaQgZ73GxuuLi7Z49fUWRtyROG4gqqTvlpargJYEL4+2czvOKjhSGTAQdqQsZCTpSFzIQNKuCVLMT8iBo1vWpSiKMIMVENhvCqH3ajKk2whSgSIRJgMIJigGKJigGKIqgGKBYgmKAYgjaA5TMsWMZ0vMyJBCny5AeK4W6iMIFrAsSDhQc6HmlIKbOo3AB64KEAwUHelop1MgNUOGq5I7wWCkK92czmfjFwwDz68784p6ky75vrkjonu7S9mquH/fDBVlehYHRsHOU4OgFAS4gq/FIadhpNVYI00TlkAFmynRIhOlqnOfekr8zfUTkH8vfXSllae6N2JVSlvaePXbFzu6ePX7Fzv6WgEWhyifmkAFxyhzoKOyCOUBj6qExGRzwiTmkR1PnzAEaUw+NyeCAT15th5/OGjMhTLzaDvedNKbaEJ7ydyV0jA/52MCrbfdqhV6dXzFGeZnsC4BRXtwqoBBwFdB9dFCWxhoxMns2C0iISSJKvUaUqqb5tkX+bKxHfAocb3Ofkz/Hlfz5vaprAYw8cX9xnm6+VHNptRPgcYZateRxzjJD+HiYdRRxrKdpCsgwG5mmgCwQGPfg5FjtJzr2kIopOHFx74bM2dpGSv1hvbOIGtvqnZmdZdRGdrVGhFjhsZs14skKj12sEThWeOxe6Y10Z+xe/YomfdiPcd3z9R/ic7OzCz6/Iz6zOX5B5/s9c+KCzY975uQFmfM7aZSfuu+2fS+GCZmbFBEnZK7B+ctznZF5GY1K3eU99gMBB1N60qSApiYyT9RUAFMBTAUwNchcwwSoVw4yN8kjjGSuowKYETI3ySFMyNyGfXVp313a/CGXtlFeuDT3t/YEbVQXTs29uGdQX7g19/yeQXPl2P6WZ5vU+axYJp5dhnPgxLNNGriePJuL5o5lUKofl5fZDzQcGOrZNiQ0RTzbCk1NBTAVwFQAU8OzTRqmFPFsGyLC6NkmbQBL4tk2eIQnzzYlFcYf+d4cfDt037b/d45bAH5MmewsRNgnkuAfJIs8SYLbcFzOG3vPK9nlvDH3DPLLeaNvGayv057lwRNQxeYX1XYh1l5nyfdXKSobxeX0Vfem7/BwMU9fhziZvlbA9M0vhKgyGyTiqMpsYAQeqqzMCIRHmhwnUIFHZRTO8wKPyiicnAUelVFjvSs+cEow4QA6YXDA4UDMBKPQ1CnBhAPohMEBhwMxE8zgBjYRDEeYEgy8/XBQgvEHwoRgCgcvS2fs9OL+mBpUVxTA7wkufcUA4p49c0UA8p49ezXx1D1BuIMKsrMgPBCfBOEGuLkQhArkn4YDAwd2FoQ7mjoXhArkn4YDAwd2FoRDW+pJECaEqSAcyk9NgnBDmHi1cLosm+JRLga/3rpf+4sdpHnjyJ5R7uv9olbawRnv40dSB8dvUwd+pA6mXALJIcSRQ8B8xHnyQK0rce5lXobKlWau+ujLEMyTIEnBx8dlyJ8uQzIsy5Cl5SFWxPW2Y0sKpvu+k9XvbIvm6ztPtkqW01QJY1eL7s2KqPj8fG4id4xfJD34AfHm7s+THulVqZVwsDDmO0zL64Y4XV4DgXF5lbDq5oNmhbX1VSOOCQwbBIFHAqPMP4RHAgNX4wKPBAbq/QKPBIYNlsCj/NYK6LigJWVWwGOKVqTSKlKauJANLmW05Vdj66ZpDGj1vRW2cJrUgFbXW2ErpykOaLW9FbZ0iMJIuKXDO+nVOpZ6fa1j8SbVOhY1RL4d+90B2CoCg8zE4cVCHG5fiMPzhTh8cfCL1boHAZ3d6oUkGvBpRAMdqDcjYYHflrDAh4WMfCyZzKoJKCtkbd1TiBZqQ+zCCq9rx740C71ZU49+1HzZL+UwLV933EcuFMKPLXyOZ9Yd6eOLlZeT3Pf2Q85NQscgz5eZ8G/fHszvnT+bN0jr+0YvlAJXHy1FYAXJl9WkJuQjLe8Jz9zma6YVKoJLE5KOMorAmGmFjwYqjF87QEluhYem947cFjW9d9TI0PSYHc19y481qx94MJLdNQfiROKIDV5Edl182H1K1+Ym5EZlJIHxkwXDCXyS3C0wfqIA30xUGLixLKt+3SdNnTEi0TcoRlT/q1fJYVbJ0WVM/cIgywapl5kSd1i/IRyOisgGPwmByWGnulErzVI3Cis0T6CL92OpG53tb1cTgiyL5UX1VcRMC5qAOCk/YF0v2gcnuCRkvC4Y+Xc4erielGbfm8kKZ/BuOIo8RvJtRG1rBYxG1ypyiVXkex/F/bPR1zmPZ9PrJs2oNvwKvynj1uGHrdOvmfEXW0bqC2ZwkhR7H0IZVXDbtyUN1MCZuQZufINk/Lx5A9uHxtHNRkNgDEfGjK/3w81GmNoFplm8vB7mcCQHNPl/MNBHH+jjdUGbeV7EJRefC6wBSlw+F8ixwVktm7iqZZtL2PRcwlZK1qYStqJITwOguAZAxxoAbb8NgMIaAPkS1nh2UfImtAQloe6VhG1fLAmLk9D3/KJWTWiYgrs479jx/Ewg9CH/t73sT9Vg5xERzOVdfao2sN34C1osvVAqP62DRJbnWAhm7U5QKASzNhIUCsEsVKpXFArBmmdUlNFAARb4Ao/SLgt7wBWF+EDERNARF0hB0BEPyEjQEQdYei3EAVZCdjAPyhRlMcRplCUJTNYiQ2CyBu0EHmsQicnkFGUlAo8oS0Ty+rHAzwLx1TcNBX7WKYL2Ar9M+qx8YJN58+E76Yn+5Z9iX/vARn/1A5tR/NV4XZ59PGNOv525rFVYk4wjexKgwjOoy89lptmyyiJPZZFiH7KjkC/xcKPDnFbNf+bzGVrVMO31KgYrXe4AzhbvFLm86n+n+0cg3hlsw49AWuGBfK9XFgVVLOLHIGMJLyh+DDIqHjROKVLZoOnHIBsG0dVK01KMVy0lhpYS/Ys8xU8VsbxWxrj0fBTE8tgX3ToEcYD6g8gWTziVr8OLp+FfA+OhigMUSxzqPDC+4OATH2CgU5ig9NPSuPUThJ1q4l7w0/A2ojSiaUMKzb43Y2TjJGl2vXnw0nCX2mx78+An3im4SefaFluKtxVw5XMY7v71ntKvFZV7viYbu5CN/o2iMkRRvaj2Z7NUKgorR3HmXwXRATU=###4480:XlxV32DM 3fff 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###4848:XlxV32DM 3fff 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###4904:XlxV32DM 3fff 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###4944:XlxV32DM 3fff 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###6980:XlxV32DM 3fff 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###5332:XlxV32DM 3fff 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###2200:XlxV32DM 3fff 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###2072:XlxV32DM 3fff 800eNq9W026pCoM3RIkRPT2OnoBt9Ua9uyN+uu9PwrUQgQJkq9H95aVHOGQX02RoReNCAg0ISEpRYNBg+6qNROBcVfMbF7GfXLXJ7PSywAiGnf9/WnX107f4PSP9A1Clz6h6tSfMvoetWv/+I/0S/xhJ3/YxZ957+rR/q3TRhyZ2jrZfav2ee0ajV+75u490R685UwPtW2bdnJuGke/9qd+H/T5dp+/f4N+oj01ndx19W36V6tXXt8+tvqgP3Xqj4/YC9p8n8tpPz254O/PT26Pt6ZLH/gn17V6XcgW5rE++NU/Zw867R66LAe6LAeb9u7P+KRvGn1WZfXHTv3nPt+mf7Udatr/tVKgTv7a9MP5ofuGaDRLihF/U9Km0f1vcOjACbt4f4ddOIHNxf8f48zp2cbflE7V7Wu8rKgVKdjXfGFoTq29iqM2nLv12Mc4S+r9D09+TmNQbT0Hz7qI4yOhAI4Ez3DhJ48TcsJ8Wc+SZqgKz7slls5LX/b1HAc4nrqh9O0qsLPc4nB2VcKZ0xqq03qUkBUqrhWycPqjj2JHjTzOktb5XXGej3N/7lHX08VzA85t1Ii6wK6oEXWjD3me0564k5+jM+/CsUyvqGVlPg4cOFCMP0YojvmnNtXzmjYc04lzX0dxcUp1VCsOh5+zf/XwPAnxPAnxPAnxPHXnwePp88P4c8Yx3XmHj1Ovxjg4gee52BdwcTjxeTjFwyf2vOOoTntuwbnPy1yce3vm43Dy+8DJO4y8zMG5tx8+zr1/7Tiq079acDj8KCF+lAg/1F33tuDU+eHgcPjh4NT4MZ115hnHdPPMx7nnmYtT45mLw+MHhfhBIX5QiB8U4geE+AEhfkCIHxDiRwvxo4X40UL8aKGnY1akDqeO58atOLx+ZxDpU3g4HH4GIX4GIX5IiB8S4oeE+CGRPo6T3zl9EweH0zcZob7SCPWVRqivNEJ9ZX+9seOgED8oxA8K8YNCzyVA6HkCCD1PAKF9aaHnLVqIHy3EjxbiRwnxo4T4UUL8qO46irx/qe78xcWp5Xe6vLd6lt/5OJy5gkmEH+x4TnvGGYX4GYX4GYX4aX+vBwRGu89OgoxxEdXj4fbeMpZI0Pya3u93/GSMnx31cu766HSsW4PDcjgvQ07aff/372/9suuX+qI/v2FZv1b14z9Yli/48ROW+UsT/nEdjsbv/KRadP8X0fue/i2T2y9qd1eVnXCzh0Q4CbcqL61L83D5u5z0yu/SPa/FM4jxmnZ4u2Z7SIR4n7NBnZ2es8e+WjVHpubzGRG9ZwFDRRz7GGdJGax6sd69+I0TLPnlLHkMlgwTnEwZ9PfblG1iyp/RlpIpOwfMmDIeo55BYkuSl2Xa0pBl4X72xrDCgKL9HHLRDOGzuluTQF+GNvGRcbrrmNCcON3McrrKvbNGMBRHcFsdkKsJ2cFVvmaetbrjeO19EKDoghykcOqhgKKOsah9RXeuDO2uXBjPq+Psw3k166wFqOEom3ELLKNygcVsgWX8dc6R1udIZAeWtymvZkVj8qaEkUQIK6v7m5MO84/mkNjyaUF6PKQpli6EkOkjHRn1Lg13BO9TL1QLAfwAANsey6F4OiQ2zshmpeEj7SXIXUdvyHtoU8W5+N29VSGYRpqfGi2q3WI2j2BarfggrviCzlHjQaHGcxLuLzo06JrnVEeggN0VtHOFIbjCYs6eME9vT6BMtVgK68EaQ7VYTkW0VYsY1ZZYDsIfafbvJu7W9/ZEKFaz6pDYva+8PowkwvrWzeawcU10m/jrtSCmc0zJXZeL/+brZtO4bv7vSPTBTukkh2I6RcZTluGwbUNjRzKtT83zvY2Tlpv7yeC18OnxYEqbPJtr8j4EcI9SZ3+Uc4NRqa6HpLpeCwH6Ux+sUYC+TwD2mgCyVNq2HblQUAtm6214wpvUanfzoHptbbrqQ3s8qNNdrkGRa/QlIjhcw+wmjc6kcTPp79fJpF/Ookdnz5UOxTkJ0VjlsqVHwqi4g2pxB1FxB9XiDqLiDqrFXfyIBKvFHUbSLWVMxm/+B4BHz1I=###2184:XlxV32DM 3fff 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###2848:XlxV32DM 3fff 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###2892:XlxV32DM 3fff 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###2608:XlxV32DM 3fff a18eNq9W03S4ygMvRIgZOOvzzEHSOJ42btepfrug41NhI1AmKnpqa7qSUAS8PTDQwEDo1IW0YC2CMb/+2UXO9rJgv/7xsUasDD5z9f/s7igAwMGJwgzv6MXRLSAUZrZpNFZEGfV9KkWfTgy+vQxax/B6fN/mtb3tq9NH29lGIH+c9hGXXVqsP5z8N8gOvs6S0Dn//PjUKejsvbsNvjRxmo7b7K8Xi8Ldnv9yv1fzVhiiI75vHf0m9zszdbFBimHBf57tKvWxBY64iQr2OE/3daybloY5z93fs64IgYXb5XXZP0f/62BIWM3CO0OmF6/C3t0X47a5ZjyiTKnmOBhP3UA3SEJA+I2OUPHyQavmLezxZue5dfx9+9vvTyeP+rn+flt5vePmaZff8w8/5hf/5j59QPD+FmFwUPogmaD9BsM64JTHBE2Y3UAmxltN1jqOCKMRma0i6ORjM7IzjoinLRwK7gGybzb3p2H4MTBzpBgrm/qs236YjDXVcgx+ppWd50/CObTsddPTUM6ydswds5HQWrR1dRiOq2QJqevn+aCUE+QD1hfvwu29KQnb0ljeupJKyqmVd2VnFxMcj3JaUP0jhublAutkkhp05fkvjhOENIqJ+DidUm6rcnSxvPCI+W9fMrDI+U9kpTn49vHZ3x1Snm6HIj3qpMPLmHEVnJml1qsBjJBYRYEBd0ZXnUlvI528C715tNBMiIXAnqqW4jHajukBBs2N0TXBdYhgtU07mN2bQGoMwXqMwUqLitQtRiomgBVV4G6VV5Mvg+jXRzBX1/Ghq3gnEN1HG8+Vr8u66hdAEZyAeiBm42Fu0FXdRvFBAto3lUqUY4WTlolUPnPMXGmXLCK14+3h/i4Q9ydYvG8xeL89cMxjAPUIL6P4ItikB4wAgY9+7+b5u3QPM0rxp2o71WZ527Oy+lTB/T3mdICPJRiu4Tq+cV4uLEWh73tuvirCXxvw1mr+JGF8FflkobC7Bp/xZ7tHQaKrNGl3MC+XsNcKlyd2QuOvHhHhuDIWrvEkZ36+DN6XFa0FveWsCu19ZzPLM7PjfR+jtlrvN5mQRzBXXCQrcouMYKdHS44Rz5/qm8+1/aVBjszf2A6hbqwQMzFZ6Z0uAIuJyMdXc65l8OqlUKk/scOOVRSuuGvy8rKkhSxSBflrPPNJX/loKgv5fIXzEcAUSIWpHLG0T3VTX2bxgA/TeE3S+FHVQCjYmxYFJBFQXVR0ARz+7/BXAYqCcxHAvOhA+ZALLJt55GXVnEULXSUGP3Mt9TzxWgKv+e8lno2c5vZ4bCHamBuGlM2yJ9nHvefXKoLh3Xcf1jSXLithiSYIsyLxEDLQR4aXzXHKmo01WO3dXaS0c5a3WSH/Q8ebMTOSVwKmJJMZBGRY9iiwtaf9Fac7+vqCRWndQX3BO+ebndPkxZwxrxX93TV/GBaYrtX/2aB31N72eNWxhDuqvPY2gE5EEBCFwDGCADbAchzrrgLpHOxJ805I1saVYqzavDRTbXISIK0LtwTyoyBriYfXWEm9uRT7oWQaGE7PLK+2pXiNLkt5zs8rtYxiA4ByNL6YLlXHzCLurx0XvNhaeZdx5e5mSSAOBJAsMNhayHNdGbG9oDmYkDDqvuDoPZQDbWHIm5pmueFsGGq7sxXOHUsYz2ZFvELbasqNIo8kRI8KVPrQEjwyK4MYppHF87hSvOYQtfFfZoneccOOzWQncL0nj1NHz8nv1NIL5U3qLAzA/AdyT1Q6jiLPFDiSvMbNuFMcUSpgCuRZ9M98mwk7MWk0vSglk+2Os1viSadgKawlXV+d2JCFp65hZtEmUTORB7uoUNOvZWA7GhHLVY6E0nLTruMSIA5CiGdQgim3MN/SZktNG1mZVT6o1TH4UnoKIkcTTK7Ye+YMjghgZNlI8/7y14WO8z2yEMo+3eBGS2cWfIwUIa4FkDc3jxt880XEyl8J3N6A1UrLDFT+H6bHHd6K26fqpqkRM1tWS1FEi1NJi0lyZQ9Lh11l5LXu5EcVBfwQUMneLT3ALcAJLp1zYWyT2K56QoBEvpMFAIE9JlEDneNmi9I6KfPJPacWuWCEz8IfTakRZ+xmxPnn1cm9imYpyVS2HMXa2TBU27MclXQ6UL7l1SL7qgPIVbdnVAXEHwSOeRRvtP1JJ1GMqhjhLrpcL1zXdGAX5LLayG0vZUhj0lpD1qw+khTXH+za1ov1q8TxFIs/FBpT6JNvzG43uRU+guBEKSetNKAU6WBuUqDX7Qr0BP6vIwq11/eMo6c6ymZJeSczGkVcf8+SRzN1+7+Q3T/nsxb4/clpySv9xwJG0YQNup0oLuNLNdmdYEMTN1S9tOYa5I3aftucOcXJZoWMSWXEE23KTnmilWl5KYuSm66R8nNZKce6c1/XNKdSn3t+PkAVBpah+wJ5kjLodKIjUkjAteIO7JebQW/QxyPx/wvN/Im3MhsT81BKt8clF+gJp3kXKay1fyrC1uKt9OC7SavCisuPIVJ7LZsrzuKXlLyIb9dztE1bwoVqG39+W0A2UJBhinI9PMKsuvW6Uo7exhdbqEHNr5IFsZdPto3Ov/0FeT8C7He0To=###2768:XlxV32DM 3fff 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###2748:XlxV32DM 3fff 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###2060:XlxV32DM 3fff 7f4eNqtW0mW3CAMvRIgydiVc+QA3TUss8uqX+4ewEPhMhjJInkvXXGh/yWhAdtqAJrAgTcGH/RCRzZ8Arwj0Ziu3PGFHqdw7UVECDatnfBJSC8ag+wb4Yl3sEHyRQAm/P8tG9cHdKB0vSxdZpoxXUVufLPWGOty5JKcLcrVJE80TXgu4eVyU5ADcOEqZZrSYhd8rB631U98Ai76UcUL42ZNuLStniWPmmDmMwK3YVNxdXtnIkaS+/fvj3297M3cvn/+uMfz5r6mX3/d43Fzv367x/0G49dPpIavHYVbKchnm+FxQBtM+FRoW71bcdy6MQvh+0E2+6Ygi0k6fgeACpyAQmP4m0JJgZPCcEHCnUaPwzaV03ZFmu2J31kYFDi4FQtIwXsVh1KCPZJdToFjk3+iVRHFkQuR8QgRPVFMB5fQgqJbWq0rPrCSr6dwNUVcTLR5XdzLIOODBgELbGTC8Cd+mywo6z029XZxP5Z9zYpApl/89H3Qfy0irlK2JkEaYxEDGnGWYojRKFCtCZ40m5LeVGk61MEn1EGTakXb1b9aVRJYsOBdZ+Q0TlORlO772jhNs3FC1gpds3G22uy+cZqscZpm4zRZ4zRSe5fGadbG6ULjxKVxjvdd47QOfoKY/WicG0XQIHNNxZG4KUTZ1j1PV39sdDWgtrBohjC7WYR8nXmWz9wim+TMXFQXuXIAV7Rb5SubmY4ccfWy0p7qlRpbs0geA7O8sxl306YMecFIn+dQg5u9of1JIWaWEPtGH2NsAB+ibG8RhIYX02pY7w/Wn+HfsOrggcP60rpCWgw7u3KU4trgf59SCKoakFBj6qIxVTX2Wdk6P6QM+SFljrTtWGIrxxITVrqwFlGIfzgEQVy9sLkztlD+fJAitErG0Gqax67IGD4v9UfDhgIuo/Yla+eyA6UF7f6NYkartnISc2o9axNiM0o3TtfBs5T5lsvZL1q5jKZjNgIr/69UNCOoaFa9b56T88GFExnkeJB/G+nqt5GiCuqYOc+7bdXfKgPDp1ZcZxy7znA5tXtpGf1izzmpo0e6m6i1UcgHSj4SW6iNVhTbqI9VKaPpaCOcMM5VzqktdDCI+Ey3GtdgS1lLHWsNh0+bgzI2fQbK+PQPVr2Iz6rtIwGf12aegGtQWybxJKnZJLb1ywHX4LIdMjyPSQ4fduzrHD5Qn1xk/tT3WIl9Xu1NELAN6m4gsU0fmUbAhh33DRgnFdfl7gHFnFadD17MqT+TkZBTe/cg5dNHjxMyauuadBedOm6kPtWfrKXZYTqeB3lPLIRe/Xjmc8rBfObDPwG65t2Jvrog40md/XjGBAEJ0zVIPkJ8kUmcNr2epNS3lm/T24j5Xv3I7dd1SefkwY74c0d748e3SvEbiOGH0JFprkd7JsKnjCEh5wNCe7zI/l0dyDHNgZzlHU8agagPGo1NnGEb69HhpJPiOmpUeL/FH0iZR0rSDqlwhuTF+f3nWH1feT7CNZzM+51L0mEwwB0ky4ML9vAulis5FOVMU24dKgmVY60eu5imhPBETG+kplh/s0GhVW4/LneCtbyDbA1uXEewbXsaCLuBn6x/vOswNr2K+QBKE8O2R4aqGMw5Aql8UZ+pgVcbfYL2kE4Vr5UvpMbwF62qzZi4rnh6+5CFwdfIXvYYnIz69cPbDapdzF9e7rQqaw894FIOD5whvg41wTZrQrvOuYs62Wpd8V3xJnUGEivC8/mqEoZXY0jq7dWeRJ33Ku/XrmtfUuz3MvtVr2iT2tNjZz8P+ujJ5y6bI+J83UCE21PHVsaNAqzz3bTdkFxPrcoVgb2jKIv/SzpCuUJcwho79KpWZg8dKrlXnusn0ZmgfcYc1Z1lvJptHxh8q2zTS75HTH/g6eLP96ibolhEBkLvSOLjQfNeypeeTmyT8bVnN59PM85/2e9cxpw8d2HIXH6+ACwMnQYMP52cbnTS77WF3zu4wGo6PGsAdf8YmtZjBz0453jbAQNEnVkUD4z+fhoXDXlRVoktMt00au+174ZxNXO8Ktu9+Q8HpIO3###2536:XlxV32DM 3fff 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###3008:XlxV32DM 3fff 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###2576:XlxV32DM 3fff 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###2460:XlxV32DM 3fff 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###2532:XlxV32DM 3fff 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###2984:XlxV32DM 3fff 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###3172:XlxV32DM 3fff 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###2824:XlxV32DM 3fff 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###2732:XlxV32DM 3fff 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###2924:XlxV32DM 3fff b54eNqlm12SrSgMx7cEhAj2rGMWcProebxv83Tq7n3kQwxKALGm6k63HVR+/ElCQJiF0At+NKDcfgL91ojWX3nrjzZ63q59EFGDArFZzHpFjR+0IGHGGTS4OxRtETSC0gpU1m77m29nkwV6ixUVSNZ6ThbB+niis/24dkB78L60Jn/hWqPd/nMstnf7+/ePEh/9I37g+0ct68/nn//Usvyof/5Vy/tnfn0B4UWJnZg4hrqDKtygCoQqNKkCoQpNqsBQtY+o2kRVH1TxoKp+c6xzDStGrLKJVYIuYsUCVm+bsIoiKE2wCoK1bI0EqyhiBW9TxopNrKH125NIUKcDqpTdUMMLPoF67iK1xYhJFjCFdhgxOQujJy31WoQarKnFHaih9QBUQ5T6HlCq6oBqbijVEKiK1R4SR+mBuTdhranFFYv2jmFUqaH125NIUC1RKmRQX9Cj1FGonFI9VP1mJ3RUqrfgJvTEtivb3gHdo94i6JmodxlQ73hSgINJgb6VFGAzKQjXR9Ub75qnBC+iXszViz3qHYVaVq8iXrQc5XM/W47yU8VDc9Z3YPcouAj7lyh4HVDweAKGgwmYvpWAYTMBm87obik4tH7n6debKNhkUH/Fd2vSUvAoVE7BO1RdwCSzrFY3oMpiVruiYQdsT+dMGrA7Q9Cj6+IQLETX+cLC6u/WhWYGvP2LaJthEG7kFkDCoORzixgGJZOCiUd57Y5KOmcQUK0HKhADqFQnqukGqon4XNFMw7hs1T5CZfd4f6D6EFRyABV0oppvoJoby4AclWRSI/soB91RgfPODtX2GIJKDaDSXajOiUs9uKhGHppPQMHE4OlRwjPtvsrNxYBKknAh8uW6FSVWwQ+Dy6v9yr+dr2PBj/v2Rcs1BuCznJRvZZMFsnmKKTA6WrcYmcQoyUkRfw45o9eax1Q6JkDqI4p9cuh5KXpFL3C1TPG0zGgi8VRWiEIaB0p0j6cV/jGectP5CX+d+MOhUaAafeUalT0abWfltlujljBS7KjtjMoLF8lWM3sYSVLNVG7OBEqumomxmpkvXSSqr2u1cSqPKNdHzS7ORLLwfUSoLOXAhYlokVuzqk/W5ZxtfkBvJuzggVLDW4R0Rj5SvEqxfqrdJ9WvZcOXWG7m7lS3NitDVl9GsKoSdiTr2mJoBh0j0bHOdSxeX7DdKpZsGnvyBmTG8zH/mH1ij3y+Cjp6Hz/aoXZerGz26if0JugQOvSjm/qRF9+f+oQm5iecXxPdOghezMSMSA0+0VMMuqElcjHnUUL1RAndUXmU3XFCxtjb6F+04PuHjzSGSWMpm6FVb/hUMz4um4GGgqbubGaqLEuPXtaXpTLLOO7P55QlHIxoEVvYjNH0/m73rTCKuwztWaa7KQXb0rvjozwCjxHd15nb1CH+Ny/gy2l1eYQ6eeCD9L6Dw4zTg1GyyeeqJ2Md7yLZWmYfN024STaHMXu0RWDWc1cNyJQvmSMDij8rxrvOJINXxBrY+VTM90jpnuX7KJvuzwaw4gvqGrOD7fwTg/5fRP958UAq/YWZVf9lzV9+UlEvxudSJm1B9+1BnSNPYw+qe1RUeptyraLnfXU9coV52K41P5jtmGb7xM52087P01yveduO+6QdaDi0RndAdF7Ue0+1yoI53qoRZ0SHbg5Lbue8V3nBms61csuO2dj9TL4S9GTci9UyurkiTLUSUc4LVEdeYG7kBX4eVsr6QCw4TuIBJUFmR1I13f/QMJRh6galuZvRTFapNV+mqnVX80hJhZriSpU05Yw+PUpq1wG4/lp2p7n07j2Vydy6tYq5m28pkqcSgh8Sp+WpTvC51gl4vxcyJW6lJrpXauqBRiBVkxR7UqSPlSKs5KN4NaV4pbpjiGIUAJWaXZodHYqGx2+iU8VAum0iHf3U61xqElcJuYK5Kk4fJAlTqXCusoSpN+illjeCXp7sLOc3H9yyafW8XPwF0vP+czzLuXUrzfOtl/1oSbEApjoEL2NBzmabD1JSkeR+Zl04jRi/DKttAfCs6u3y4wp3WU3kmId9cB+VUuvzhMRjzA93Gft07TUmXSFZwALjinkVHndQFS3dWsCG0VdHkFGv/ICCtL+uGgKcAIqTqiQ74KTAWnOV13Hpn3aULnfSY/unwwJrVdmP6TLm0BSZbqpa0ZYdo3O4QsO4Qn1r95rbpV0793ThYr0W94tDX+Zoobqs+X37dX+jMHWAfgeQ1+OnuVxDVWRUR3bNFfuGcONAU++sKRxoklKTcPF7WtFjWNH3MQ3VylrlL9dvzzmk6yxcTmut8jmXfiUoRtfzI87ZaahhnzInj5KCO9LROhX7wLt3Udg2yvFB7XxVyX7oHFYNujx9UMSVDGuBZaqUbY527eJrm1W91/ZGry3ZQOSzblMtfujitkSvpMrbEncFrtMW7nGcQ05UmqfMA6BPmqp2SrJkP3Sasj5I/jQSQvGMbmiHySLudjDpn0rWdE94jzt8LNhjW8/KlOdYJ4I3iGDHmaD7+ZJMUsRHvjarQwQp0h3j3/z81Xz6KoKL5Hd3jPlIPlU+Mwm0ps7PTA629c9M4MG4QFoo7XUrSfaWlV2qNC/Ll8FvTGpLp/jhDrNneF3C935jUg02Xq3ujt2L2d3a0XQ/7zRn7yYjRA91sfv6bPXLc1Tbc90awd0dYobsHHe6vv1fbSi2t9psQi9M+e++j9vvm51C7Q427/w3n7T95n3oJzIw8TywW9JS6967ut7/hvfxOtr0vHG08Q4na/I8pLbsHU3FqmjjFhMovG0YJ7OfBLzaxJFu3CkosGC39680XjNDUPvfk9b8b1rLrV8yvo1rpd1Zku2qu/s+r3+dtT+/bdPZxnTdvdvmsQ49hqsm/JxfZe9BrWcQxxX3FluPHJBKzwf79j8wTwOt###3360:XlxV32DM 3fff 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###2120:XlxV32DM 15f2 830eNq1VzmSHDcQ/AwfgBsNrMOQHBk0xJA+0LhMRtCQnIn9uzKxS2327NARRTICU9tZQBWyDgBuzGrP+PSXG6O6p+cvZzTVVHv74jYyFbGKdEWSIC0okhXxguRTkHIo0hRJggwnSFQPhlfkVOTYiHxQw0ldGmo4WUGmkpJ0+amkJN3GVFKyUURJSesNaUZ9i0ER9S06QZKSYrMiSoqNityR0pIatlNV1bAVzltWUpwun5UU5xVRUlxTRElxQnEr6ptRuor6ZoYg53hDinDf+vEGDAlXG+KZ80rwDG9Ik6zozl1Z7C7cffDz7kMwdx/GFLNRiB9+vSFBzI4gW/BDgSKA8DS0cn1RQHLHNwXUhpTg6GJDk3q2LjMk5GtKFrpkFBFiXb4gykqWzVtzqMtBkSX56CUdLdJJgt4uSFPnnEJeSBtdkUN21McFEdr6vCCSkP1UpAgL/WLn0nUuvs2oiX9BLt1NkaVFqcR5X3Q1Xc4XZfuKSL26dUE0E9RtfwpxXqMa9DRY84JIhJbSE7vQ48oF0uNgKNvJSBzWBXGXZqJ+p0N7QxkXSGko6nn26p8eJ/bQKLlD2Sv+kvrqRtHCd1lqzJ7h4ob0NdtOhc4LpGnkcrhAQyGtpm7Vw6LOdz0s3DkuUFdIieq5KKSBvGuPuuBwGpSoVT20DJ3XLY9yCUpWqMuWm3RJVJtSmJSn2bV6r4hsuKsPc+icdEGEia6xX3oaNKVoaejbvCAyp78V7/Pff7qX3MR/c/sDraG6kKrrsaIZmGQDev4y+ek3hyr96tBmWynUNxXM3vDZP31wbtYJJKW4EfOGeFfP8q/Gc4vo9A9UjKiE5h6pRFHx4aFKEJXsz0cqSQ31hypZVfzxSOUQFdfGI5XzTYX/Pn789eZC9jX7nHw6J778crPp5l5upTVXZwNz1HYMHuHxyTJlI4YTIfE4eb46tLBnzNlpU8GCs0g7TDo5HBw4yVFynOQ2ynURZ/y5pcahcMACPlBC6mCgLaSX85HTItHIaXF/o0+Ri6btHVdJnIvLpfOZJjPRzLkHvx30JdO1srfCVQ7OPWitcKNlo5x7ctpJk4VONkqNxk/+eVKlbYDTGqc1zuiUOtFO450zOg0NAoPAoPFJaVJlctpuB4tOro2ub9z6feFwtTG/UbfoBPiA8rEIMMg1FuegxaGC0jC4SX/wuGyg9SEqbncNzEUJnXWhZpx+951Aq70V3Ags7pyxDpAwY6sT99eVR10L5WHAKm43HE4UlOmUBoGVcfrjuMWNBAXg+WcwPMOxlA1Yywa8XGy0HErhywh6cUAvzv2SCXzo4FtCSG1qADJq3mYQissMFjgCJcTaHuDXHosvLySBLZ0S2hKOGA7Yoj1PNA4+lmyzka86AO3kwH10nKNo6Vi0I+C2g330aqwyCpQnHZ90YyI2dkUOeITds0bSsESrzdxjiRg8RQU6LB7gQwyhHrhq3GnumMya8rv1I5FVC4qtIBVO5Gyz9l4LKRPwyFlIPlsMN9jfecPyC3jvjNjrGKZOk+rMrs7u65y9Lri3IsOIFxwulVgFb1oMSEOLOsaAArQu8Yo3gXqc8rgpsRWT+7AYS3iBqwZJHOvRXmAQVxYsmz1M5cwIr/JNk6VhsJvwQppDSvJN5ZDGE5EwhcKoOK8/ebjsb59wZ/e3r9M9ffETRzp+kHXm6fkxYL8H8LCB+WFezTNeHqeSRwq+M9/8zzJvX80zVULGa4pP83fm488y717NM0sjHqYJbrw3b3+Web/NJ5ZBTWicGZ0gI/6HcQ9yoL+4Ef9PNx4D/ntAeHU8vPKGyq4HWmUx/oHD62fxFrd5/9IkUIrvTc8X0+4/mN4W0jcLbEHxgQU0vx+zkF8tsMGd85EF94MWjlcLaJ+15UcWwo9YwIXp99tnNCvz9BkIbqM8kQ1+7P4LbbzUg7/I7rV/w+tvZrfbUmHP21Jj59vSZDOidBi2pC05NqYtRfaKLWV2jC0V9o0tdZbyliYLmhJux+llRvH7wKEUWW9byqy6LRXW3pYaK3BLcx9ekE7DRN+SY7pvKTIDt5SZh1s6mTNb6sycLS1GmVLbsd5S2McapcToPIHOfwAy5ysJ \ No newline at end of file diff --git a/toplevel_map.map b/toplevel_map.map index a0765ac..e0f3b0e 100644 --- a/toplevel_map.map +++ b/toplevel_map.map @@ -10,7 +10,7 @@ Target Device : xc6slx25 Target Package : ftg256 Target Speed : -3 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Wed Nov 7 12:10:22 2012 +Mapped Date : Thu Nov 8 17:50:20 2012 vvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvvv INFO:Security:54 - 'xc6slx25' is a WebPack part. @@ -25,53 +25,53 @@ Updating timing models... INFO:Map:215 - The Interim Design Summary has been generated in the MAP Report (.mrp). Running timing-driven placement... -Total REAL time at the beginning of Placer: 14 secs -Total CPU time at the beginning of Placer: 9 secs +Total REAL time at the beginning of Placer: 7 secs +Total CPU time at the beginning of Placer: 5 secs Phase 1.1 Initial Placement Analysis -Phase 1.1 Initial Placement Analysis (Checksum:ddd424f4) REAL time: 16 secs +Phase 1.1 Initial Placement Analysis (Checksum:b998767) REAL time: 8 secs Phase 2.7 Design Feasibility Check -Phase 2.7 Design Feasibility Check (Checksum:ddd424f4) REAL time: 16 secs +Phase 2.7 Design Feasibility Check (Checksum:b998767) REAL time: 8 secs Phase 3.31 Local Placement Optimization -Phase 3.31 Local Placement Optimization (Checksum:ddd424f4) REAL time: 16 secs +Phase 3.31 Local Placement Optimization (Checksum:b998767) REAL time: 8 secs Phase 4.2 Initial Placement for Architecture Specific Features -Phase 4.2 Initial Placement for Architecture Specific Features (Checksum:6c35bb8a) REAL time: 19 secs +Phase 4.2 Initial Placement for Architecture Specific Features (Checksum:988c059e) REAL time: 10 secs Phase 5.36 Local Placement Optimization -Phase 5.36 Local Placement Optimization (Checksum:6c35bb8a) REAL time: 19 secs +Phase 5.36 Local Placement Optimization (Checksum:988c059e) REAL time: 10 secs Phase 6.30 Global Clock Region Assignment -Phase 6.30 Global Clock Region Assignment (Checksum:6c35bb8a) REAL time: 19 secs +Phase 6.30 Global Clock Region Assignment (Checksum:988c059e) REAL time: 10 secs Phase 7.3 Local Placement Optimization -Phase 7.3 Local Placement Optimization (Checksum:6c35bb8a) REAL time: 19 secs +Phase 7.3 Local Placement Optimization (Checksum:988c059e) REAL time: 10 secs Phase 8.5 Local Placement Optimization -Phase 8.5 Local Placement Optimization (Checksum:6c35bb8a) REAL time: 19 secs +Phase 8.5 Local Placement Optimization (Checksum:988c059e) REAL time: 10 secs Phase 9.8 Global Placement -....... -....... -Phase 9.8 Global Placement (Checksum:4b218106) REAL time: 20 secs +.................. +.... +Phase 9.8 Global Placement (Checksum:9ac88b2d) REAL time: 10 secs Phase 10.5 Local Placement Optimization -Phase 10.5 Local Placement Optimization (Checksum:4b218106) REAL time: 20 secs +Phase 10.5 Local Placement Optimization (Checksum:9ac88b2d) REAL time: 10 secs Phase 11.18 Placement Optimization -Phase 11.18 Placement Optimization (Checksum:f78dda7a) REAL time: 21 secs +Phase 11.18 Placement Optimization (Checksum:4e5dd8fb) REAL time: 11 secs Phase 12.5 Local Placement Optimization -Phase 12.5 Local Placement Optimization (Checksum:f78dda7a) REAL time: 21 secs +Phase 12.5 Local Placement Optimization (Checksum:4e5dd8fb) REAL time: 11 secs Phase 13.34 Placement Validation -Phase 13.34 Placement Validation (Checksum:ed44cd33) REAL time: 22 secs +Phase 13.34 Placement Validation (Checksum:4292dd2d) REAL time: 11 secs -Total REAL time to Placer completion: 22 secs -Total CPU time to Placer completion: 15 secs +Total REAL time to Placer completion: 11 secs +Total CPU time to Placer completion: 9 secs Running post-placement packing... Writing output files... WARNING:PhysDesignRules:2410 - This design is using one or more 9K Block RAMs (RAMB8BWER). 9K Block RAM initialization @@ -90,24 +90,24 @@ Slice Logic Utilization: Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 238 out of 15,032 1% + Number of Slice LUTs: 245 out of 15,032 1% Number used as logic: 227 out of 15,032 1% - Number using O6 output only: 104 - Number using O5 output only: 66 + Number using O6 output only: 105 + Number using O5 output only: 65 Number using O5 and O6: 57 Number used as ROM: 0 Number used as Memory: 0 out of 3,664 0% - Number used exclusively as route-thrus: 11 - Number with same-slice register load: 5 + Number used exclusively as route-thrus: 18 + Number with same-slice register load: 12 Number with same-slice carry load: 6 Number with other load: 0 Slice Logic Distribution: - Number of occupied Slices: 113 out of 3,758 3% - Number of LUT Flip Flop pairs used: 338 - Number with an unused Flip Flop: 84 out of 338 24% - Number with an unused LUT: 100 out of 338 29% - Number of fully used LUT-FF pairs: 154 out of 338 45% + Number of occupied Slices: 117 out of 3,758 3% + Number of LUT Flip Flop pairs used: 344 + Number with an unused Flip Flop: 97 out of 344 28% + Number with an unused LUT: 99 out of 344 28% + Number of fully used LUT-FF pairs: 148 out of 344 43% Number of unique control sets: 31 Number of slice register sites lost to control set restrictions: 102 out of 30,064 1% @@ -161,8 +161,8 @@ Specific Feature Utilization: Average Fanout of Non-Clock Nets: 2.91 Peak Memory Usage: 509 MB -Total REAL time to MAP completion: 23 secs -Total CPU time to MAP completion: 16 secs +Total REAL time to MAP completion: 12 secs +Total CPU time to MAP completion: 10 secs Mapping completed. See MAP report file "toplevel_map.mrp" for details. diff --git a/toplevel_map.mrp b/toplevel_map.mrp index 31b0f1a..c2b04b2 100644 --- a/toplevel_map.mrp +++ b/toplevel_map.mrp @@ -10,7 +10,7 @@ Target Device : xc6slx25 Target Package : ftg256 Target Speed : -3 Mapper Version : spartan6 -- $Revision: 1.55 $ -Mapped Date : Wed Nov 7 12:10:22 2012 +Mapped Date : Thu Nov 8 17:50:20 2012 Design Summary -------------- @@ -22,24 +22,24 @@ Slice Logic Utilization: Number used as Latches: 0 Number used as Latch-thrus: 0 Number used as AND/OR logics: 0 - Number of Slice LUTs: 238 out of 15,032 1% + Number of Slice LUTs: 245 out of 15,032 1% Number used as logic: 227 out of 15,032 1% - Number using O6 output only: 104 - Number using O5 output only: 66 + Number using O6 output only: 105 + Number using O5 output only: 65 Number using O5 and O6: 57 Number used as ROM: 0 Number used as Memory: 0 out of 3,664 0% - Number used exclusively as route-thrus: 11 - Number with same-slice register load: 5 + Number used exclusively as route-thrus: 18 + Number with same-slice register load: 12 Number with same-slice carry load: 6 Number with other load: 0 Slice Logic Distribution: - Number of occupied Slices: 113 out of 3,758 3% - Number of LUT Flip Flop pairs used: 338 - Number with an unused Flip Flop: 84 out of 338 24% - Number with an unused LUT: 100 out of 338 29% - Number of fully used LUT-FF pairs: 154 out of 338 45% + Number of occupied Slices: 117 out of 3,758 3% + Number of LUT Flip Flop pairs used: 344 + Number with an unused Flip Flop: 97 out of 344 28% + Number with an unused LUT: 99 out of 344 28% + Number of fully used LUT-FF pairs: 148 out of 344 43% Number of unique control sets: 31 Number of slice register sites lost to control set restrictions: 102 out of 30,064 1% @@ -93,8 +93,8 @@ Specific Feature Utilization: Average Fanout of Non-Clock Nets: 2.91 Peak Memory Usage: 509 MB -Total REAL time to MAP completion: 23 secs -Total CPU time to MAP completion: 16 secs +Total REAL time to MAP completion: 12 secs +Total CPU time to MAP completion: 10 secs Table of Contents ----------------- diff --git a/toplevel_map.ncd b/toplevel_map.ncd index 99ab7f3..2731cf0 100644 --- a/toplevel_map.ncd +++ b/toplevel_map.ncd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6 -###5692:XlxV32DM 3fff 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###2232:XlxV32DM 3f99 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###2960:XlxV32DM 3fff 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###2020:XlxV32DM 3fcd 7cceNqt2m1vG0UUBeB/BD5n9lWgSEhICIkWCQp8qKpVYrs0om2ivAj496yd2JHTnDl3Z/iUZO+dc9dje71+lLT95nr96fbt6t1X88+vX62vPl2f30zz79P66v7z3Vvg3fTD6++n1E9X00/b29s3H84/T5z/+Hh/t7nsyOcB86qn9dP6329XZ0y2CXOXj0KkiZGmFGlqIk1tpKmLNPWRpiHSNNotn5+93RMzRrqmH1//Pq1c4j9XN7vExnch2IazyMxYF0NdKdTVhLraUFcX6upDXUOoazxDe9L1y/b2/uPueUb30nHIAkSSOk5xPInjjTjeiuOdON6L44M4Pu/QcHL8uIG7TXovS9P1+fqvCRhFx+51v9K1h+UJ60zLzZ1ORyYdj+mNTsc+XT1w6Ad+yCYudEcmmjqax0250B2Z6KSj03FHLnRHJrrR0c3xlXChOzLRrY5u7V632ehOR3d2r7tsdK+je7vXfTZ60NGD3eshGz3q6NHu9biLTuJNt791Ov3ru92N1HzvlJrFS6Zf/wD6l5dNK1mBeuATVrqkJ1FWkqw0stLKSicrvawMsjK+ULm6v5tutn/i9Ab4+v7j7Xb6+3Jz92FX3V2b8g3zHUNrGh5fRcG+FOzrzYk17sSa4Ikd+ppg32BOrHUndrjGIdjXBvs6c2KdO7EuOPDQN5qB811dZxoed2JlJj5dYPMTBzfx6XJqkubr5ibXMF8p8vVk6o2pt6bemXpv6oOpj3z83MBD/fgl+fT6ffzK3MyX/dXSFTh8UixYMn9SrFIrlp0+iP3i881mWk0///ZmfgeXrWsL13VnqStbh7KBfeHAvnTgUDhwKB04nqWxbN3+ZbM5WftqV48FPKBD2tatR9PUBTx+ojWr4piH7/dAZcR8C4/nu7nA1vZqVrceletZuT5Vrm++eDUts8ndBr6vDEDlKaD2MbA2INUGNDVP456I605gc4naANYGpNqApjbgyOyIMDtCzI4IsyPC7IgwOyLMjgizI8LsiDA7IsyOCLMjxOxYwOwIMTtizI4YsyPE7AgxO0LMjhCzI8TsCDE7QsyOELMjxOwQzA7F7FDMDsHsEMwOwewQzA7B7BDMDsHsEMwOwezQzA7L7MgwOzyzI8/syDA7PLMjz+zQzA7L7MgyOzSzwzI7sswOzeywzI4ss0MzOyyzI8vs0MwOy+zIMjs0s8MyO7LMDs3ssMyOLLNDMzsssyPL7NDMDsvsyDI7ljM7ypgdktkhmR2a2aGZHZLZIZkdktkhmR2S2SGZHZLZIZkdktnhmB2O2WH43AQ1LugLFjd9jRnYuoFH7jZBnQs68rQJ6l1Q/xzMITW5NQ2Ht3Y+6AmTYTAZBpNhMBkGk2EwGQaTYTAZBpO5GJO5HJNZhsksxGQWYjILMZmlmMxCTGYpJrMQk1mKySzEZFZgMisxmbWYzP8Hk1mPyazHZFZiMisxmZWYzEpMZiUmsxaTWY3JrMVk1mIyazGZtZjMSkxmLSazFpNZi8msxWTWYjIjmMwQJjOCyYxgMiOYzAgmM4LJjGAyI5jMCCYzgskMYTIXYDJDmMwYJjOGyQxhMkOYzBAmM4TJDGEyQ5jMECYzhMkMYTIFJlNhMhUmU2AyBSZTYDIFJlNgMgUmU2AyBSZTYDI1JtNiMjOYTI/JzGMyM5hMj8nMYzI1JtNiMrOYTI3JtJjMLCZTYzItJjOLydSYTIvJzGIyNSbTYjKzmEyNybSYzCwmU2MyLSYzi8nUmEyLycxiMjUm02Iys5jM5ZjMMkymxGRKTKbGZGpMpsRkSkymxGRKTKbEZEpMpsRkSkymxGQ6TKbDZOYxuQ32DWZg4wYerj5dsG80A9vj//nSoPPKTGyfMzedTjOv0wj2JTOwdwP74MD+OVLTaTeD2k2n3TTaTaPdNNpNo9002k2j3TTaTaPdabF2p+Xancq0OxVqdyrU7lSo3alUu1OhdqdS7U6F2p1KtTsVaneq0O5Uqd2pQrv/AwaOmL8=###3344:XlxV32DM 3ffb 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###4956:XlxV32DM 3fff 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###4084:XlxV32DM 3fff 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###4400:XlxV32DM 3fff 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###5036:XlxV32DM 3fff 1394eNrNG7ly5LjuZzbbRCQlHt01+fuGF7iK1FHlZB1MODX/vgAIkJAsedptB7tTM9ICJAWAuAii4/33z2jtbbjZXz/wxZZ8/xHNcnPeEy4ILgAuVZxxFnF+BJyruDLdaT48X8xgED3MCj0yekT0QLONQjtGu/vLttHkorCWsRawK1FlhCqjKZ4I5wXnNcVE0rTKqp4pNkTxUhAbncKOjAWCl0xzi8I6xgK9S6K5VmEtY4HeJSLWbELToOkdid5JcFnTSzKaoqyamd6B6C3E6TQo7MhYoLfguiZHWveleMZ4gqYKXWamsUJzhZpBRF3BhcF2RbAj8O8SzXozt3Bzt/TrH7uMN7+m+/8siOkvO4836+9/uRRuBl5eC8oFHq4+xvqY6gN36v621CFmQFW7l2iRRBwx/KL1nE/3f+ycb9uAH5huyc/1C9v04QfeQJz4BIotwr1eM9c1143XzCuv+RjRsIu8tEGdGtXSwdz/bxfg/xfiftfP8Orr3Bh9M6YuDHtNT0f2ROqysEqgoIeAJhMIviq4Qc0kOyTmSING0a61aVcSXBLtWkW7QtOfxNo1Ku0yTmFHxop2Rb8orGMsWAP8xblGYS1jwRqKJbWatWaOolUgdQuk3fLNzKJXGfWqVL0KSevVyaa8LrE+Un3k+ij1MdNjW+tjY50b+Gn4aXlr6krGZn4Wfs78XPi5Vp0tuJ470Vk7iIIFr5T2Qk0JMJ6oqR1WXma2Sk8/1sxSjoY019XmZkjls4ZEH9kZ0lLXLM2QlicNqcxHcjdeenna7ks4kBsGXnP+qt3T4Hxi9+OvmLdbLAP8NTccV33AVv/rngDUrK5tzLzzBBCt+Mmu0TntIeA58dPzM1SiHMVco4kamb3h/paCkL4cJB0mlkp+XtLxKGnPa6avSfpnXRp9GMwCVsFpAAQdHeKWjgMxIW4hRwc4MnL0QgAi/wbTKD+xC6LzqNAjozE/sTPNnhXaMdohmpKFbBXaMtoiGulyQ1Z0GQi2uDWJvHixGoX0GEoSiqRaJbAbJ34pDSuz4GZ248Qvuf8tCSUzsxl0GpaNQo+M7mnY5hXaMbqlYXlQWMvYloYVSbWK1xRT+ChFcEVTTCRtEloAVyn2PQ1LJirsyNiWhm2DwjrGShqWTFBYy9iWhhUJlKBpil4KaKVt2KbpJRmtEuwAV+mdVKBcJ4UdGdvTsEUFO8TUzGpVaRjSWKGbTsNQ1AQug07DUM8oYLqZloaw4yRczjVSmiyR0oEdGYpdf9uZnAr4iDqtWaq14iPs/ZVHg/Ut7FLmeBzOzgLkVg17MTzftXmv/DWh0uj5gWNaHnhebNSB5cwsbPwwQMFyAIIvL/gPDmA7QUaA3HsdWdCmnTEKB6AXHACy8iNl7ygtkdXCslq7rF5tdUhCzatL5Itg+ryP79Z6CcyFpTAF5maEdeiA0qXhMkuj0nEuDXMijeTYjJACWOX+AiQBnPmpcGT0B0KUlCbWpTrAIhxTs98lUUzZac3Kklg+1Jr1M1qTKGKdaY0dHlKbSua5oOypoNgf45dJbRCiBMIUoWqsVW1o5FFt1oPavDOxjYU1fyis7TPC8uN6KSz/iLAqmefCGk+EVceT9qxVWAhRwmKKUCBbFRaNPApr2wkruXwQVj2wgbDKR8Iyw+c0q1wKa3pMs/KlsNypZmXRrCKalfeaxTaKnLBmlXfCQpzWrIViJ/4RYRk+PttrhwTT3nHfHFH+syOS755zH89UZeH8AL9cHQ1AFPdh4uyMBihH45dw5NAyh+ZDDudLDtNjHIZLDsMph0E4FFcKEM3hNgiH84HDeOTQMYfDhxwulxzGxziMlxz6Uw6jcLgIh1FzGKMRDpcDh/nI4cgmvX3I4XrJYXiMw2sbnU45zMLhKhzubdR44XA9hENHR25grXIYBnFak3BosTAgB/9YCwSBOH5zVL1DJ3YMVxJNIWC81SwCciGq+Og0QrKPrdxfk9/nDFwOgln+OGv786wpl2PKwkffZGULxODNewad5WLUxEXAH/gCUMhA7ESeTdIw46vIcQBMJ9wkuLF6PZAXwTnxR3FBHkN4zL9pkZqI1w1xdW4d4/H5wkuvaycHiMZzzFw3slY7YAdlI9ndmPHxjXx1sfCGxoc2ND+1ofMzG0plrfFkQzPXMsyw/HFDhUEUNB+dcWGAwsZGPH/V7whcb/gim8p+EgfIhrcQmPcbnmQzI29mlg2f24YXGZN5zKw2PLmxk6M3vAYeB3vkZMPZ+5ooGz5qOSSWK/1/m97FWUoVp0nNC4s8A66Tdus466ocWwDEF4Cia8ECQhhzh8MsZCjR+WVT8CF1hurRVjMkzjY9whBPP2Fo2j7FkMQ7fNEMrbbDTxlCuGKohpUdQxMz5B9gSKafMGT9Zxhq4Q1fFEMxuA4/Y4jgiqHEnlgx5Jmh8ABD6Z0jF4bW5TP8tGCGL4qfNMQOP+OH4IofN5OpAz9W+OE7HDkUgzuDFHxmNlx1jTyrsbFaLghw+rymd5OQCCYapwMWM+GZXMSq4MWpyDweieOLgOUD4mTWO+LstH1AXTsd4vwz6giuqGOZauqk+DJ8KLvtirz1Q+E1IW0Xwtv25MV3Oyv1DjleQMx5M1O95+BTVpvWyXOSdqHQM6h+kOP7xPnRWt6to4s0MdGZqJ57gOCtw2EWqCL8QwTnI8FSlminhUEfB1mePK0TPArBcmEysa9Z87vpSKdkKrCQptMOCr7b93dayRWB7cNtv9LKnD/cdqlZzOPFth+1EsUBYeUWmToj2awTKRrYLnFQdPTGewi+bzC1LG/5sswufHZe6q2Zq56ofaaxY+wm/KAVgKNyuWWZrHDg47764d8/TZREAz7m0MU5cnEmDh0Os0AiC5aoTfAajufvZSH4pOEo2YUkK70QCAfqsDhPRUfpOCB4y0Jgh4oej/V/Ywk+a/iA8IFiIkjA3CBjlvNGFD337bwR7m/ZP3htXqI55DEu8J2hmaU0GaY/Xz2a4617kGA7S2GhGHWB89DNzSDXFiZRwf0l+9bcQeBItXa+x279FybWMrtJqswevcKOjG330TH1L7V7aFOMKr4jhvsXIFcG74ORfJJdYCv2/mQXqpI+0srA99Dhwevot41vpZfHL6dLTVvCyVbZURK9Oaitepj8frkZxuMnxMBH8autsvjkJyiJ0Z+IHGvM1m4M/Vc+8TPKETWaej/1ss1Nzxhc8KAx2KZgAu73Z12zvL43S6MCy32Z9VFB2z1ZsgoKPmVDF2SzLBxq28bLQsbhcwcjdeSXog8K2jqW/Kig7YrMGwVtHUrTqqDtSiwMCgqkLWGXGAen7XayHYykFd9aQQQq5mpzVNBmplIYIGhvF1kVVNpE4qTHAmnwF4zXwufMrYADLWy6EuJCVKb7hGG98VH5usuDEvJzlZUjY7Jfswq6N9YdIDHIJ6Ry1uq0f+oAAXUUwQ657mM9U/eNBDhZgF13OzlkfVHedGTI+oJcThsoFmVdbduGpK2rKeWQtHU1DR7SrjkwK3C7jW5GMKRuXbZTnZp1gSQzli03CGnGyN2NNBLlrzSoKTVJn2kSgiQ5cbPQetHgVsLz7RfposGt+C83urjrBjfA1eaWMj3e4AZ7Mx2bRSZJNpyIwCoR/NGQlCSyu2hEMbOkpGV4shMli91kt7OnLFqcp5rQwF7TQV16RvNUM5rslGH1Toc81ZQmO2VgySwK7RjdOlCSHRTaMrp1oKTWI4toI7UA1X3idr2FxSl47y0sEqRKkpYJ11omxLuUVVomHLdMpKE1RazcMqFzuRwVdmSsBIk0WIV1jG3BInuFtYxtvYXMHrdbJOmgcLqDIksjK0oYEkFwDfCPRBO+hgvlS4lgxS3TLi3cpX5rXWwN7Fy4FWwobDvsXPjEajw7E8+xiER4lgSaepEIah7zl2JRssduxMD9g6s0z01cmNyy5iAeCs9OLDMdTyqbkCwNibkokq/I8scQyXXwtTxDVqIW9916XENY83PrxeN6kddLT6z3089sgrgw+psX0AFyD1uHUxUQdITGSxXQBh5fSxpUepoQjlcF8f4DJckvQV4ivYBTqK1Yc1+qfsKQE0mLXmqSpSZZapKlKNBPRFWQpXylag30hdTB9IUV0wIbJLfISeXGyqelnhsnqdUQVHJjL/VGohE/uEytQ4zB9MHFNY9Ea0SV8Sa510Bwy3ir3xZoy3iDUdDmzNqPK3LsTiy1lu0cu/NKre8boS3jxcHmlkGlsvgovtkKs/JRe5fzNe+SbLoy/ficTeQrmw3PrVeubNY/t958ZbPTMzbbVBIF2bXMz4uA550pizogfGfKzf7KhSknMdwsL0Ve5p0pix7igHNTTmK4WV6KvMx7Uy6yVNamLMaJ4G7Kfs5dFM0O8XIoYewtEMNMO8nxBV5Y/lux99mE/xizjbuM2f57YnZMVzHb2OmpoH1sWVBBe3o8aMd0FbTxxuwZutJV1MaOlKcYtcfDvZy85/Rdm3OVGWBrwhNuJka5qDS6VhRnBW3xsDVrJx0P6w/XGKzioWk1sl0FqNfIdAUoqsE9HvZamK4A9VqYrgD1apquAPXSW68AASMCTbt8KMbc4cqJRmnBwx3Wh7YYOlwVQeKowL0IEosC9yJIXBW4/zogOQVuZ7KYBgVuZzLffuCQzjM22jJ06mhG/BLkZZexxaiW0m6eVKgtNclSkyw1yVLdzbffIySdscU4dbDK2LxSupax/S42DsdyHTc1hPU7Ku0nRXWws/XSz7pvqtOl7ehnm1u0n67TxbWJelN57WAVtP08YpgUtNV8k6SOadX2uuYO7va6eQVth/ItKWiz121T0Gav26ygYq9WLuEIKvZqm+WkTWtGzBkTgPk2tt/7RcP9IWH7r9/CPJsUANvhSjnn8ZsO7kO8vL1ZvueCKF2Wwu04f9MFETUengbkbfymC6IsapyLDg3J5A7voaEdhwmsynirAvfyXT/jFRUa1DGx7Mp1ToFbaLBZjqv7IrtYZdoX2cUs077ILpad9kX2zf8L6GLf4g==###4652:XlxV32DM 3fff 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###4696:XlxV32DM 3fff 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###4592:XlxV32DM 3fff 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###4764:XlxV32DM 3fff 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###6560:XlxV32DM 3fff 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###6396:XlxV32DM 3fff 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###4916:XlxV32DM 3fff 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###428:XlxV32DM 3e3 194eNqtk01uwyAQhS/TA8DwM+Z5kwNk0RwBDF5Wytry3QvPkRolzabpaj4zwh94nnNG1vnsckLYzrXBbdda5y+XJ5heiu9l/71hXzXkVcO9avh53/fNJQuD/gQj0CaYfMFU3Pzh8gJr/IB+4Hwc2P3ngakX6t3QJ2uRtD2q06GWP6hpcHeGbJHNk6G8afA/huy6IT0Z6puGcGdYLJbFPxrWdwz76fS5XRTGzJfesfN1GXytZPG+R3XUEFBY460m2JJIBSITqaKlPCgaSIokgawrqd8iKknhFr41JnhppAJ/29HgVz9IDUKoJEE4dmhAFEdSRKVNE2ITUoH6Y61CC9cmM9JNYsZJnkkfpCN8pMQIDlpGXEiNoenUf5Y+YJLjmAfFMRLSxMH0j/kNf3wXSQ== \ No newline at end of file +###5676:XlxV32DM 3fec 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###2224:XlxV32DM 3ff4 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###3008:XlxV32DM 3ffa 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###1996:XlxV32DM 3fdd 7b4eNqt2FtrI0cQBeB/lMw5PVeyCAKBEMhuINkkD8sy2JI2a2KvjC8k+fcZWdYY2T5dNV37Zk9Vn9K0Rm35u15f3X6oPn5zvb769u16d//lbpx+HA8/rf97wxX53bXRlDxNtaep8TS1nqbO09R7moYVU77p8v7uTbXi4Okaf3r3x1hZif/ubvaJtd0FZxtWnpm+Lrq6kqurdnU1rq7W1dW5unpX17BCc9L16/b2/nL/PqN97TpkASJJXae4nsT1WlxvxPVWXO/E9V5cn3aoP7k+b+B+kz7J0nh9tv57BAbRsX/uK107LE9YZ1pu7nQ6Mul4TK91Oh7S1Y1D3/gxmzjXHZlo6mjOm3KuOzLRSUeneUfOdUcmutbR9fwknOuOTHSjoxtzr5tsdKujW3Ov22x0p6M7c6+7bHSvo3tzr/ts9KCjB3Ovh310Eh+6D8DH8fS379/9MCaOu1QvXjL+9ifQvb5srGQF6sZHVLqkJ1FWkqzUstLISisrnaz0sjK8Utnd340327+wPa3cX95ux38uNnef99X92ZRvmL4xNEbD4wPq7KMxsLYGHk8fOvuSMbCxBjbOO2ycA1trYDsfJ/mgzgo6nkuNEdRbT8F0imxyDdO7mq8no14b9caot0a9M+q9UR/4eIriUP9xf2x107F1epr9vL29ff/57MtYT4dgtXQFjufmgiXTuVmlRiw7vYmHxWebzViNv/z+fvp8lK1rCte1q9SWrUPZwK5wYFc6sC8c2JcOHFZpKFv38NhsTta+3dd9AYd/wdM2th51HQt4/DtQV8Uxh/92gWDE9IUWz3dzvbu6Prt59tGdM+fP8P6rz3Qz1Sq2HsH1DK5PwfX1i6dpwfqD4qRPwQAEXwKi98BoQIoG1JG3cQrYXMRewOYC0QBGA1I0oI4GtEcBhRbQarawTNMeZOwoeJroaUqeptrT1HiaWk9T52nqPU2DueWn6IwF6AwXOsOHzvChM1zoDBc6w4XOcKEzXOgMFzrDhc5woTNc6AyBzlDoDIXOEOgMgc4Q6AyBzhDoDIHOEOgMgc4Q6AyNzjDRGRl0ho3OyKMzMugMG52RR2dodIaJzsiiMzQ6w0RnZNEZGp1hojOy6AyNzjDRGVl0hkZnmOiMLDpDozNMdEYWnaHRGSY6I4vO0OgME52RRWdodIaJzsiiM5ajM8rQGRKdIdEZGp2h0RkSnSHRGRKdIdEZEp0h0RkSnSHRGRKdYaEzLHSGgcnOvtoYWFsDZ0x29jXGwMYa+AKd4URnWOiMPDrTCOqsoG4+PPJBvfUUPKEzDHSGgc4w0BkGOsNAZxjoDAOdYaAzF6Mzl6Mzy9CZhejMQnRmITqzFJ1ZiM4sRWcWojNL0ZmF6MwAOjOIzoyiM78OOjOOzoyjM4PozCA6M4jODKIzg+jMKDozjM6MojOj6MwoOjOKzgyiM6PozCg6M4rOjKIzo+hMDzrThc70oDM96EwPOtODzvSgMz3oTA8604PO9KAzXejMBehMFzrTh870oTNd6EwXOtOFznShM13oTBc604XOdKEzXehMgc5U6EyFzhToTIHOFOhMgc4U6EyBzhToTIHOFOhMjc400ZkZdKaNzsyjMzPoTBudmUdnanSmic7MojM1OtNEZ2bRmRqdaaIzs+hMjc400ZlZdKZGZ5rozCw6U6MzTXRmFp2p0ZkmOjOLztToTBOdmUVnanSmic7MojOXozPL0JkSnSnRmRqdqdGZEp0p0ZkSnSnRmRKdKdGZEp0p0ZkSnWmhMy10poHJzr7eGFhbA2dMdvYNxsDGGtg8V26jrzUGTl+JWqPh8TNfGRNf6DQtnaah08Yre1JsY2JvTTyea50R9MTdNLibBnfT4G4a3E2Du2lwNw3upsHdaTF3p+Xcncq4OxVydyrk7lTI3amUu1Mhd6dS7k6F3J1KuTsVcncKcHcKcneKcnf6Otyd4tyd4tydgtydgtydyrn7f3Rmm3g=###3440:XlxV32DM 3fff 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###4936:XlxV32DM 3fff 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###4032:XlxV32DM 3fff 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###4360:XlxV32DM 3fff 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###5024:XlxV32DM 3fff 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###4596:XlxV32DM 3fff 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###4352:XlxV32DM 3fff 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###4708:XlxV32DM 3fff 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###4700:XlxV32DM 3fff 1244eNqtW0my3CgQvUwfQMxQP/oqjhAgRXjTXnjZ0XdvSMhB+iX7V8kLW/oPVYopX04oP5bHx/daxqWOy9YvPzYDf20J/toB/L7vcFHLMq9qXvW8mnm18xrmFUT/UCqPv9X8W6/jb13ndZvX+R6zjOf8/DuM95oIz2dbu7z+zuXfv3TxDxPqxz+6lIdO9aMh4ZHK+vGXSemxx4/v2YM4nceAc+yX/7Ld+99OitmmmOCmGBenmCKHhd2H6w+jnexeE1z6TRCCo8L+mSk4LqJ/Y/rymL08pI1Of89B9BmH0PteT32PGvtu7/TdwVoe+u6w7zgpbTT3+r6d++6x7+bevKez4IR9V1NwKKLvX+vtfha6Ym/1jd7+dKpPg/73797tHD6+te3fYAuqh7Dv8Naf1kbArsMVnl4n3Ca1varhquNm7yrjOl4erSsff/cdM282vNnh5lvT0y5qTyyq9baLyv3NxklRAUUFFBVQVB9D+6+JyvsQ1XdTm8mPb/sGb7AM59ifth2uXsAgxMDTQcB9IhYN0yaf7r1cFMBOwLbDS4d9ErBpHRlzHHGodczaluCNnmGYgQ06kmmh6hhNjfD0wnAfTYV1Aq5DtA2mVlg9+WwbSy3QjSDQ9roK8714gbaR1BUGUgXaBlITrM3Ga9N21libebPhzQ433/p//aXYwb5msGPCAsNZGR/L72Guith4MPr+o/68EhuyL6YZYjSKKUNMdbDGKL1xd5cydtOQtO3c1ien/fuvMVEbm3qkxvPp33902yPRLR+ggcidSqePH3WoVQ3X5uuzfaoHe+JM74B9Zgh8QRVfpYr/jkTtchJI7OzzOwJtcSeLR6wc0eKtUTDbUy6b26VrQ+sgb3AVUNPM3BI1wNOVYV5KZ/vkmgn3HQbSeIcN7pkPANP0ByTTFItbxwl6cFrAkh52ARM9qBB5LKTD/2XfFlc99sbYO24bNbeNEttmjWOGvmy+xj47brBrD+fouWQHksMTj0Vl3GEp3rKqXqWzUzQ38frcmXm65bqc9bzV5t5d7Wtyzk4aWvnVvCannOVM+7vqF+T8dGhXu0DBe36pjAvec5tBPEvLmowZlNsnHHZ3n7F5k/GmyP3ul8yipGWtG+KrMEN+SQyzlvolIJykOoL/3rUtD2UaHevS1m6mvJuE3Nu7VmVAjRJoU6oc4BVeoJOJf3q9CrS9NztArUCbmcoW5EaBNjPV/jWl1FE3pVSqcaJSqJZ6qqV+opa/UkQ7LuPJOhSxjlWv+TqyIWPwNGjJcT3vs+YqDhUtHp3H2dU9nPrW1DCP7RrXs2NOml5QD9f6og8a01VAoXYKKLZb9BHTdjZZDl+BetYJ4BhTXRkaHadSdbHM8HHzDDPDp0UJmBg+LVbA5ADGVBCW7lhE/e4wuWNxdwJFdyzuUaDojsV9Eyi6Y3HPAkV3rG9oRtEdi+t08/ougK6BCsa0I9wMds7taRi2CkNzO9z6Br8iXUwqiVY/W1EnE7qZgKJOqjI0tev4aImgfc0afdI+M7XPvKV9byUGROD/NODPcczIUx3ML+igipc6uL6tg0u8CIxVk/FndBCI3z6JX1Ub7cs6GHAzLgfHvflDjLPjHn0RMIWj0e8CpnA0ojHq0yIU3FmGWcGjXwRMCh69ETArOMZsAFOEF70XMEV4mjsYOcKLaHL7XhCauKwIB6GJEbTZDBg0UUXWxBidaPWzFTUxxshvEpqYhSaqiJoYmgo+WgT0yKiHduqhlTHNH7ZtIfhL+1H/zN4NEEM8tx/ljb07abuL5e0VMK/QYd5eAYNLgGl7hVoFTNsrsGwv7EcommGyH6FsAkX7EaoSKNqPUINA0X6EagVK9iNIdNqPFjjq0Jl6e8Q2bbhD3NwhjncIpjRnUPLyfrkIk/9UWnfiutxK8zpof57mzV9P8zrozvM0734v3bhdpXkbb09rtd/SKqfLZZp3u9X3upwFU0IB5zaFF02k0/UysVvvzfR+nmlM7EY06L3bt2Z6u0zzlltpXqtHvNj34UiX6II3FW82mUBxCvMxepOhqtOJ8UOKjhKUu7T0Tu2MyxSdETBZeodhHsBk6e2eBcyJZ3ShAe7WWBcQ4gVsOpwPSVtdn6ap++SMVJEueFPxZpPBtNOORR3S1EGKCigqoKiAojhNXSjxuMg09SZgtjJOVQGLNLUTMKeptRLwkzS1LiLkd1ozLDLPpeBsbofMs2WYTBUncMv2NPO8sanqdoZRNFWUcQeUMs9avo0yz6pgj7PMRmjM/JsxpWM55nbM3CbyvLbnBpopfni0eH5aPC8s3hdTbWw9rDk7JEhGfnsvqWvPApEhfH1DoEiktq7ORKqVPCBSnPbAA1YzLniA87hN4Cctmy+aCVl70Cmr+IesU414Y5v9RyOb9vRYnKAwbRNwceLrcaBycg1f9RiyTfqcTkWz6CgdfrNImdT5FRFfgfYgrjdfca4SBNxQDo1Z/fiexjZKef56TIWBaKgrbcSyXeoat/e1cxAXIXe0kYyKS3sgQcHFaG5iu+DWKGAuSMZNwFyQXHcBk11IxgmY7YKVT5NdsGvhzrch4Y7VVO1qK82dB55fLTcJdl69gImd3eoETOxszSJgZud1EzCFmInnS1OI2Uvlvjvr3UXltEqY2hFv1Bped+Q/VbQWfVFv0ImSmfd2rwNdfu79Ir+mevMV/qqMFvLXc/+y5E4lZU3ZacF+i/9cx5q4ZMsliOfJWLuFKtB2mr7+u2H6loXb2GKjeQeULDYl4TtKFtsI6Wyxyy5QtNjkDwJKFntRPHhOiQy/CecE6wOigq85E8IWfNGcASF/CVDMgJA7AuisD/Q13YbStAjXoNLEqTRJRri/LLv9MhL9euTp8sGHYN8BxvlUfZD8i4w3zhv3cwISQ86ob53OUekqHozqnuD1KnSLyz3B5iw4oGCqJyJNLC8dVPqULEUDnTAm9Fnkio/Ec0oZO3idf3p0CGP5LI9svUJjyl6FltHcDy19U7G234YD2UuD42bFG/QtlT3GmMhI6uBDOoW+ZXv7YDFlyYFHBlHDv/zbQWp5nFqp3DbkuYGX6XuOtDLIFUHnsvPrhHMxisfzV27+ipyMEVxhs53N7GwsyH9YV5V+8Jyv4QdjDddhDReGNm6OLjKZDizKyrCTZAaUGVBmQJkBZVL86TBJ7bBiO4JBCpax0DvsC4WOfbU54qJKrs24YBEXDIO/3kbcrqbZAbdvTF0cgVgvE5n+D4k5TWJerw7cIAXn4eA9O36Q1Ctleja6dj+GKEXgfEYF/cp+Q5PSx1K6mSlNpVXF0axzNFmamVcPgLyfm/9ddrXK2EhkU79ky9h2ueXK9bNUKzb3/DJYi6fnpRaKhNMrx5vSlS+pMvY53Tww6+35GImdr6BzJGER5kIKZTthvbkqc6y4z/NXyxzZuu3C6qgVC37JvWV1WtCC/pctB+KncM5th/N8yTPOQWFC5xhg4u1knYCJtxMeGQOY+doZARNPC10/nJdxCR/38vioSxj5eTuKdyPStRS3enl+1LlZ1OuP97IdNHMIuK4sjUNAmyhgtCLlJuJX4cCL6FI68ByhSgeeA1ThwLs1CJQceF0ESg48FldhRdkAOJxFhyk3S0crcSZ7Gzv3RvyCnXtiWMfpuZ96lRLQuaeIGFA8/EPhM6AYXqlSp7lpgfpYhGFufD+o1yyieyiHFJ0nRRdB0a9Gw29/tXBFwBdE6y/LP4YC4JtFCUiDPA2Al/IO0Tqo/dsnLKgtsqCvl07zmQxjvjoSkdG3z+pmCiCeaZsqP5jIWP1vD74yGfp6IEMOfuOhcsIxeJQZsrAImMiQeczXwznXiGocs+AxoVJK8hhn1Nrj5xSWGY8Dj8UsCw3AK9jsZrNIaVnRbGezSG058U48PeGCEtPFHqhH/fbbZBpfkWniym1EkHpVAiWCxOweoEiQzCl+kwQp3skESbzmRU1CzbzHZJqYJ9N0Om1Mk9tWZWewTKapl67ty1m4P/OZ1Pt19JHNYIL6fBRxumlhfUoeX63GxsvPmyx9IlTueWtxu8pzmP1W3/UlZVWclHLPO7afa/aYSjHo1FF+/7V538/eImY8LNJtUIK8f9t3QeW6Xh09q5T/8F89vmORKPpW4c9dfBYopTC9EygpODIQoKjgNmIIvFYZBKID2GF2jDQeFO2DE+SuyWuL++RfSFXYMJMOHe+piv47UQcJVjT72cx18mhYqqiTh13A5PrakARM9ZBhSewcIHykFfFrLX3wkdlFxIrxyEdYOCNAEgJKCCiBsg86oa+u8ZMcjX6jTpnbxKcYWGAEmEvgUQmYPeywCZg9bB8FTJbIRi9g+lKLopW4CUukV83bY/Q9bth3cr+jOAhsfRAoWqLhF8zZGl9LRfxsSh/CJjUz7SNpAks/bMsKtsX3s7UBbUudtmW7VQR6ofpzMiRvpg6sufpKRRskgWLvkaOrZw6jSB9P0Sb1Xp7V5qtvYsM9m+H2yyid+mzfjdIpYJasQbEYwJ8D5j7Ug6OZJM4HXNbKuPygkYJ31J5Mfpyx3Mba47xAUXu4euskzVNg7eRXjdUIlGjeoFzjRE3K4jn6DnPYSoptxDcrNq0CpZpUEoK5JpU2gWLYyvkDw9+scMgAcyfzJzsvzSF/ksWSPcuf7M/zJ+KwVYt31gAHhC0fEN4mmexf++jx98c0/f/3JBbx###6504:XlxV32DM 3fff 1950eNqtW81y5iiyfZl5APEv2eFXqQhAUkRvphe9dNS7D3nyJMKe8r1d415UgSFJkiT/0Xe/bC+vf7iyoQ37KW1L9RhtGv+293/5nl9CuV7/7Xt/Ge0YKC9HOl7/FY7j5e5j+VYUzVa19Wj/DD6taH8OvPUT3t0T7/k9vO0z3ky8/Xt4+2e8lXjbN/D+dQTw4f1NGD1GX9+EM+w063R0fsh/P/+KxY0l/h3jA/Hrj3FpYzzV8oyPjcZ4vgU+XzbeCO8ET7i3Z+tBqG7NTrNOR+eH205skR9UuoVrsoU/VlTFUBVDVQxVEVQFVDVDVZWq68AO6RnGDlcA9Bw+FPosgI7PMKDP9PrzZ4the3Evbh8X5o73f/szv+zpfsXFHZtek/PH6x8t4Dpa1EYvp2Vt9Arbjps7kzb4649Tr/Vs2nRtTm0uQN5o/rhvCsLG1rH1bAPbyNYEB8j+dO6DwLToBV9Z9fFUOfTBBLEHCuL+Owf0rauoC/N+rfI+7N/RoeiFJXnVIWeIq9GeF9p/g+iUhS9hxR0NtxmUEom7fOLLQNOIBng/qHlSNK47oqnXQuLfoI06PqRUOLsosiogxxctU22a41NlUvOU9rHDwP76477GsK9mDwYPxklEKZOA9/CAN2ARVcpxHc4y7AUaQmzDotmbE1rC9iBvUYbF1sTal+EwKLlBiR1o3DQIPPfVYMlw28foBZUuy+gg7zxXY4XRQd3ZV2OBUVHzthoFjA7azgqK3cJtswl/+WMivkGbctdXzN3PnBDYlOyyjA4CG+xWWfAIgePfGN3dMjr2bAmjaRkdBI5/sltbRgfvmlyLO5V3g8HxVS9hhymrYsq8e6kvPtGU5Y2mzP0Tpuz4uxbt8v+gRRtto7mwtrM92V5suR8kcazL90eLGL+0iPGgyg4l/pZFxPivLKIg/oZBxLYf8N7Emw2vGZvtd/DG/zK0m7HEDG3evjCGv2TJYyFj+swLM+KuGbuHDfotCzmQiuzEX1hvN5SO1jstBP+C0pXE7ZNETCPevBnx9k2J2L8IMwfh35GIlD6TftjNmXvf4zdJP76IZPfwnUg27owBRVsQ8Yl4s7Nb5/gQAzbzF+PG1CYLJGxyTMHm/OrVYsrPmserRZj3oODDJOv09G7xOJbpxOnHyx3nMh05Pb1d6teyp3m7mHYbLau3qwYsxx9neRN1lBPIPvszp9EtfC/4INtHuEJgfFxi8Mts5qy5xiPkZTZx1lxk6vTTmJ0u8ijL6DjPeWDUYMcFPo4zVnOO0RynXDAuKfXzmXsc55GXUXOcM9MBeslrwATt7NY5PmQ6FtlgfMlomo0PdSFJxyTpfuaEpOE3cFnLEoiNB6YZsciwiItHbBLCMiz7+hNHKMuwiIfvuL4Vd5DhtuZXqhDVFKKaQlRTiCfym4GIcGTJr466cHQGHRabYdSCjiP4ZdSCjjSlMn4IOpRyichUcHaM+iUUgcwzFKmSVZ0vI/rcLBRxDEXSGor83Rjkd4KP8M8EH01bX/9esJHax2Aj1U+e1YIN1ywUOML/lsLEVr+KMvz3fEr77FOmx7YwYz++6VPaF9Wc3X2P9P6FJ/eh/1PusH/lybdvkZ7954R0J9erIS5rDPZFLJPd58iIAYE7bgu3Vg78n5loOsw2ZHOqCfalmr0b2z3WMcVO35LpVGX6sZJWDcL0tJJq96IYsWpWrlnnQzkpVsuEWv9g7hBKTAzFMBTDsEQQ0UxvN0/QzROc/pmDJ9girOayZAkgal2Gn8Bh2lgZngHDY2Rl+AkUppWV4RkoVMvnW1trWm06mWa0txn9HM/ckzKnuIzO+KDuy+hMmatfRmfKbOU1jFo8kE63jM54wOqJcgtLPNAm4mpk10l2fuaeeODxvXVJpGtcRqdPexxyfXxaOtdR82kaUVBMGD8063womabDPfKNUE3pdWegpxtBgkq3ejo/PNlQ3vHP/Jynn8uPn/uz7v+tbGNt/aT400VUyw3qWuX6tao+RSCvbP5R9w8S5hcOP+LoHw7/bOnYPh8j8BhlOcbjDD84v2F7ts8mbNoeK/7X9P+eRKgg87eV+XFWZPK2RGpqdGzYbNEwKwzsfozO65v85XvA2ZVRP0bn9U3+0vFMqfsxOq9v8pfBO4N3Am/j+bwIL8GQ/GXj3ca7jPc53my8yXib49XGq4xXG4+kf3TGeHzoFw739zcRZ7ddQlMYfBqhfHbxRSzlW0LgODo57BwZksspdnIs7Gw3p0Z0TmBnUzoyqAlyS/Vd9/C2h+cekgNJOwwVdgA1Y4NhHIjfEb+b+J3iR2CZwWSXFT8YhLN4O4t1xt2+pbsr4rDrRqMdFgjtffPQXnCEGxOgKGt7RlJWlDIgGC0uSyiVhcoJzw5GTqcoRiugOAL2kCOIfcpe7JNLPMJpRwh2hEDKCynPpNxOMK8r2BFuHoHX1ox0kmxHOO0SwRyQHoz0m6QXkm7cB24hPYL0unK/GunOSLfOcON6Bl63neXk31iKM2x2hs4zVJ6h8AyRZ2CLK8cZup3BJMaT3XJsnKHZGTrPkKZxd9uwA8XRqJeLncwMUrQoHPsrdAcjUKLCK9DXs0GldmJnJ5/E4zkSq01Vy01Zqn8Th8SObjG8BtLS0uXZaShf8a+gcZgwtMilimhEZAfOpVyEuAhxGcRFiFwUYrQCsacTf0trf2MFOrJiz4dCjBYQ+SCEdAAxIgbFEYkjEuL2OjFaTIx2TujS+yLERYjLIEjwfnH7i9tf3L50BC1oZULaOYGl5dSzSguIsxBCOoDonjg8cZDAoDV6tDIh7ZzA0kDGBTIuGOOCMS4EZYu0gAhkCzqAiJtCjBYQcSOEdBQiK4HhmC0IDcaFwEsoMSnEaAExWoWQDiDCRhwbcXC3fOMdAC3c0r0/E1iab26/19kCxV65iXSwya63srs+W1yb67xY6eBiHSWvudkCslGq0QFk01sKlx5NWrJaT+SLcilfvODAew3dGNpUzlLxs9UjOt4FTFWgBVKkQdusZ4YhkrObjl1Kt3oq4UEhM5IWheixxoDfPVGpVKWNwi3WQpdkSo9YC1VUux2xDdDlOgeU11ITChqbqqMuSmTdzRgWowEPewFV32HqLktzLkcgrQSCcKweLQxlvO2BfcsvWusLLGKmEQ0GuHStXo2B6wWxe8r2Ti+WQ9yfWI5ESPwt5nNT9Jq8nJkHGZQoaFEnrIU0EhJAgL0sDgJcCQpzxpkThpsm0wmD0RGnINAYQceZ9TzNSNLio6PafppSn6a7p6noqZqJjoTFiKhCbxpRhdNgIKiCeauGcLORbJ05whgr3AcxRy7Px2Ed0nP4xlXeCIPpkvvY7RSN51JhR8civG4I2+wQc642Ui2w6yRMBefUC+WIswDxtgCRU8mIT9GiyVSsY1OZbNHrPVWItOMNOBrxxjFoj05ZDAo1Ok2N6HS1U22qEqEq1mkKRaerAWvA6+OQQ1yhaIBcoYSHuEqX2IH2BaT+mcCw1pcKOAwVYc8pBwRg3fYsqiS6E3c4uaNExLrI2aKORfdK3sTsjb5m0Deg27oFDedJmMsWbbYX6ir+cqrfKsSR0iwvDAL0KdMV9ZJEKdaZnCPhzlTPFPUGoMK1anlntMIctNXWnjMpG+kCrHQ2wXV602fRi8bEaGEmcPGeHVisOjuVoeexvax1+ELa4k3adoqCNyObeaJmVDZDd6/lLTn8uXEKFa50hGXKKVn3NQs/ybbejXSnNMwd5CzoaIkKub+tSvPAgZQH0sfjouCTjrjQYKzBq5aYCExJR+y8mgG8m0S50fauLjXRPglH1AhtNDmOJuc66GTpbM9oztbR2Rqx/rZLubFP0jw06MsZ97ltH8+OSLLauMgO7nTsLGKAnZPtGLhjth0v2/FCiH8uOxbuKNqqOzrbyDqSn8GqOlpVaDR28typaCuH1h3N0KgY71Rt2QC2Q5AUQ7LRRIuUARtcV+fjnHTuywxzMcMsdxQaC6XqDoq5A8O8vFzKe5CIFa3caSK+UWJU5hHD2UvaVAtjI4KQ2qkF5J0gO7S9vCF18w2QcYELWUOQk2tRANT6UXtXjynpk5RghUHSasYWNU0UO46MbbQAqJu+mAquZvpqWi4slUqWVvxQVzreFSkUbD+eaAunkAA4mSfYiN5I7YZ+egY3S2IkPvhCtFgN6umGRCdBfTVvFIifrd2Jylmtej5/6KvYU5QWpC6ZAyvG62JElflkPJl+Mdxz5JCGVnjVM4McCy81E3Ow42eSl2ynbVbPptmiYtlN0FptuiPs7PIMKoeomx1iM0tQpzNAXfnAhzkDVs2LqT2uq6iWqxcI84OwRAdhd+CofSfNvzhPuVocP9XFVWm4WLyGixkfsETFBm/SZxR9U8Gi3cstVnVXRsDjXe3JAqIFN05DGVBwWzbgNfvUiAbl/uumnxNkF5EkQxJ1MW7kpq+8Nd8CUvDGlwe7FmyGWdPvwS7j/mUG+9IylLQWXDPsvh9QMemAZGYA64ZwTMPuS8VIQLVUcbFiIujYSZy67evisQpeabdrQFInmivpFYrNhyMRh2swKki8graa/9WkBb/DnQ+o0AdQtuACQFHZwRtsVlDYWsAmbW8DzdIeKsnI0nRtUYOIlHTQCspIkSzBdqLYwFEMh2V6cKjuWPYXucbiYosjF++2OHBxBrfCyq1LF0Fo942Fa+TRYOQIosHIfac87yMMAbmSubIVqy2taui+twdURAKgkaCNoPDY+77rQaSjjMwaGgGWBIChVnxFmpuw1pORTtVTcEzKMlGc3A4MdayRAEcBjrTs70gbGJlVKXRxtMWBi8HI7Vq5RWAwUrJ1TKF0IYyUEQRr5SZ3yg3xRcovDBQIlD0K89ZyHw+k8BGQjZCn1QQ2gGY9h3TARxQSbA33b8HWOKmleFqMcjNyReFFYAcSo0x4ARzcT/9mMKk4cOA7LAS4xsWZi3ksrXDwNnRxALf6yi0Ca8iRLz4Nolykup1VJEs2/mS1yyVH9Xwo2QRtVSYLPtUlLHiZ+WgA2EhYCKVM6VkAcxFY1TxTzbPW9S8a5nxvxs10KTdR8Oq6+KHOkGTuiNI6sATDAn7i0dxoAD8za0lYXmx5tOXeluPx4qor1y6FhmjOT7DxftMYqUA05wfUqEX7YkGMm99cSzSA8rvVtFIzID+/1xYgcXbZHgsmTPj4rfep8UqY4eVhy6NmTsjEdsY8+G1Fpo2Gzzo407W9GB0xk0KuaMHOxmjaYPUEtbCoVPMKGwjbDNYxw0fepL/vmMBMgs5ZIPAKfOlhLWeLGusCBIfd7ZlYS5J0EWpr7Rsqndp0iha6LTbH7KGu2uo6tekUzdGxKBg0d2ilKlHZ16mNCosQ5iqLEEHAxggkJV95ndoohHqL+FY+6auH5kvSQd7ru96aMLOwDslP6dOzCOFE0XACSyrvysLrOj+o5wpEZ6NFbOR5dXIJB1e0+WG+EbbxzUMDWk/RwC1TKPndPvMtrd95FvLmolkOstPge4bd3oURvV8Ko59M7OnBB7Jzecgu2iJqD5tmb/q5Psi+jJ/Xw0+NC70VJsL2snzOz330w5cyGarn8JZcho3BXSnPTsrSa9KmuQbWUJ/hQfWnAPKBje9LkRkfjYZNIyv9EKAY44tVRYtVRYttUayUNm1P0fwFshp9f7QJmaIs0mJGiSSDbgdHsOPqtz6lPSC8/uu5SVqNwi9VkUUXJgqagpQ8c2VmRtJBqcN+M6ZlTS2IF03ptJLstTYcarFOts5hnZ2V6W418lmpZI18VvcxAEuHb188k1JE/N4ifc/I3irthg74vQqdoneKdqLXT0IR41oe4Qwrk4/T6qrIDryKGLAnw2rokVFs6vIUvZbjjNHeAnypxeZbgfhMCYGMmyZY+iCAzsFOnZ1dO40grbNt2vbKlgv6bqiqdbjCJbsZz5sBTmk726ZtT2xZ+G8324ttZUs8Ls3Ozk48rdOt06xTrTNXBet469jbQ7K3h2AIgyEMhjBMhIYnGp5oeCLxdMJ27t1J8MkVndt07tKNJRTvPnlYrWP0pGIdVL7kq7mmHX9t7Jy3dS6t9aM8K09AbMWuQ16cCY7+fjRXChVkophw2LMQPr1O6V7qy7xDlzOmrmUq2hRio3QuU8bBDFFOfZkynmZ865TaMmVczjAQeV+m7P6yvnGVZ8qkymVEbzkvFYd5kwkHe35hGpbLTSA/x3VuUoLsO4d1bpKCiC4v9WgXJi2oWuW1+hEum9Ob2Na5eefKr7rOZZvr65dW4MossMPM7eu9zaKoTq331q1wiinEUhQJlzvtuH6XEkxu7McTCjSNfSCQ03eJtgJVBTr5hqU//bXfaCjIoSB4/Bwg+ntf/H5iguzcCp5GCkOkp6xAhUDO3sJIT16BkgJBMwYM6UkrSCTJLEIpPb489dnOWtNTLtrsQc3TvDerClnRSXUKXxoZlkAs2RYHK/ZYxergYjfRIbI+w1pxPPQbsYsPEufzRewE2RVE64r/AV1bpiQ=###6424:XlxV32DM 3fff 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###4924:XlxV32DM 3fff 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###472:XlxV32DM 4df 1c0eNq1kz1uwzAMRi/TA0iifj8vOUCG5AiSLI0FMhu+e0U6gAsnWZoUHvgA2n6ExA+0nJsCLbe5T9+UM9QoxY+yPm/oVw0zreu6UNJQsONRBs4quJzgtZ6+KDdo5RkqcpvOlAs+rzei96z3vsNXj2AaQhq1t+MYaRvDfXKM5w161bD3wWk/tzgGjikg9n4cuP7XuVnRE+uTm5FqPqrLpjZ/UIvB/TK0Gdk9GOY3DX435DAM7cHQ3zSE3VDUjBKOhqLfMayn02W5DomarhFKT7fKfJuFjfUOTmpH4RrUvRJ0SUIOxkShAJO8UIZpRqiC7PZFAxViihpWy98jYbwr5GC3L2LkFAtlzrJQlUQzdQ4Z04j9iJoQceCEHMdOKEj4mDJvt1DlHRdqsumDsublEyJZQSbP6yIUZWmYCl+w0HbNg4riKxEycjHjMH8AXDRj0w== \ No newline at end of file diff --git a/toplevel_map.ngm b/toplevel_map.ngm index f38f334..fc375af 100644 --- a/toplevel_map.ngm +++ b/toplevel_map.ngm @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$8215=6:2.Yi{g|inl9$4(5=<*/=<5+Rdtjwlii2);%98.+2:/25>6239:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?012255=789:;<=>74333456682:;<=>?092345678920<=>?81230>6781;;7=>>002240729<;>=?5?15935563398;<95?8127?5>FM=1;5<6>0:2:=56789:;<=>?0133?5?>89:;<=>?01;:44=6991:==>?0122456789:?740c8564:35>LHW]]0OELJF<0794;7338<1EC^ZT;FJFAO;9<0;2<<4178JJUSS2mce0<;50?36?40=G\^[YY4KO@FL843=87;>7<85OTVSQQ10364D6789:?>6?W1:024>45:98;>=?<=AGDEBC@AN=19>?:>0:01B745N;LMJK<=F337?70>7GAPTV9@LVEL@Z7=7>11291>LHW]]0\ILYJL=394;743;0BB][[:VGAS@S;93:5=85=:NWWTPR=LFZJHB^31;2=50=52F__\XZ5DNRA@JV;93:546=LCBCBED773=:MJKH;012ABG@7811?==>;00224>23<=>?8=H;F5D7B5723=0DYY^ZT;r`iZir|591<3:44G6755=3N=>MJKH;F567B1253<>:<6;:8C76<=3201?>45?46395E1=1IHK37;ONA@CBE46<>HKJMLONA@CBEDGFm298::54083C773>LMJKHIFGDEBC@AN813>66?119;456789:;<=>?0127?=439m13?#=<3210765499134567D97;<=>?01?:8665OTVSQQ893974;=;8C7?6O=2:C;6>G><2K25464A@C:E991JMLONA@CBEDGFIH237LONB@CB<46GCL[K\^LJKR6a8EABUI^XJHI\7c:CG@WGPZHNO^4k4AEFQERTFLMX7<3h4AEFQERTFLMX7==0i;@FGVDQUIMNY02;2=b>GCL[K\^LJKR=31:`=FLMXJ[_OKDS>2:`=FLMXJ[_OKDS>1:`=FLMXJ[_OKDS>0:`=FLMXJ[_OKDS>7:`=FLMXJ[_OKDS>6:`=FLMXJ[_OKDS>5:`=FLMXJ[_OKDS>4:`=FLMXJ[_OKDS>;:`=FLMXJ[_OKDS>::g=FLMXI^IOKDSa8EABUJ[NJHI\?c:CG@WDULHNO^g9B@ATEZMKOH_2>2?g8EABUJ[NJHI\31?g8EABUJ[NJHI\32?g8EABUJ[NJHI\33?g8EABUJ[NJHI\34?g8EABUJ[NJHI\35?g8EABUJ[NJHI\36?g8EABUJ[NJHI\37?g8EABUJ[NJHI\38?g8EABUJ[NJHI\39?g8EABXOEEIMAQ_IO18EAT682KNMLONA@CFED6F8H;;7LKNE@G3GD4F:H8;<>5NLM78EV_IK01J_T@L_SGD6>D6:2H9==5MBC@AFG@?012345><;CWE0>DRNNl0NXHH_MKMOVYCKDY=7O[IIN0;?GSAAF8MN<>4BTKO@ZRFZNO_M_MG8:@VWZOINF;0O<>4C1634F63;9;;<>>>2:A2f>EFXHIBXL@LE99@G6EFIHK:<6MLCB1@GFGFIHKJML??;BA@GFED1JIH5NML939@A44EKC;=;96MCK3Z;?FJL:Q;3<85LLJ;31>EKCH;<7NBDA0F13>EKCH;O8:5LLJC2@=?EKCOR:4=m4CMIE\ZBN^@NNi6MCKGZ\IPJSAYFR96MCKHL25>EKC@DTHDXFDD]A]Z4d3JF@ECQ_ESKL@442IDBN[]8:ALJVRFF];:7NAASUCMPZRUIZBHMC?;;BMQAZABFLXJXDAA_HLEK2=DZLK_II=4CUN6?FRKOF=0OYBBOEG4?FRKGZI:;6M[LNQ@62=D\EYN@=94CUNPAI703J^G_HB=1:F7?A3?8j1OMYOPWHFWLZGd3MK_MRYFDUJ\F3=CKDUX\i5KERIFSZGCB\Y_=?5KFR]EV@WRMGIRS@AKE39GM0=CAHNB:6JFAEK32>BNIMC:;6JFAEK242=CAHNB=<94DHCGM4403MCJHD?<7:FJEAO6<>1OELJF1448@LGCA;<0HDOKI248@LGCA=<0HDOKI448@LGCA?<0HDOKI648@LGCA1<0HDOKI8:8@LGCA5:556JFAEK?558>3MCJHD2>1?;8@LGCA5;9245KI@FJ845912NBMIG31519:FJEAO;9<437IGNDH>2:==CAHNB0?07;EKB@L:4611OELJF<5<;?AOFL@6>255KI@FJ838?3MCJHD28>99GMDBN41437IGNDH>::0=CAKNB:6JFBEK32>BNJMC:;6JFBEK242=CAKNB=<94DH@GM4403MCIHD?<7:FJFAO6<>1OEOJF1448@LDCA;<0HDLKI248@LDCA=<0HDLKI448@LDCA?<0HDLKI648@LDCA1<0HDLKI8:8@LDCA5:556JFBEK?558>3MCIHD2>1?;8@LDCA5;9245KICFJ845912NBNIG31519:FJFAO;9<437IGMDH>2:==CAKNB0?07;EKA@L:4611OEOJF<5<;?AOEL@6>255KICFJ838?3MCIHD28>99GMGBN41437IGMDH>::2=CAYKOE]64DHRB@LV702NB\LJFP0c8@LVFL@Z7<3m4DHRB@LV;93:5m6JFP@FJT979?2NB\OJFP99GMUDCAY:37IG_BEKS5d=CAYHOE]2?>b9GMUDCAY6:6=0n;EKSFAOW484=7IGYCMI5?AOQAMOo7IGYIEG\F\VF[Z;97IBC_GPFUPCIKPUFCIK:;EMB@J08;EMB@J76?2NDMIA>269GKDBH9:=0HBOKO064?AIFLF;>:6J@AEM12>BHIME8:6J@AEM72>BHIME>:6J@AEM52>BHIME<:6J@AEM;2>BHIME246J@AEM?4;?89GKDBH48;556J@AEM?578>3MEJHB2>3?;8@JGCG5;?2o5KO@FL843=8730HBOKO=36:==CGHND0<07;EMB@J:5611OCLJ@<2<;?AIFLF6?255KO@FL808?3MEJHB29>99GKDBH4>437IANDN>;:==CGHND0408;EMB[WC@=2NDNIA9;EMA@J613MEIHB?8;EMA@J77?2NDNIA>169GKGBH9;=0HBLKO014?AIELF;?;6J@BEM213=CGKND>;5KOCFL73=CGKND8;5KOCFL13=CGKND:;5KOCFL33=CGKND4;5KOCFL===CGKND0=06;EMA@J:68730HBLKO=32:<=CGKND0<<19:FLFAI;9:427IAMDN>20;d720HBLKO=5=<>BHJME74364DN@GK9?9?2NDNR\JG89GKKRXKHXN;6J@P@FLT==CGYKOC]>7;EMSEAIW9h1OC]OKOQ>3:f=CGYKOC]2>:1BR\PUHUNBJ_BMQV@ESAFD:7H<4E5:8ACCB<<>?;6KAAVPFJ2=BFKXOICl4EO]QWQTFEVKi7H@PRRVQEHYE981NT]OADDF\FFBXN@FN=95JXQCM@@BXKFDXX_OFNUQ25>C_XHDOIIQFSD]EMIC?3O:JM>MNA39E67=A<=1M:;H7;G45BC@AN;1M;l5IABVLV\YMN<1MMA]J2:DG7>@CK=1MHNK:;GF@T@55IDS68BATB=2LO^^K;;GFPA1=ALV;37KKJE467046L<=2@016D45991MJKH=FG01BC4ANOL37KHIF6@GA==ANOLMJ:H7;GDEBC@AM8:0JKHIFGDFBC@BNOLN==5IFGDEBC@?81:3<=>>0:DEBC@ANOKJMLO7A@33?C@ANOLMJKHIF@CB<==AAEOEM@Kk;GKOAKGJMVXDCY:4FSCDf>@UINIDZH\JD`9EVDAXJHYNHo5IR@E\GJHAANk0J_OH_BMVJQ?0KH@JR@VF@%VH[]'M@D@[139DAKCUI]OO,]A\T,E046=@FM?0K_AZP39K57=O:81B>6G?2:K26>O5:2C8>6G;2:K60>OE]O?0EO[IG028MGSAWMOGMTQYAMWFg>OE]OUGCZQ_WS08MK5<9:KMMQUSI]O<7D@FT^233>OIA]U;=:5FNHV\471L7:KMMQY7L>1BBDZP0D58MKOSW9L<7D@FT^333>OIA]U:=:5FNHV\571569JJLRX9?=0ECG[_054?LHN\V;3;6GAIU]2=2=NF@^T=L94IOKW[4D03@DBXR?L7:KMMQY6L>1BBDZP1D58MKOSW8L=7D@FT^C5?LHN\VH27D@FT^DJH@`1GEO\NRX48HJGCMM90@BM9;MM@O@B03EELENOC3:NLS6=K]]>0@XZ>4:NVP72>032g>Kdg|dSnbd_0ok~Zei|Vrd~1<1103`?Heh}g~ToaeP1ljy[fhsWqey0>0>10a8Ifirf}Uh`fQ>mix\gkrXpfx783?>1b9Ngjsi|ViggR?bh{]`jqYg{6>29:O`kphsW`ngiRm`uovfvZe~494:m6Clotlw[lbkmVidyczjr^az84699m1Fob{at^kgh`Ydg|diQly=33:Zts9h1Fob{at^kgh`Ydg|diQly=32:4b1?]qp4g2?3g?Heh}g~Teibj_bmvjqcuWjs7=?0Pru3b?Heh}g~Teibj_bmvjqcuWjs7=>0>d:O`kphsW`ngiRm`uovfvZe~4895Sz>a:O`kphsW`ngiRm`uovfvZe~48>5=i5BcnwmpZocdlUhcx`{es]`}9736Vx=l5BcnwmpZocdlUhcx`{es]`}97268n0Anaznu]j`icXkfexh|Pcx>21;Yu|8k0Anaznu]j`icXkfexh|Pcx>22;7c3DidyczPienf[firf}oySnw317<\vq7>3DidyczPienf[firf}oySnw31?3`?Heh}g~Teibj_bmvjqcuWjs7=3Q}t0;8Ifirf}UbhakPcnwmp`tXkp692Kdg|dSdjce^alqkrbzVir090Pru3:?Heh}g~Teibj_bmvjqcuWjs793?l;LalqkrXamfnSnaznugq[f;=7Uyx<74MbmvjqYnleoTob{atdp\g|:168i0Anaznu]j`icXkfexh|Pcx>5:Zts901Fob{at^kgh`Ydg|diQly=5=5f=JkfexRgkld]`kphsm{Uhu191_sv2=>Kdg|dSdjce^alqkrbzVir050>c:O`kphsW`ngiRm`uovfvZe~414T~y?6;LalqkrXamfnSnaznugq[f;17;h7@m`uov\majbWje~byk}_b{?=;Yu|8k0Anaznu]j`icXkfexh|Pltv?4;473DidyczPienf[firf}oySa{{<1<\MKPX88k0Anaznu]j`icXkfexh|Pxnp?4;7e3DidyczPienf[firf}oySua}<02=5g=JkfexRgkld]`kphsm{Usc2>1?3a?Heh}g~Teibj_bmvjqcuWqey0<<11c9Ngjsi|Vco`hQlotlwawYg{6:?3?m;LalqkrXamfnSnaznugq[}iu48>5=o5BcnwmpZocdlUhcx`{es]{kw:6=7;i7@m`uov\majbWje~byk}_ymq84099k1Fob{at^kgh`Ydg|diQwos>23;7b3DidyczPienf[firf}oySua}<05=[wr6i2Ghcx`{_hfoaZeh}g~n~Rv`r=3=5d=JkfexRgkld]`kphsm{Usc2=>0c8Ifirf}UbhakPcnwmp`tXpfx7?3?n;LalqkrXamfnSnaznugq[}iu4=4:m6Clotlw[lbkmVidyczjr^zlv9399h1Fob{at^kgh`Ydg|diQwos>5:4ga:O`kphsW`ngiRm`uovfvZ~hz535o6CfnnpUawungg80B=<4N018J4633G;;<95A1137?K77::1E=?=4N060?K7?:2D9?6@=029M635H4=:1E?;=4N250?K5?;2D85?5A429M055H3?:1E85=4N5;1?K343G?;?6@:129M175H21;1E:>5A6118J3743G<9?6@9329M2155;2D3?>5A8418J=143G22?6@6129M=650BB@J8:LLPZVOQZ;0C;5@.73{`==H&?;shRBi;N?+,L6-v@;"'D<&159L9-.N8IC:%&&F2A|J7,/6m2E6$%G?@K2-/./A;JB?$$'I5(J1,/.9o1D1%&F0A|J5,,/ @8KE>'%(H6CzL3.! ;?7B3'(H2CzL7."!C9LD=&)078K8./A9JuE<'%(H0CzL5.!=h0C0&'~H2),-.yA8 B>$%'I0(+M7FyA=#"%'G<)()+M5,/ !tB='G=)**J5/.N:ItB8$'&+K0-,/4;2E6$%pF0+*+M4FN; !#E?%F4(+*/-O7"!"B=MG<)**}M7-N< #"%9?4O<*+zL6- !C:LsG<)+*}M7,/A= uE8'&)()+M5,/ @;KrD=&*)K1.-O3"wC>%$'&)2a8K8./v@:!$%G=*K0-..N<#tB9$'&+)K3.-xN9""#E?$qI2+(,L2-v@?"%$'&3`9L9-.yA9 #$D%$'&+)K2.-.yA;!#E?$'~H1(,L5-A=#"%$$F5(+*-..N8#"#rD?%()K1.-O4"wC?%$%qI4+*/-O6"!tB>&&qI2)+M1-yA<#"%$'&)758K8./v@:!$%pF1+*J6/.N;#C?%$'$(H3),-xN:""B>'pF3(+)M1/.! !#E=$'(K2.-.N:#C8%&pF4(+(,L7- wC9'%pF3*|J0,/.! #9j6A2()|J4/./v@;!$D<%(H1(zL2.! !#E<$F5(+*/-O7"@?"%;94O<*+zL6- !tB='&F2+*}M6,N< #"'%G>*)*}M7-/A; B?$'%I5+*-,-/A9 #$sG>*)*J6/xN; !uE9'&+)K2.-xN:""B?&pF4(+*-,/4i2E6$%pF0+*+zL7- @8!rD;&)**J5/.N:""B?&G;)(+*-..N8#"B>'pF5(+*06=H5!"uE=$'(K2.-O4H@>"%&&F1+*+zL4- @9KE9'&+)K1.L3.! #"'%G?*)K0DL2.! >=7B3'(K3.-.yA8 #E>NqI5+*/-O6"!"uE?$'I2B}M1/.#!C9&D;&)(+*/-O7"!C8LsG;)(+7g>I: !tB<'&'~H3),{O5"!C?&D;&)()J7,/, @:!$%pF1+*}M7,/v@9!$D:%I4+*-,-/A8 uE>'&)(65?J;/ wC;&%&qI0(+zL4- wC8&%pF4*|J1,/.!""B='&F2**J7..N<#C>%$'&)()+M5,N9 #>96A2()|J4/./v@;!E8'$(H3),{O5"!tB?'&qI5(J1,/.! # $D>%()|J5/O2!""B='&F2**J7..N<"C>%$'&)(+0g>I: !tB<'&'~H3)M0/, @;!$sG=*)|J7/xN< #"%$%'I1(+zL4- @9!E9'&)(62?J;/ wC;&%G>*)K1.-O4"!C?&D;&)(+*/-O7"!tB=&&qI3)+zL5, wC?'sG:)(+*-,513F7#$sG?*)K2.-O5"!C8&D:&)(+(,L6- wC:'%pF2**}M6-yA=#"%$'=f:M>,-xN8#"B='&F2+*}M6,N< #"%&&F0+*J6..yA:!B9$'&)3`8K8./v@:!$D?%(H0)M6/.!""B<'&qI0)+zL4,v@9"%$'=a:M>,-xN8#"B='&qI3(J7,/.#!C;&%&F1+|J6,-N; #"845@=)*}M5,/A8 #rD<%I4+*-..N8#"#rD?%(H1(M1/.#!C:&%&qI3(J1,-/A; #E>%F4(+*-,/.:j1D1%&qI1(+M4,/v@8!rD=&)()+M5,/ @;!rD<&+K0-,/5j2E6$%pF0+*J5/.yA; uE>'&)**J4/.yA8 #E?%F3(+*-76%(H3)M7/.#!C;&%pF1*|J6,/.;k1D1%&qI1(+M4-/ wC9&D=&+)|J0/O2! #"'%G?*)*}M7,N; !#rD:%I4+*-,7b3F7#$sG?*)K2/-O5"@9"%$%'I1(J0,/6n2E6$%pF0+*J5..yA; B?$'&+)K3.L2.!:?0C0&'~H2),L7, wC9'%pF3*K7-,/.#!C;&%pF1+*J6/.N;#C?%$'&)318K8./v@:!$D<$I2+*/-O7"!C:'%G=+H1*-,/5n2E6$%pF0+*J0/O2! !#E=$'~H3(,-xN:#C8%&&F4+K6-,/.!;n0C0&'~H2),L2,A<#"'%G?*)K2/-O5#!C8'%G;+H7*-,/.!;h0C0&'~H2),{O6"!C9&D=&)()+M5,/A8!#rD<$~H1*-,/5=2E6$%pF0+*}M4,/A;JB?$'&+)K3.-O6"wC9%$'<5:M>,-xN8#"uE<$'~H0),{O4"@>"%$'$(H2),L7, @8 $D=$I5+*-,/4>2E6$%pF0+*}M4,/v@8!$sG<+K7-,/.#!C;&%G>+)K1/-O4"@>"%$'&2c9L9-.yA9 #rD?%(K1/{O4! # $D>%(H3(,L4-A:#"%$+K1-,-/A9 #E<$F2(+*7d=H5!"uE=$'~H0),-xN;""B?'G:)((}M1/.!""B<'&F1+*J6..N;"C?%$'&)068K8./v@:!E<'$(H2)M7/.9<1D1%&qI1(J5,-/v@8!E>'&f:M>,-xN8#C:%&G=)0;8K8./v@:!E>'$(H2),L7-A;#"%>k4O<*+zL6-A<# $D>%()|J5/O2!""B='&'~H0)M0/, @8!$D=$(H6(M0/.! #"%$<6;N?+,{O7"@?"'%G?*)K2/-O5#!tB?&&F4*K6-,/.! 8n7B3'(K3.{O2!""B<'&qI0)+,{O5"!tB?'pF4(+(zL3.! #:h6A2(H2),L7- @8!$D=%(H6)M0/.! #:56A2(H2),L7- @8!$D=%~H6*-,/582E6$D>%(H3),{O5"!tB?'&qI5(J1,/.! ;?7B3'I1(+M4,/v@8!E>'&)078K8.N8#"B='&qI3(}M6/.!l1D1%G?*)K2.L4.!8n0C0&F0+*J5..N:""B?&&F4*K6-,/.!l1D1%G?*)K2/L4.!8o0C0&F0+*}M4,/A;!#E>$'I5(J1,/.! ;>7B3'I1(+zL7- wC9&D=&)(;8K8.N8#C:%l5@=)K3.{O6!8n0C0&F0**J5..N:""B?&&F4+K6-,/.!820C0&F0**J5..N:""B?&G;)(+*=>I: @: E<'6;N?+M5FN9 k0C0&F0A|J5,5e3F7#rD>%()|J5/./v@8!$D=%I5+*/-O5"!tB?'pF4(+*-..N9#"B>'G<)(+*7`=H5!tB<'&'~H3),-xN:#tB9$%'I3(+zL5, wC?'sG:)(+*-..N9#"B>'pF5(+*-70$'=3:M>,{O7"!tB='&qI3(+zL5- wC?&sG:)(+*-40;N?+zL6- wC:&sG=)(32?J;/v@:!$sG>+K1-,dI: wC;'%pF1**}M7-/A:!B8$'&)318K8.yA9!#rD?$(K1/-xN;""uE9%qI4+*-,/682E6$sG?+)|J5.O5! ;:7B3'~H2(,{O6#wC9%$;4O<|J41=HJ\L>7BLZFU18KKC33FZJH>5@UU38T6=WIM>0\L\[579SAWOHL)(h`fQ>2ljy&%>4;:9%?=>?01234%vT(ACLD-;?.123456789*.,D@_UU]IMQRBZ);;"=>?012345&w[:k0\H\FOE"!gimX9dbq.-??01234+6789:;<=>/pR"KMBN'=9$;<=>?0123$ 533YOYEBJ/"raoo'&6:<:;<#>?0123456'xZ*GCZ.:0/23456789:+)9k4PDPJKA=<328KMBN==9/1EC^ZT^HJPQCU28:1|^=8;QGQMJB=keaT=?cgz;:0765);3zX6EGHH;73!?OIX\^TFDZ[ES824?vT::1[I_G@D;aooZ7j`s0:<=>?018sW?NNOA0><(?k;QGQMJB={jf`6<<:0129tV<(l4PICPAZUOAO^37]FVSHABHd=WAGUIY^GKXc9SMKYE]ZDJAHl4PHL\MVEKGJA27]EPHMWWJH>0:PFV@UHD_OT\L\NMR:8VKTXNEE[?6\@M29QWQ2Tb{|f0<0>_GPA=>Tb{|f0?0j;Sgpqir;:7;TJ_L6;Sgpqir;;7o0^h}zlu>0:4YAZK30^h}zlu>7:`=Umzgx1:11^DQF<=Umzgx1;1e:Pfwpjs4<4:SK\Md:Pfwpjs4?4TJ_Lk;Sgpqir;?7UM^Oj4Rdqvhq:?6VLYNi5]erwop9?9WOXI=6]8;RABJJSS;2YO\>5\EMa8W@TCMZY_^BLJ3:QFP==TM]XN_HZ<;RDE54=T@[OLSHV[R@AW[KADm2YC^HIPMHL\WL\B12YBKRM@UOV27>UNEVID@AG\INL\GMCDCh1XGHYLLJKM5d=TCL]H@FGA279PO@QNF>1XGHY@UU58WNCPZZ^37^EFP^RJJ6=TFB;;7^^9_CWEMJYNFZOYXKLPDBO16>UW>VCE_RLZFHM1BGYCKDUF@RLZFHM1BGY6981X\;QBASI\FP@@WMIF?6]]Ce9PVFYOALXJ^NFV4:QQWQ1<[[\J@RO8;RPUEIYE<2YXIYm4SUCQPPVX_HC_:6][AUWP3>USI]_X<:5\T@VVW41<[]K_Y^<8;RVBPPU4?2Y_MY[\469PPDRR[<=0_YO[UR44?VRF\\Y<56][AUWP858>3Z^JXX]31?;8WQGS]Z69245\T@VVW95912Y_MY[\<5<:?VRF\\Y79374SUCWQV:16k1XXLZZS=594;?<[]K_Y^28>99PPVGCZZ:37^Z\AEPP5==T\ZKO^^<7;RVPEATT;11XX^OKRR6;?VRRKBUJX95\YOA:?V_IKVK_X_?=;R[PP@KX[PDHD_AAOTQ2?Q`<\HXLIYQ_ASVW]UC33]H^J>5[DQ:8P@TJAGKG:6ZFENDE<>RNELY[IN94TOO\J@R6;2^YMC]IOSOBPLIIW[_GIo5[R@LPTDTBF]90X_G7;UPJWQGSM=1_^XKm;UQ\PLKB[YOH:6Z\_ULN50=S[ViggR??0ljy[lYddblso6Z\_bnh[44j`sh0X^Qllj]2im|03]YTnbd4:VZT@123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlmh hohld[dcjx}sT\Z\P32]l[HS_W0Ud=<9:;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"Cbuy]de`(`g`dlSlkbpu{\TRTX;:UdS@[W_8]l57123\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlmh hohld[dcjx}sT\Z\P32]l[HS_W0Ud=596;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"Cbuy]de`(`g`dlSlkbpu{\TRTX;:UdS@[W_8]l5=YT_9=27X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&GfyuQhad,dklh`Whof|ywPPVP\76YhWD_SS4Q`19]PS41c3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlmh hohld[dcjx}sT\Z\P32]l[HS_W0Ud=5Q\W0]PS5173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlcd`h_dosp|YW_[U8?RaPMTZ\:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'Dg~tRi`ioe\ahvsqVZ\^R=<_n]NQ]Y?Wf;8;95Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$A`{w_fmjjbYbey~rS]Y]_21\kZKRPV2Tc<=PSV244>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-Nip~XofcekRkbpu{\TRTX;:UdS@[W_9]l51173\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlcd`h_dosp|YW_[U8?RaPMTZ\:0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'Dg~tRi`ioe\ahvsqVZ\^R=<_n]NQ]Y?Wf;=;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$A`{w_fmjjbYbey~rS]Y]_21\kZKRPV2Tc<99e:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+btt{'xxx~!had,dklh`Whof|ywPPVP\76YhWD_SS4Q`5e9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} g`g-vdkXilg{xtQf689V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*au{z$yy} gnkmcZcjx}sT\Z\P32]l[HS_W1Ud9o5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.eqwv(u{}y$~lcPelrw}Z`eW`?37X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&xjaRkbpu{\m1`<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l72;2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#jPpovq[ujr{86;28k4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-q`Zvi|{U{`x}=<1<\mkp6W@D]S=;<;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vaYwf}xT|a{|2=3=35=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| Mlw{[be6&je~byU<]^EM@Z7?8VeTaxvP2^MVP67082_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-Nip~Xoj;%ob{atZ1^[BHCW82;SbQbuy]1[JSS<8?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idycz32?76?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkr;;7 xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczT3\]DJAY609UdS`{w_3]LQQ:56?i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byU<]^EM@Z7?8VeTaxvP2^MVP959==1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexR<:4:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo< lotlw[63?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`5+eh}g~Th<2>>4:8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjqYc9585955Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f28682?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi?P1458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjqYc9V8>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{_e3\70><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}Uo>1>1599V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb5484>46[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{_e0?6;3?3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~}`{r.e`5+eh}g~Th?2<>458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjqYc:V:>;6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{_e0\501<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}Uo>R<:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo< lotlw[a4X;><0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'Dg~tRinf/elmkaXiog`RIAD^3;XgVG^TR?=_n3;3d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,IhsWnkm"jafnf]bbpjkWNDOS<67_n]NQ]Y6:Ve:4R]X06g8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/Lov|Zafn'mdeciPagwohZAILV;34RaPMTZ\57Yh91UX[=Q\W15b?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(o~yx"z}{s.Onq}Y`io$lcd`h_`dvhiY@FMU:45Q`_LW[[44Xg82T_Z?84:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!Bmtz\vdkXn|fgSnabb^EM@Z7?0VeTAXVP10]l57133\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*Kj}qUym`Qiumn\gjkeWNDOS<67_n]NQ]Y69Ve:?:64U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#@czx^pbiZ`rdeUhc`lPGOF\5=>XgVG^TR?>_n30[VQ7?=1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(EdsSob_gwohZehekULBIQ>89]l[HS_W8;Tc<99f:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+bqt{'}xx~!hag,dklh`Whl~`aQHNE]2<=YhWD_SS<S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'{kfSz|Peo]j523<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo< lotlw_6[XOGNT=58Po^ov|Z4XG\^8=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg4(dg|dW>SPGOF\5=0XgVg~tR081:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|R9VSJ@K_0:5[jYj}qU9SB[[<3<45>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexV=R_FLG[4>1WfUfyuQ=_NWW868212_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^06=>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexR=:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|Vn:0<0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|Vn:0?0:d:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|Vn:0>0:c:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|Vn:S<;l;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc0,`kphsWm;T>8m4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl8U89i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:5:59i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:5;59i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:5859i5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:5959n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:V:>o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmpZb5W8?h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo< lotlw[a4X::3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rtXxg~yS}bzs3>2:g5<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-i`k(okg%koch.f`ncd(iolih"och/flbplpbjdm%blzfvd]ahnYjmdUlic!yamkg*fusz&xjaaa`pZ2^*wgj&{%x:R``iokw*wgj'ZN["ZGKE^RQMH?)zhgih6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/eaib(`jdmj"cijcb,aib)`fh~bzhlbg/lbplpbWkf`S`kb_fgm+sgkam$hy| r`ookjv\8T$ym` }/r4\jjoia}$ym`!\DQ,TMACXX[CF5#|nm^kmwpjf|l:>=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"imm/vntZtfeVxoSh`:2:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#cjm.eai+rjxVxjaR|k_dl276=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/scn[wbXmg987X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)uidU|~Rka3g9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#jPpovq848Xag|:SD@Y_16`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-vaYu{}Uyij2?>5a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,q`Ztt|Vxnk1<14c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/pg[wusW{olS=:m;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumnU98n5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{ynae nfuq`wus&nxxx#y}_sqw[wc`494?o6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!agvpgvvr)o{y"z|Prrv\v`a;97>i7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%{Q}su]qabY75ZCG48QKSTMMi0Y^K]_WKPMGJBj2_XIRJ@UCNFV6=QKJ;87[K]Y^JJCMYAZL[^ICMV9:TJARYSQYO=7ZKNWDN4?RCF_LF;;6YJAVGO5<=PMH]N@1>1b:UFERCK480;245XE@UFH979>2]NNZKZ7:UFFRCR8>1\IOYJU0;8S@DPM\6;2o5XECUFQ97=8730[HLXET>2:g=PZ@^NSKG]SUa8SWOSMVGDHHQNc:UQMQCXEFNNSO?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED=4XNP6?]IUKP<0T^ZCICg8\VRJIJXDS_OB_03e?]USWHN]MCMJD^OBGWIXIJI^S@okd^DE3>^T\VMEH:5WSU]UGFd^XKFXNSD@IO^NJWQ07:ZglZKfxJey~NbfpqggPwgt`820TifPM`r@kwtDggymcz[r`qk56=_laUFm}M`rsOfvbcc\f;<7Ujg_LcsGjtuZlgdzhj[r`qkf>^c`VZye`Gkxc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb:5Wdi]Web`_b{=1QI_A73:]\[]JIEVUT!ws-ttkru'Dg~tRilc1,dg4(dg|dW>SPGOF\5=0XgVg~tRR.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^7:3?;5:]\[]JIEVUT=?QP_qanw^7Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?2;73=2UTSUBAM^]\56YXWyifV]^cg`Z6XG\^7:3?;5:]\[]JIEVUT=9QP_qanw^5Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?2;75m2UTSUBAM^]\50YXWdof#~~f_rnbr`)Je|rTyo{e^lf|q76<81TSRVCNL]\[40XWVgna"}i^qoesc(OGNT=9Q`_rvbp`YumnQ8QRk~u`n\56Yh4:4:?k5P_^ZOJHYXW8=TSRcjm.Onq}YflmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^>=<o5P_^ZOJHYXW83TSRClotlw[fjlW8gcvRmat^zlv97998=n7RQPXMLN[ZY6WVU^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IhsWni:"naznuY0YZAILV;324;7X[^:8>6QP_YNMIZYX:8UTS`kb/em\rdjnlVkohQgomfX3XYFFMU84g9\[Z^KFDUTS?]^cg`Z6XG\^Ttb|35?320c=XWVRGB@QP_36\[ZvdezQ9Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRv`r=7=542a3VUTTA@B_^]11ZYXxjgxW>S!pbo,IdbcWNDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?1;76:<1TSRVCNL]\[70XWVgna"Cbuy]qabYulVkoh8>249\[Z^KFDUTS?9P_^ofi*Kj}qUyijQ}d^cg`w269h1TSRVCNL]\[7>XWVUe<<:>_hlu57>299\[Z^KFDUTS>>P_^ofi*uwaVygm{k si]ma}r;<79946QP_YNMIZYX;8UTS`kb/rrj[vjf~l%xdR`jxu>1:64b3VUTTA@B_^]06ZYXelg$}gPsmcua*Kj}qUxdR`jxu075475m2UTSUBAM^]\76YXWdof#~~f_rnbr`)Je|rT}gPmhql[j76:h1TSRVCNL]\[3YXWDidyczPcmi\5hn}WjdSua}<2<254?j4_^][HKKXWV3TSRcjm.Onq}YflmxTdbbk[6_\eabuWaeghV9R_lw{[45XG\^8=l5Pn12;3Zoi~k1Tb=>77^kmr4b>22]jjs7f3Vd;=>8Piota?Zh79:a:]m4426W`d}n6Qa0062[lhq9j1j``a|t^gntqe3hffc~zPftno7>ekch1h`fQ>01ok~4`e3jf`S<>?mix/gimX`pTz>Q=,zaliuiimg~Tblcj=bnh[467eap'y~kPpicpaZgkandficz2tswf(pubWdceSbQeiuvfv8`fdzo'y~kPm`z\mZln|}oy1kocsd.vw`YcqgU{dl}j_rjjbq;aieyn x}j_hl`hnYt`~hdbxjr=<321076*ddbce>R~jrhmg9=5);:98?>=<32.vw`Ywg~oySiaxnekcV;;<`fu_h-25>ekcV;;<`fu_h-`hn66=2iggR??0ljy[l)ddblTnxh>2:aooZ778dbqSd!lljda57=ddbU:<=cgz^k,gimap890oaeP112nlYn'jf`ec?>7:aooZ778dbqSd!lljkm5Zdrn820oaeP112nlYn'jf`cxz>_cwe5==ddbU:<=cgz^k,`fkX{yUbb~z=6:aooZ778dbqSd!kcl]ptZoi{}UF@R@JW^FJRFJL:81h`fQ>01ok~Zo(ljgT}Qfnrv\IIYIM^UD=?5llj]245korVcToaeix89`hnY6:dbq46mck^3nlbmix\gkr;<7l0oaeP1ljy[fhs4<4n7nbd_0ok~Zei|V:n7nbd_0ok~Zei|V;n7nbd_0ok~Zei|V8n7nbd_0ok~Zei|V9n7nbd_0ok~Zei|V>n7nbd_0ok~Zei|V?m7nbd_0ok~Ztt|ye?6jkm79gmk:76>1oec2>0?58`lh;984<7iga<00=3>bnf5;82:5kio>20;?69gmk:6=7<0hd`31?48`lh;:7<0hd`33?48`lh;<7<0hd`35?48`lh;>7<0hd`37?48`lh;07<0hd`39?58`jss49437iazt=33:==cg|~7=<07;emvp975611ocxz312<;?air|5;?2l5kotv?50<7611ocxz314<4?air|5;5;6j`uu>1:2=cg|~7?394dnww81803me~x1;17:flqq:16>1ocxz37?58`jss414<7iazt=;=1>cjx}s<7ko|tbnh0>`nnf;;4k5iigm/bl`hWnoeio{os]u#c^cstiodi}cdbRzvpd]b|lu:8%iTm}~cibcwmjhX|pznSolh<2/gZgwxechmyg`n^vzt`Yuljb6<#c^c{mZgu}zoySzgkti?2(fYfp`Uj{x}jr^uj`qn:9%iTmugPbtqfvZqnl}b6=!mPayk\`drfW~coxe394-a\e}oXamU|eizg=5.`[d~nW{xiQxievk94*dWhrbSywe<2/gZgaV}~h|Pwhfwl87+kVkse~Q{d`vb[roc|a7=8!mPaykp[qbb{}U|eizg=5.`[d~n{V~bhRyfduj><)eXiqcxSyejeq]tmaro5=&hSlvfs^vppwdX`nd0:#c^c{mvYs}zoySzgkti?7(fYfp`yTxt~j=1.`[fijefdToaalk<3/gZeh}g~Txt~j=1.`[agsiVidyczPwhfwl82+kVnnjl{ct^tbhpc:Jekeg^z}ioe/gZbnfV}bhyf217.`[aoiW~coxeQnxhq>5)eXl`dT{dj{h^pbgm;4:%iThd`PwhfwlZtcka7=8!mPdhl\slbs`V}joe3<2-a\`lhX`ndRykci?50)eXl`dT{dj{h^uqgm;5$jUocxzPrrv\rdj:8%iThb{{_vkgpm;6>%iTicomld]qhjet59&hSh`nbmg\vvrX{pdh1<"l_dpqkwYnfcohxdaa_u{sa86+kVoy~b|PiohfgqohfV~r|hQnxhq>4)eXm{xd~RgajdawmjhX|pznSolh<2/gZcuzfxTecdjcukljZr~xlUyhnf20-a\awthzVcefhm{inl\p|vbW~khd0>#c^gqvjtXag`noyg`n^vzt`Ypljb64)eX`hyTmugPre]`ldhime7; nQgar]b|lYu}zoy1="l_icp[d~nW~xToeoandn>4)eX`hyTmugPwtqfv86+kVbjRowir]w`drf59&hSeo|_`zjwZrcmz~6#c^jbwZpfd`n6,b]jiujbeldmyg`n^vzt`Ypijb6=!mPilroahci|h~bccQ{yqg\saeo58&hSdcldofjqgsafdTxt~j_vp`l87+kVceeyQxr^rmpwYqie7; nQfnugqbdebW}s{i0>#c^ofijt~W}s{i0?#c^ojbZ`ndlUem`k2BmcmoVruagm'oRc|gnl\rdj:9%iTc}zfmhxbpliiWdeoi0>#c^muaw`kg~Ugcz3?,b]sv`jhimUgmykacx?2(fYwzlfdmiQ}efq>4)eXx{cfSkgio^vzt`;29;r8:!mPpsmd[`kw|pUdk|h^cpw`tsWkg1?"l_qplcZcjx}sTxe|jsi]bwvcu|V|j`Rowir?2474+kVzycjQjmqvz[qnumzbTm~}jru]ueiYuijb6==<=,b]svjaXmdzuRzgrdqk[dutm{~TzlbPreak9465:%iT|ah_dosp|Ys`{oxdRo|sdpw[sgkW~khd0??23.`[uthoVof|ywPtipfwmYf{zoyxRxnl^uggm;68;8'oR~}of]fiur~W}byi~fParqfvqYqieU|~nf21101(fYwzfmTi`~{y^vkv`uoWgolmykPv`n>7)eXx{elShctx]w}uc:8%iT|ah_dosp|YsqyoTmug|=4.`[uthoVof|ywPtxrf[wgd`4?'oR~}of]fiur~W}s{iR|kci?6(fYwzfmTi`~{y^vzt`Ypijb69!mPpsmd[`kw|pUu}kPweak90*dWyxdkRkbpu{\p|vbW~xhd0;#c^rqkbYa}efTxe|jsi]bwvcu|V|j`0?;,b]svjaXn|fgSyf}erj\evubz}U}maQnxhq>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}dbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpijb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxdbj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpzjb6==<<,b]svjaXn|fgSyf}erj\j`af|lU}ma3>3-a\twi`Wog`Rzvpd?3(fYwzfmTjxbc_u{saZgaz7> nQrne\bpjkW}s{iR|nci?6(fYwzfmTjxbc_u{saZtcka7> nQrne\bpjkW}s{iRynci?6(fYwzfmTjxbc_u{saZqcka7> nQrne\bpjkW}s{iRy}ci?6(fYuijbTxt~j=1.`[wbXlh~jSnaznu]tmaro5=&hSjPddrwl871$jUyhRh}ep?2(fYulVzexQxievk91*dW{nhdRzvpd?3(fYumnUx`dmj_lmgaZgaz7; nQ}ef]phlebWdeoiR|nci?3(fYumnUx`dmj_lmgaZtcka7; nQ}ef]phlebWdeoiRynci?3(fYumnUx`dmj_lmgaZqcka7; nQ}ef]phlebWdeoiRy}ci?3(fYrfmoyjaax_mmt95*dW|ynSnabmnl\kscunee|1="l_tqf[fijefdTycjjrgnls86+kVxiRjjf`wopZub|}cek~3?,b]vw`Ycg|~T~~z21-a\qvcXmji6#c^wpaZcdkVxooe3?,b]vw`YbkjU|mnf20-a\qvcXmjiT{img=1.`[pubWlihSz|lh<2/gZstmVofnhjkee]qab;7$jU~hQiigm22Z`kiny64)eX~hfbhRb`w<2/gZqfkaUu}k20-a\saeoW}s{i0>#c^uq[delWee|1="l_vp\`drfWje~byQxievk91*dW~xThh~{h<35(fYpzVnn|yfPaykp9465<%iT{Qkeqvk[wgd`4;= nQxr^fftqnXzmic1<>=4-a\swYcmy~cSzolh<35(fYpzVnn|yfPweak9465<%iT{Qkeqvk[rtd`4;= nQxr^dqat;6$jU|~R~ats]tmaro5=&hSz|Ppovq[roc|aUjtd}211.`[rtXxg~ySzgkti]qefn:<%iT{Qnup\slbs`Vxooe3>0-a\swYwf}xT{dj{h^ubgm;3$jU|~R~ats]tmaroW~nhd0??,b]tvZvi|{U|eizg_vp`l82+kV}ySk|pnlpaZjf|ldhu0?#c^uqgmYsqyo6X:%qv>k5iigm\c`hbzh~d~Rx7_3.#\ljnfq*HC_K/Gdlfvdrhz);8"?:4ftnob>ocdlUhcx`{es>3:463??;hfoaZeh}g~n~1?<>028majbWje~byk}<06=55=nleoTob{atdp?508682co`hQlotlwaw:6>7;;7djce^alqkrbz5;<2k5fdmg\gjsi|lx7=3h4ienf[firf}oy0?0i;hfoaZeh}g~n~1=1f:kgh`Ydg|di2;>g9j`icXkfexh|35?d8majbWje~byk}<7ocdlUhcx`{es>;:c=nleoTob{atdp?=;cm7djce^alqkrbzV;>j6gkld]`kphsm{U::k5fdmg\gjsi|lxT=:k4ienf[firf}oyS?k4ienf[firf}oyS>k4ienf[firf}oyS9k4ienf[firf}oyS8k4ienf[firf}oyS;k4ienf[firf}oyS:k4ienf[firf}oyS5k4ienf[firf}oyS4?<;hr`kwcXl`x$jdh`.oe``>jf{}Uxxlzj<1b9oevrX{}kiR>l;mcppZusi}oT=n5carv\wqgsmV887`o4:ofi*7b3dof#J@K_01\kZbhWkgeiQBUY]60Zi6n2gna"IAD^30[jYcgV|j`djPMTZ\11Yh9;k0ahc GOF\56YhWziggRm`uovX55[Xmxj`R0?3\BWD5m2gna"IAD^30[jYtkeaTob{atZ33YZcv}hfT>Ra311<2[VQ7:l1fi`!HNE]27ZiX{jf`SnaznuY24XYby|kgS?Q`<02=5ZUP9;k0ahc GOF\56YhWziggRm`uovX55[Xmxj`R0?0\BWD492gna"Cnde]b`atX`ffoW:SPGOF\56YhWhnoS5Q@UU]{kw:168;8>6cjm.Ob`aYflmxTdbbk[6_\CKBX9:UdSljk_9]LQQYg{6=21c9nah)JkfexR}llj]`kphsWjs7<3?m;lgn+Heh}g~Tnbd_bmvjqYdq5;5=h5bel-Ngjsi|Vyh`fQlotlw[f;97Uyx5:Zts9k1fi`!BcnwmpZuddbUhcx`{_b{?3;7b3dof#@m`uov\wfjlWje~byQly=5=[wr6j2gna"Clotlw[vekcVidyczPcx>;:4c5:4ekbe&Ghcx`{_raooZeh}g~Ttb|39?0e?hcj'Dg~tRokds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU326c=jmd%FaxvPaefq[miklR=VSljkr^jlha]0UVg~tR?<_NWW644a3dof#@czx^cg`wYogenP;PQndep\ljjcS>WTaxvP12]LQQ56:o1fi`!Bmtz\eabuWaeghV9R_`fgvZnhdmQ:=?h4mdo,IhsWhno~Rf`leY4YZgcl{UccajT7\]nq}Y6;VE^X9<=f:ofi*Kj}qUjhi|Phnng_2[XimnySeacdZ5^[hsW89TCXZ:1228i`k(EdsSljkr^jlha]0UVkohQgomfX3XYj}qU:?RAZT4326c=jmd%FaxvPaefq[miklR=VSljkr^jlha]0UVg~tR?<_NWW174a3dof#@czx^cg`wYogenP;PQndep\ljjcS>WTaxvP12]LQQ06:o1fi`!Bmtz\eabuWaeghV9R_`fgvZnhdmQ2gna"Cbuy]qabYulVkoh:>179nah)Je|rT~hiPre]b`at298<0ahc Mlw{[wc`W{nTmij}6035?hcj'Dg~tR|jg^pg[dbcz>;:=6cjm.Pfwpjs494TJ_L>2:ofi*Tb{|f0<>1_GPA54=jmd%Yi~{ct=3=[CTE981fi`!]erwop949WOXI=<5bel-Qavsk|595SK\M109nah)Umzgx1:1_GPA54=jmd%Yi~{ct=7=[CTE981fi`!]erwop909WOXI=<5bel-Qavsk|5=5SK\M109nah)Umzgx161_GPA54=jmd%Yi~{ct=;=[CTEm2gna"Qa0004[lhqn2gna"Qa0004[lhq;8:0ahc _o2262Ynf9:=>5bel-\j575?Vcez9Q\W134?hcj'Vd;=?9Piot7[VQ7WOXI=:5bel-\j575?Vcez9Q\W1]PS5`>26]jjs3692gna"Qa0004[lhq=VL:=6cjm.]m4440W`d}9RIi;lgn+Zh79:>Tecx>119nah)Xf9;88Rgav0324>kbe&kohQgomf?4;773dof#ljkr^jlha:668:0ahc aefq[mikl585==5bel-b`atX`ffo0>0>0:ofi*gcl{Uccaj34?33?hcj'hno~Rf`le>6:4649n6cjm.cg`wYogenP;PQndep\ljjcS>WTaxvP12]LQQ:76;h0ahc aefq[miklR=VSljkr^jlha]0UVg~tR?<_NWW8485j2gna"okds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU>1:7dkbe&kohQgomfX3XYflmxTdbbk[6_\ip~X9:UDYY2;>3`8i`k(imnySeacdZ5^[dbczVbd`iU8]^ov|Z74WF__080=b:ofi*gcl{UccajT7\]b`atX`ffoW:SPmtz\56YH]]6=2?l4mdo,eabuWaeghV9R_`fgvZnhdmQ4m7`kb/`fgvZnhdmU;j6cjm.cg`wYogenT=k5bel-b`atX`ffoS?h4mdo,eabuWaeghR=i;lgn+dbczVbd`iQ;f:ofi*gcl{UccajP5g9nah)flmxTdbbk_7d8i`k(imnySeacd^5:?hcj'hlbjbo4mdo,ecoag&i0ahc agkek*H6l2gna"oiigm,J44c3dof#lhffn-M51ekbe&kmeka N2a8i`k(iocmc"@7359nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)3l2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)2?2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/6d8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%FaxvPg`g-cjoioVkna}zv_QUQ[65XgVG^TR7Po034b>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#@czx^eba+ahagmTmhctx]SSWY4;VeTAXVP9^m262`?;2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|Zafm'mdeciPadosp|YW_[U8?RaPMTZ\=Zi60VY\<5=4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3Tc<6PSV3;3>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-dvvu)zz~x#@czx^eba+ahagmTmhctx]SSWY4;VeTAXVP9^m2Q`_LW[[=Yh9;=j7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW:9TcRCZX^:\k450m2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ54WfUFYUQ7_n30[VQ7?h1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.Onq}Y`g`dlShctx]SSWY4;VeTAXVP8^m202g5e9nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j re]sjqtXxex=1>1699nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j re]sjqtXxex>1>1_hlu5ZOI^V:>h6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%yhR~ats]shpu5484>?6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/6c8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)Je|rTkn?!cnwmp^5ZWNDOS<6?_n]nq}Y5WF__?<9n;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,IhsWni:"naznuY0YZAILV;3j6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}6928h4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|d0>086:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byU<]^EM@Z7?8VeTaxvP2^MVP949??1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkr\;TULBIQ>81]l[hsW;UDYY2<>4g8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/srmpw)`k8$hcx`{_37f?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^156>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu]g5979>;1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkrXl8692;<4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi?33?42?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f2[4063dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb6W;<:7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn:S>8=;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj=<1<56>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu]g6979>;1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkrXl;692;<4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi<33?42?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f1[5063dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb5W8<:7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn9S?8>;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj=_26g?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.74?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$;h5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x$kz}|.vqww*Kj}qUym`Qiumn\gjkeWNDOS<67_n]NQ]Y69Ve:>:k4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)Je|rT~lcPftno[fijjVMEHR?78^m\IP^X98Ud=>6=;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(EdsSob_gwohZehekULBIQ>89]l[HS_W8;Tc<=PSV24a>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-dsvu)z~x#@czx^pbiZ`rdeUhc`lPGOF\5=>XgVG^TR?>_n3432=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"|nm^dvhiYdgdhTKCJP19:\kZKRPV;:Sb8;;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ixsr,twqu(zhgTjxbc_g`\m0?l0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!Bmtz\cfe7&ni:"naznuY0YZAILV;3:RaPmtz\6ZIR\=;=86cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idycz32?47?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjq:46>h0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexV=R_FLG[4>1WfUfyuQ=_NWW8780j2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`5+eh}g~P?PQHNE]2<3YhWdsS?Q@UU>0:35!gb3-gjsi|V8=?6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczP3758i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmpZb6484=;6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd0>1:31!gb3-gjsi|Vn:0>096:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh=#m`uov\`4Y6>?1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg4(dg|dSi?P2748i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmpZb6W:<<7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2=2=22=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc0,`kphsWm87=388;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"ynup,cfe7&ni:"naznu]g6949>>1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg4(dg|dSi<33?45?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:V:=:6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd3]223=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,suhsz&mho= hc0,`kphsWm8T>;84mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f1[63c3dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*quWyd~R~cur3?4;0?3dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*quWyd~R~cur0?4;Ynf;TECXP04f8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vp\tkruWyf~?2>>5c8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'gna"om;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(jmd%ln` hble-cgk`i'dlinm!ble,ckgsaoiaj aaukuaZdkcVgnaRijn.tbhlb)kz~y#oblnms_5[)zhg%~"}9_omjjlr)zhg$ni5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"jlbg/eaibg)fnoho#lbg.emeqoqmkgl"co{iwg\fimXelgTkh` v`nj`+et|{%ym`b`oqY3Y+tfe'x$;Qaohljp+tfe&YO\#YFDD]SVLK>&{kf9o5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*hcj'nhf"yc_scn[wbXmg?h7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,nah)`jd$a}Q}al]q`Zci9:n0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h r`o\vaYbf:n0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h r`o\swYbf=30ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h re]sjqt;97Ubb{?PIOT\46dkbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/oetvatt|'myy }d^pppZtbo5:59;5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&dl{j}su,dvvr)zmUyyQ}ef>1:03:5:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ73?2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tm~|jg5c8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(zz~i`f!}d^pppZgtzlmTh<:n;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wusjea$~iQ}su]bwwc`Wm8?:6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'{nT~~zPcnoa02=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWjefn<:6;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+rtXxg~y0<0Piot2[LHQW92o7`kb/`djbj)XWVRGB@QP_1]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"Cbuy]dgf6)oj;%ob{atZ1^[BHCW82=SbQbuy]1[JSS<8237`kb/`djbj)XWVRGB@QP_0]\[P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"Cbuy]dg4(dg|dW>SPGOF\5=6XgVg~tRRQPU1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qehYulVoeSd?l;lgn+aiX~hfbh?<4mdo,`jYqiecoSljkr^jlha]0UVKEHR=?_n00?hcj'meTzlbfd^cg`wYogenP;PQNNE]04Zi69;1fi`!ko^tbhlbXllfju<;4mdo,`jYqiecoSjbze^qfp4?>028i`k(n`ldSb{{<00=55=jmd%mekaPotv?568682gna"hffn]lqq:6<7l0ahc fhdl[jss484m7`kb/gkekZir|585j6cjm.djbjYh}}682k5bel-emciXg|~783h4mdo,bl`hWf080i;lgn+coagVe~x181f:ofi*`nnfUdyy28>g9nah)aaoeTcxz38?d8i`k(n`ldSb{{<8<24>kbe&lbjbQ}e`f\ak723dof#kgio^pfeaYbfVbd`ik4mdo,bl`hW~xTic?8;lgn+coagV}ySh`Pgmwf[vcs9k1fi`!iigm\swYbfVmgyhQ|eu]PS57?3dof#jPd`vb[djjgz~T~hoky008i`k(zmUomyoPotv?4;753dof#jPd`vb[jss484:>6cjm.pg[agsiVe~x1<1139nah)ulVnjxlQ`uu>0:442:ofi*tcWmkmRazt=4=57=jmd%yhRjnt`]lqq:068;0ahc re]geqgXg|~T<c:ofi*tcWldThbQyamkg[DHCW;3Tc>008i`k(zlmT~iQndep?6;753dof#kh_sf\eabu4:4:>6cjm.pfcZtcWhno~1:1139nah)umnUyhRokds>6:447;97`kb/sgd[wbXlh~j0=0>2:ofi*tboVxoSio{a=3=57=jmd%yijQ}d^fbpd:56880ahc rde\vaYci}k7?3?=;lgn+wc`W{nThlzn<5<26>kbe&xnkR|k_ecwe9399;1fi`!}ef]q`Zbf|h6=2<<4mdo,v`aXzmUomyo37?f8i`k(zlmT~iQjnd9nah)umnUyhRka189nah)umny$=?5bel-qabu(E{kfSkhs0a8i`k(zlmx#@|nm^pfcvYnfzgmyk?109nah)tkeaTob{at=2=54=jmd%xoaePcnwmp979981fi`!|cmi\gjsi|585=<5bel-pgimXkfex1=1109nah)tkeaTob{at=;=55=jmd%xoaePcnwmpZ6682gna"}llj]`kphsW8;;7`kb/raooZeh}g~T><>4mdo,wfjlWje~byQ<119nah)tkeaTob{at^;24>kbe&yh`fQkem]qabekbe&y{eR}cawg,6`=jmd%x|dQ|l`tf+BHCW8>TcR}{aug\v`a\;TUn}xoc_01\k64f:ofi*uwaVygm{k Mlw{[vrf|lUeiuz=10d8i`k({ycTaoye.Onq}Yt|h~nSckwt2323>kbe&y{eR}cawg,`lYumn6;2<94mdo,wuoX{ek}i"jf_sgd8486?2gna"}i^qoesc(l`Uyij2=>058i`k({ycTaoye.fj[wc`4:4:;6cjm.qsmZukio$hdQ}ef>7:417:ofi*uwaVygm{k dh]qab:168=0ahc sqk\wigqm&nbSkh<6<22>kbe&y{eR}cawg,`lYumnU;=;5bel-ptlYtdh|n#igPrde\5402gna"}i^qoesc(l`UyijQ;179nah)tx`Ux`lxj/ek\v`aX=8<0ahc sqk\wigqm&nbSkh_735?hcj'zzbS~bnvd-gmZtboV=:i6cjm.qsmZukio$hbQmugdfvZtbo5:5=h5bel-ptlYtdh|n#iaPbtdeawYumn6:23?j;lgn+vvnWzfjzh!ko^`vbccuW{ol0>0>e:ofi*uwaVygm{k dn]aqc`bzVxnk1:11d9nah)tx`Ux`lxj/em\fp`am{Uyij2:>0g8i`k({ycTaoye.fl[gsanlxT~hi36?3f?hcj'zzbS~bnvd-gkZdrnooySkh<6<2`>kbe&y{eR}cawg,`jYe}oln~R|jg^22`>kbe&y{eR}cawg,`jYe}oln~R|jg^32`>kbe&y{eR}cawg,`jYe}oln~R|jg^02`>kbe&y{eR}cawg,`jYe}oln~R|jg^12`>kbe&y{eR}cawg,`jYe}oln~R|jg^62`>kbe&y{eR}cawg,`jYe}oln~R|jg^72`>kbe&y{eR}cawg,`jYe}oln~R|jg^42`>kbe&y{eR}cawg,`jYe}oln~R|jg^52e>kbe&y{eR}cawg,twckghnTad}`199nah)tx`Ux`lxj/rj\j`~s494:46cjm.qsmZukio$eQaeyv?5;7>3dof#~~f_rnbr`)t`Vdnty2>>23a?hcj'zzbS~bnvd-plZhbp}6:2>QI1c9nah)tx`Ux`lxj/rj\j`~s4848SJ?7;lgn+vvnWzfjzh!|h^lf|q:56830ahc sqk\wigqm&ycSckwt=0=54?0=189nah)tx`Ux`lxj/rj\j`~s4:48=55bel-ptlYtdh|n#~fPndzw818612gna"}i^qoesc({aUeiuz34?32=>kbe&y{eR}cawg,wmYimq~783<>9:ofi*uwaVygm{k si]ma}r;<79:46cjm.qsmZukio$eQaeyv?1;7>3dof#~~f_rnbr`)t`Vdnty2:>03:?hcj'zzbS~bnvd-plZhbp}6>2??6;lgn+vvnWzfjzh!|h^lf|q:26:;37`kb/rrj[vjf~l%xdR`jxu>5:4?<74mdo,wuoX{ek}i"}g_og{p909;820ahc sqk\wigqm&ycSckwt=5=5<=jmd%x|dQ|l`tf+vnXflr0:0>189nah)tx`Ux`lxj/rj\j`~s4>49=45bel-ptlYtdh|n#~fPndzw82849>1fi`!|ph]phdpb'zbT~hi30?34?hcj'zzbS~bnvd-plZtbo5;5=:5bel-ptlYtdh|n#~fPrde?6;703dof#~~f_rnbr`)t`Vxnk1=1169nah)tx`Ux`lxj/rj\v`a;<7;<7`kb/rrj[vjf~l%xdR|jg=7=52=jmd%x|dQ|l`tf+vnXzlm7:3?8;lgn+vvnWzfjzh!|h^pfc9199?1fi`!|ph]phdpb'zbT~hiP0048i`k({ycTaoye.qk[wc`W8;=7`kb/rrj[vjf~l%xdR|jg^022>kbe&y{eR}cawg,wmYumnU8=;5bel-ptlYtdh|n#~fPrde\0402gna"}i^qoesc({aUyijQ81c9nah)tx`Ux`lxj/rvbp`Yimq~7<3?m;lgn+vvnWzfjzh!|t`vf[kc|5;5=o5bel-ptlYtdh|n#~zntd]ma}r;:7;j7`kb/rrj[vjf~l%xxlzj_sgd8586i2gna"}i^qoesc({}kiR|jg=3=5d=jmd%x|dQ|l`tf+vrf|lUyij2=>0c8i`k({ycTaoye.qweqcXzlm7?3?6;lgn+vvnWzfjzh!|t`vf[wc`W9;27`kb/rrj[vjf~l%xxlzj_sgd[47>3dof#~~f_rnbr`)t|h~nSkh_33:?hcj'zzbS~bnvd-ppdrbW{olS>?6;lgn+vvnWzfjzh!{x^`jpZhbp};j7`kb/rrj[vjf~l%tRlft^lf|q76i2gna"}i^qoesc(|qUieyQaeyv15d=jmd%x|dQ|l`tf+q~Xj`~Tbhv{30:8i`k({ycTaoye.v{[gosW{ol=45bel-ptlYtdh|n#z|Pabi\j`~s9k1fi`!|ph]phdpb'~xTmnePndzw547?3dof#~~f_rnbr`)pzVkhgR|jg008i`k({ycTaoye.uqak7>3dof#~~f_rnbr`)pzldT~~zouf8i`k({ycT{Qjn69nahYtx`>0ad}`a:ojwjYHJ\L_86c`sh;8ijunW@H^J55age`vmiba3yifSl|}ax>3:969m2zhaRo}r`{\4949m2zhaRo}r`{\4959m2zhaRo}r`{\4929m2zhaRo}r`{\4939m2zhaRo}r`{\4909m2zhaRo}r`{\4919m2zhaRo}r`{\49>9m2zhaRo}r`{\49?9l2zhaRo}r`{\4Z4c3yifSl|}ax]3[6bvdeVky~lwP0^4g?uejWhxymtQ?_6f8tfkXi{xjuR>P8e9sghYfz{krS=Q6e:r`iZguzhsT=1<1e:r`iZguzhsT=1=1e:r`iZguzhsT=1:1e:r`iZguzhsT=1;1e:r`iZguzhsT=181e:r`iZguzhsT=191e:r`iZguzhsT=161e:r`iZguzhsT=171d:r`iZguzhsT=Rj4pbo\ewtfqV;T8i5cl]bvwg~W8U>h6~lm^cqvdX9Vn0|ncPaspb}Z7X0m1{o`Qnrscz[4Y>m2zhaRo}r`{\6949m2zhaRo}r`{\6959m2zhaRo}r`{\6929m2zhaRo}r`{\6939m2zhaRo}r`{\6909m2zhaRo}r`{\6919m2zhaRo}r`{\69>9m2zhaRo}r`{\69?9l2zhaRo}r`{\6Z4c3yifSl|}ax]1[6bvdeVky~lwP2^4g?uejWhxymtQ=_6f8tfkXi{xjuRj4pbo\ewtfqV9T8i5cl]bvwg~W:U>h6~lm^cqvdX;Vn0|ncPaspb}Z5X0m1{o`Qnrscz[6Y>?2zhaRijn`9sghYh}}6;2l5cl]lqq:66h1{o`Q`uu>1:f=wkdUdyy2<:10j;qan[jssW9UDNXHj;qan[jssW8UDNXHj;qan[jssW;UDNXHj;qan[jssW:UDNXHl;qanw^6Z&yif#?h4pbop_5[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra<0:r`iv]7U'zha"IAD^14[jYwkdUhcx`{[03^[Ict{]bjbR:Po017?uej{R:V"}mb/FLG[61XgVzhaRm`uovX54[XDlyxXeoa_5]l5ZUP8:?0|nc|[1_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY2<>278tfktS9W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:36:?0|nc|[1_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY2:>278tfktS9W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:16:<0|nc|[1_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY29>016?uej{R:V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;?79=7}mbsZ2^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ37?301>vdezQ;Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4148:6~lmrY3Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<9<270=wkdyP

POTV\|jt;;7;:SD@Y_163?uej{R:V"}mb/Lcg`ZAILV91\]b`aY7WF__Sua}<5<25169Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?1;76;m1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]`}969;m1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]`}979;m1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]`}949;m1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]`}959;m1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]`}929;l1{o`}T0\,sgh)Jkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]oqq:76:l0|nc|[1_-tfk(Ejef|l|Ppbo\gjsi|R;:QRIAD^14[jYKmzy_dl`P2^m\hpr;87;8i6~lmrY3Y+vde&Ghc`~nr^r`iZeh}g~P=k4pbop_5[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~7?3=j;qanw^6Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWe090UdSAk|sUjbjZ4XgVf~xigUdSAk|sUjbjZ4XgVf~xig>3d9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyyjf22g8tfktS9W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek567=wkdyP

7}mbsZ2^*uej'DidyczPpbo\gjsi|Vir0?0Pru01?uej{R:V"}mb/LalqkrXxjgTob{at^az8685=2zha~U?]/r`i*Kdg|dS}mb_bmvjqYdq595Sz=2:r`iv]7U'zha"Clotlw[uejWje~byQly=6=60=wkdyP

?5clqX4X(wkd%Fob{at^r`iZeh}g~Tot2:>378tfktS9W%|nc MbmvjqYwkdUhcx`{_b{?1;Yu|;80|nc|[1_-tfk(Eje~byQcl]`kphsWjs7:3<:;qanw^6Z&yif#@m`uov\tfkXkfexRmv<7<\vq453yifV>R.qan+Heh}g~T|ncPcnwmpZe~4>4996~lmrY3Y+vde&Ghcx`{_qan[firf}Uhu191_sv16>vdezQ;Q#~lm.O`kphsWyifSnaznu]`}9>9:<1{o`}T0\,sgh)JkfexR~lm^alqkrXkp632R|{239sghu\8T${o`!BcnwmpZvdeVidyczPcx>::73vdezQ;Q#~lm.O`kphsWyifSnaznu]oqq:76VCEZR>=3:r`iv]7U'zha"Clotlw[uejWje~byQwos>3:7295clqX4X(wkd%Fob{at^r`iZeh}g~Ttb|310<13>vdezQ;Q#~lm.O`kphsWyifSnaznu]{kw:697Uyx?=4pbop_5[)xjg$Anaznu]sghYdg|dSua}<0<17>vdezQ;Q#~lm.O`kphsWyifSnaznu]{kw:56;90|nc|[1_-tfk(Eje~byQcl]`kphsWqey0>0=3:r`iv]7U'zha"Clotlw[uejWje~byQwos>7:75318tfktS9W%|nc MbmvjqYwkdUhcx`{_ymq8285;2zha~U?]/r`i*Kdg|dS}mb_bmvjqYg{632?=4pbop_5[)xjg$Anaznu]sghYdg|dSua}<8<21>vdezQ;Q#~lm.Pfwpjs494::6~lmrY3Y+vde&Xnxb{<02=53=wkdyP

2:430:436:434:43::1evdezQ;Q#~lm.]\[]JIEVUT?RQPMbmntdtXxjgTob{atZ32YZAILV98:r`iv]7U'zha"~lm^alqkr;:7;37}mbsZ2^*uej'yifSnaznu>0:4>R.qan+uejWje~by28>0:8tfktS9W%|nc pbo\gjsi|525=55clqX4X(wkd%{o`Qlotlw8<8482zha~U?]/r`i*vdeVidyczT10_\tfkXkfexV?>]^CM@Z55Wf9?7}mbsZ2^*uej'yifSnaznuY25XYwkdUhcx`{[03^[DHCW:8TcR]X1058tfktS9W%|nc pbo\gjsi|V::;6~lmrY3Y+vde&zhaRm`uov\54>7:r`iv]7U'zha"~lm^alqkrX<8=0|nc|[1_-tfk(xjgTob{at^723>vdezQ;Q#~lm.r`iZeh}g~T:<94pbop_5[)xjg$|ncPcnwmpZ16?2zha~U?]/r`i*vdeVidyczP8058tfktS9W%|nc pbo\gjsi|V3:;6~lmrY3Y+vde&zhaRazt^pfc4cR.qan+usk{lU|eizg_sgd8686m2zha~U?]/r`i*vrdzoT{dj{h^pfc9299l1{o`}T0\,sgh)w}eynSzgkti]qab:268o0|nc|[1_-tfk(x|fxiRyfduj\v`a;>7;n7}mbsZ2^*uej'yghQxievk[wc`4>4:i6~lmrY3Y+vde&z~`~kPwhfwlZtbo525=h5clqX4X(wkd%{ya}j_vkgpmYumn622Tc>>4pbop_4[)xjg$KCJP36]l[uejWje~byU>1\]OavuS`hdT8Ra>359sghu\9T${o`!HNE]03ZiXxjgTob{atZ32YZJb{z^cmcQ;_n3\WR64=2zha~U>]/r`i*AILV91\]b`aY7WF__0>0<5:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8184=2zha~U>]/r`i*AILV91\]b`aY7WF__080<5:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8384>2zha~U>]/r`i*AILV91\]b`aY7WF__0;0>349sghu\9T${o`!HNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVP919;?1{o`}T1\,sgh)@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^X1911278tfktS8W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:?6:<0|nc|[0_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY27>016?uej{R;V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;17927}mbsZ3^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ39?]PS55c3yifV?R.qan+HgclVMEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[_b{?=;5b3yifV?R.qan+HgclVMEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[_b{?=;73>2zha~U>]/r`i*KflmULBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTUdS}{csd]tmaroW{olW0374>vdezQ:Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRv`r=7=545c3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_b{?4;5c3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_b{?5;5c3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_b{?6;5c3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_b{?7;5c3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_b{?0;5b3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8584n2zha~U>]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRj4pbop_4[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe>k4pbop_4[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe<=j;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd<UdSAk|sUjbjZ4XgVf~xig<3d9sghu\9T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyyjf42g8tfktS8W%|nc MbmntdtXxjgTob{atZ32YZAILV9]/r`i*Kdg|dS}mb_bmvjqYdq5;;2?84pbop_4[)xjg$Anaznu]sghYdg|dSnw311<\vq453yifV?R.qan+Heh}g~T|ncPcnwmpZe~484996~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu1?1_sv16>vdezQ:Q#~lm.O`kphsWyifSnaznu]`}949:<1{o`}T1\,sgh)JkfexR~lm^alqkrXkp692R|{239sghu\9T${o`!BcnwmpZvdeVidyczPcx>0:73]/r`i*Kdg|dS}mb_bmvjqYdq5<5>85clqX5X(wkd%Fob{at^r`iZeh}g~Tot29>^pw67=wkdyP=P cl-Ngjsi|VzhaRm`uov\g|:06;?0|nc|[0_-tfk(Eje~byQcl]`kphsWjs7;3Q}t308tfktS8W%|nc MbmvjqYwkdUhcx`{_b{?<;423yifV?R.qan+Heh}g~T|ncPcnwmpZe~414T~y<=;qanw^7Z&yif#@m`uov\tfkXkfexRmv<8<11>vdezQ:Q#~lm.O`kphsWyifSnaznu]`}9?9W{~9?6~lmrY2Y+vde&Ghcx`{_qan[firf}Ugyy2?>3;8tfktS8W%|nc MbmvjqYwkdUhcx`{_mww858XAG\TvdezQ:Q#~lm.O`kphsWyifSnaznu]{kw:6878?7}mbsZ3^*uej'DidyczPpbo\gjsi|Vrd~1?>>358tfktS8W%|nc MbmvjqYwkdUhcx`{_ymq8479W{~9?6~lmrY2Y+vde&Ghcx`{_qan[firf}Usc2>>318tfktS8W%|nc MbmvjqYwkdUhcx`{_ymq8785;2zha~U>]/r`i*Kdg|dS}mb_bmvjqYg{682?=4pbop_4[)xjg$Anaznu]sghYdg|dSua}<5<17>vdezQ:Q#~lm.O`kphsWyifSnaznu]{kw:26;90|nc|[0_-tfk(Eje~byQcl]`kphsWqey0;0=3:r`iv]6U'zha"Clotlw[uejWje~byQwos>4:75078tfktS8W%|nc Rdqvhq:768<0|nc|[0_-tfk(Zly~`y2>0?35?uej{R;V"}mb/Sgpqir;984:96~lmrY2Y+vde&Xnxb{<0<21>vdezQ:Q#~lm.Pfwpjs4;4:96~lmrY2Y+vde&Xnxb{<2<21>vdezQ:Q#~lm.Pfwpjs4=4:96~lmrY2Y+vde&Xnxb{<4<21>vdezQ:Q#~lm.Pfwpjs4?4:96~lmrY2Y+vde&Xnxb{<6<21>vdezQ:Q#~lm.Pfwpjs414:96~lmrY2Y+vde&Xnxb{<8<7g>vdezQ:Q#~lm.]\[]JIEVUT=RQPMbmntdtXxjgTob{atZ32YZAILV9UdSAk|sUjbjZ4XgVf~xig<4b9sghu\9T${o`!P_^ZOJHYXW=UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd:>8:r`iv]6U'zha"~lm^alqkr;87;27}mbsZ3^*uej'yifSnaznu>24;7>3yifV?R.qan+uejWje~by2>1?3;?uej{R;V"}mb/qan[firf}6:2<64pbop_4[)xjg$|ncPcnwmp949911{o`}T1\,sgh)wkdUhcx`{<2<2<>vdezQ:Q#~lm.r`iZeh}g~783?7;qanw^7Z&yif#}mb_bmvjq:26820|nc|[0_-tfk(xjgTob{at=4=5==wkdyP=P cl-sghYdg|d0:0>8:r`iv]6U'zha"~lm^alqkr;07;37}mbsZ3^*uej'yifSnaznu>::66]^r`iZeh}g~P=1\]BJAY4:VeT_Z?>7:r`iv]6U'zha"~lm^alqkrX88=0|nc|[0_-tfk(xjgTob{at^32<>vdezQ:Q#~lm.r`iZeh}g~T==?7;qanw^7Z&yif#}mb_bmvjqY698=0|nc|[0_-tfk(xjgTob{at^023>vdezQ:Q#~lm.r`iZeh}g~T?<94pbop_4[)xjg$|ncPcnwmpZ26?2zha~U>]/r`i*vdeVidyczP5058tfktS8W%|nc pbo\gjsi|V<:;6~lmrY2Y+vde&zhaRm`uov\3417:r`iv]6U'zha"~lm^alqkrX18=0|nc|[0_-tfk(xjgTcxzPrde2a>vdezQ:Q#~lm.rvhvcX`ndR|jg=0=5`=wkdyP=P cl-sqiubW~coxeQ}ef>0:4c]/r`i*vrdzoT{dj{h^pfc9099l1{o`}T1\,sgh)w}eynSzgkti]qab:068o0|nc|[0_-tfk(x|fxiRyfduj\v`a;07;n7}mbsZ3^*uej'yghQxievk[wc`404:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV8:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV9:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV>:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV?:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV<:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV=:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV2:h6~lmrY2Y+vde&z~`~kPwhfwlZtboV3h7}mbsZ0^*uej';l0|nc|[3_-tfk(OGNT?:Q`_qan[firf}Q:=PQCerqWldhXTc<=;;qanw^4Z&yif#J@K_25\kZvdeVidyczT10_\H`ut\akeS9Q`1^QT463UdS}{csd]tmaroW{olW;4pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>7:63UdS}{csd]tmaroW{olW2>;4pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>5:60UdS}{csd]tmaroW{olWPOTV?3;513yifV]^cg`Z6XG\^7;3?<5:r`iv]5U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8=84>2zha~U=]/r`i*AILV91\]b`aY7WF__050>349sghu\:T${o`!HNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVP9?9;01{o`}T2\,sgh)@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^X171_RU37a=wkdyP>P cl-NeabXOGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYYQly=;=7`=wkdyP>P cl-NeabXOGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYYQly=;=5109Po^rvhvcX`ndR|jgZ32YZgclV:TCXZPxnp?7;76W@D]S=:?;qanw^4Z&yif#@okd^EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSSWqey090>1528tfktS;W%|nc M`fg[BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^Ttb|35?327a=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQly=2=7a=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQly=3=7a=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQly=0=7a=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQly=1=7a=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQly=6=7`=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuu>3:6`RaPltv?4;74m2zha~U=]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbR>k4pbop_7[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe>=j;qanw^4Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd:UdSAk|sUjbjZ4XgVf~xig9239sghu\:T${o`!BcnwmpZvdeVidyczPcx>3:75^pw67=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:66;?0|nc|[3_-tfk(Eje~byQcl]`kphsWjs7=3Q}t308tfktS;W%|nc MbmvjqYwkdUhcx`{_b{?6;423yifVvdezQ9Q#~lm.O`kphsWyifSnaznu]`}959W{~9>6~lmrY1Y+vde&Ghcx`{_qan[firf}Uhu1:1249sghu\:T${o`!BcnwmpZvdeVidyczPcx>7:Zts:;1{o`}T2\,sgh)JkfexR~lm^alqkrXkp6>2?;4pbop_7[)xjg$Anaznu]sghYdg|dSnw35?]qp7478>7}mbsZ0^*uej'DidyczPpbo\gjsi|Vir0;0Pru01?uej{R8V"}mb/LalqkrXxjgTob{at^az8285=2zha~U=]/r`i*Kdg|dS}mb_bmvjqYdq5=5Sz=2:r`iv]5U'zha"Clotlw[uejWje~byQly=:=60=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:?6Vx>?5clqX6X(wkd%Fob{at^r`iZeh}g~Tot26>378tfktS;W%|nc MbmvjqYwkdUhcx`{_b{?=;Yu|;90|nc|[3_-tfk(Eje~byQcl]`kphsWe0=0=9:r`iv]5U'zha"Clotlw[uejWje~byQcuu>3:ZOI^V:9?6~lmrY1Y+vde&Ghcx`{_qan[firf}Usc2?>368tfktS;W%|nc MbmvjqYwkdUhcx`{_ymq8469:=1{o`}T2\,sgh)JkfexR~lm^alqkrXpfx7=<0=7:r`iv]5U'zha"Clotlw[uejWje~byQwos>25;Yu|;90|nc|[3_-tfk(Eje~byQcl]`kphsWqey0<0=3:r`iv]5U'zha"Clotlw[uejWje~byQwos>1:75318tfktS;W%|nc MbmvjqYwkdUhcx`{_ymq8085;2zha~U=]/r`i*Kdg|dS}mb_bmvjqYg{6=2?=4pbop_7[)xjg$Anaznu]sghYdg|dSua}<6<17>vdezQ9Q#~lm.O`kphsWyifSnaznu]{kw:?6;90|nc|[3_-tfk(Eje~byQcl]`kphsWqey040>5:r`iv]5U'zha"\jstnw8586>2zha~U=]/r`i*Tb{|f0<>1179sghu\:T${o`!]erwop97668?0|nc|[3_-tfk(Zly~`y2>>078tfktS;W%|nc Rdqvhq:568?0|nc|[3_-tfk(Zly~`y2<>078tfktS;W%|nc Rdqvhq:368?0|nc|[3_-tfk(Zly~`y2:>078tfktS;W%|nc Rdqvhq:168?0|nc|[3_-tfk(Zly~`y28>078tfktS;W%|nc Rdqvhq:?68?0|nc|[3_-tfk(Zly~`y26>5a8tfktS;W%|nc _^][HKKXWV;TSRClolrbvZvdeVidyczT10_\CKBX;>UdSAk|sUjbjZ4XgVf~xig>4b9sghu\:T${o`!P_^ZOJHYXW;UTS@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWehd<;c:r`iv]5U'zha"QP_YNMIZYX;VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe>:l;qanw^4Z&yif#RQPXMLN[ZY3WVUFobcas]sghYdg|dWP cl-sghYdg|d00:8tfktS;W%|nc pbo\gjsi|5>5=55clqX6X(wkd%{o`Qlotlw808602zha~U=]/r`i*vdeVidycz36?3;?uej{R8V"}mb/qan[firf}6<2<64pbop_7[)xjg$|ncPcnwmp9>9911{o`}T2\,sgh)wkdUhcx`{<8<04>vdezQ9Q#~lm.r`iZeh}g~P=1\]sghYdg|dW7:r`iv]5U'zha"~lm^alqkrX>8=0|nc|[3_-tfk(xjgTob{at^523>vdezQ9Q#~lm.r`iZeh}g~T4<94pbop_7[)xjg$|ncPcnwmpZ?6?2zha~U=]/r`i*vdeVe~xR|jg0g8tfktS;W%|nc ptnpaZqnl}bT~hi32?3f?uej{R8V"}mb/qwow`Ypam~cSkh<2<2a>vdezQ9Q#~lm.rvhvcX`ndR|jg=6=5`=wkdyP>P cl-sqiubW~coxeQ}ef>6:4c99l1{o`}T2\,sgh)w}eynSzgkti]qab:>68n0|nc|[3_-tfk(x|fxiRyfduj\v`aX:8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX;8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX<8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX=8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX>8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX?8n0|nc|[3_-tfk(x|fxiRyfduj\v`aX08n0|nc|[3_-tfk(x|fxiRyfduj\v`aX1j1{o`}T3\,sgh)5n2zha~U<]/r`i*AILV9]^NfwvRoigU?Sb?PSV201>vdezQ8Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4:4896~lmrY0Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<5<01>vdezQ8Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4<4896~lmrY0Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<7<02>vdezQ8Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4?4:?85clqX7X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnT;4pbop_6[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>;:60S!pbo,CKBX;>UdS}{csd]tmaroW{olWPOTV?=;5>3yifV=R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^753Q\W11g?uej{R9V"}mb/Lcg`ZAILV91\]b`aY7WF__Snw39?1f?uej{R9V"}mb/Lcg`ZAILV91\]b`aY7WF__Snw39?372>vdezQ8Q#~lm.Ob`aY@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^XRv`r=1=54YNF_U;8=5clqX7X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYg{6?2vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=2=56cS!pbo,IfijxhxT|ncPcnwmp^76UVMEHR=8_n]OavuS`hdT>RaPltv?5;5b3yifV=R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8784m2zha~U<]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRk4pbop_6[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe;<=;qanw^5Z&yif#@m`uov\tfkXkfexRmv<1<17>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}9776;<0|nc|[2_-tfk(Eje~byQcl]`kphsWjs7==0Pru01?uej{R9V"}mb/LalqkrXxjgTob{at^az8485=2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq5;5Sz=2:r`iv]4U'zha"Clotlw[uejWje~byQly=0=60=wkdyP?P cl-Ngjsi|VzhaRm`uov\g|:56Vx>?5clqX7X(wkd%Fob{at^r`iZeh}g~Tot2<>378tfktS:W%|nc MbmvjqYwkdUhcx`{_b{?7;Yu|;80|nc|[2_-tfk(Eje~byQcl]`kphsWjs783<:;qanw^5Z&yif#@m`uov\tfkXkfexRmv<5<\vq453yifV=R.qan+Heh}g~T|ncPcnwmpZe~4<4996~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu1;1_sv16>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}909:<1{o`}T3\,sgh)JkfexR~lm^alqkrXkp6=2R|{239sghu\;T${o`!BcnwmpZvdeVidyczPcx>4:73S!pbo,Ifirf}U{o`Qlotlw[f;?7Uyx?<4pbop_6[)xjg$Anaznu]sghYdg|dSnw38?06?uej{R9V"}mb/LalqkrXxjgTob{at^az8=8Xz}897}mbsZ1^*uej'DidyczPpbo\gjsi|Vir040=5:r`iv]4U'zha"Clotlw[uejWje~byQly=;=[wr5;2zha~U<]/r`i*Kdg|dS}mb_bmvjqYk}}6;2?74pbop_6[)xjg$Anaznu]sghYdg|dSa{{<1<\MKPX8;90|nc|[2_-tfk(Eje~byQcl]`kphsWqey0=0=4:r`iv]4U'zha"Clotlw[uejWje~byQwos>24;433yifV=R.qan+Heh}g~T|ncPcnwmpZ~hz5;:2?94pbop_6[)xjg$Anaznu]sghYdg|dSua}<03=[wr5;2zha~U<]/r`i*Kdg|dS}mb_bmvjqYg{6:2?=4pbop_6[)xjg$Anaznu]sghYdg|dSua}<3<17>vdezQ8Q#~lm.O`kphsWyifSnaznu]{kw:46;90|nc|[2_-tfk(Eje~byQcl]`kphsWqey090=3:r`iv]4U'zha"Clotlw[uejWje~byQwos>6:75S!pbo,Ifirf}U{o`Qlotlw[}iu4?49?6~lmrY0Y+vde&Ghcx`{_qan[firf}Usc28>318tfktS:W%|nc MbmvjqYwkdUhcx`{_ymq8=85;2zha~U<]/r`i*Kdg|dS}mb_bmvjqYg{622<;4pbop_6[)xjg$^h}zlu>3:40S!pbo,V`urd}6:<3?9;qanw^5Z&yif#_k|umv?5486=2zha~U<]/r`i*Tb{|f0<0>5:r`iv]4U'zha"\jstnw8786=2zha~U<]/r`i*Tb{|f0>0>5:r`iv]4U'zha"\jstnw8186=2zha~U<]/r`i*Tb{|f080>5:r`iv]4U'zha"\jstnw8386=2zha~U<]/r`i*Tb{|f0:0>5:r`iv]4U'zha"\jstnw8=86=2zha~U<]/r`i*Tb{|f040;c:r`iv]4U'zha"QP_YNMIZYX9VUTAnabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~oe<:l;qanw^5Z&yif#RQPXMLN[ZY5WVUFobcas]sghYdg|dW9m4pbop_6[)xjg$SRQWLOO\[Z5XWVGhc`~nr^r`iZeh}g~P=:46~lmrY0Y+vde&zhaRm`uov?4;7>3yifV=R.qan+uejWje~by2>0?3:?uej{R9V"}mb/qan[firf}6:=3?7;qanw^5Z&yif#}mb_bmvjq:66820|nc|[2_-tfk(xjgTob{at=0=5==wkdyP?P cl-sghYdg|d0>0>8:r`iv]4U'zha"~lm^alqkr;<7;37}mbsZ1^*uej'yifSnaznu>6:4>S!pbo,tfkXkfex181199sghu\;T${o`!cl]`kphs4>4:46~lmrY0Y+vde&zhaRm`uov?<;7?3yifV=R.qan+uejWje~by26>228tfktS:W%|nc pbo\gjsi|R;:QR~lm^alqkr\98WTMCJP33]l71=wkdyP?P cl-sghYdg|dWS!pbo,tfkXkfexR?>8:r`iv]4U'zha"~lm^alqkrX99;37}mbsZ1^*uej'yifSnaznu]2541S!pbo,tfkXkfexR<>7:r`iv]4U'zha"~lm^alqkrX;8=0|nc|[2_-tfk(xjgTob{at^623>vdezQ8Q#~lm.r`iZeh}g~T9<94pbop_6[)xjg$|ncPcnwmpZ06?2zha~U<]/r`i*vdeVidyczP7058tfktS:W%|nc pbo\gjsi|V2:;6~lmrY0Y+vde&zhaRm`uov\=41S!pbo,tfkXg|~T~hi>e:r`iv]4U'zha"~zlrg\slbs`Vxnk1<11d9sghu\;T${o`!umqf[roc|aUyij2<>0g8tfktS:W%|nc ptnpaZqnl}bT~hi34?3f?uej{R9V"}mb/qwow`Ypam~cSkh<4<2a>vdezQ8Q#~lm.rvhvcX`ndR|jg=4=5`=wkdyP?P cl-sqiubW~coxeQ}ef>4:4cS!pbo,tpjtmV}bhyfPrde?<;7b3yifV=R.qan+usk{lU|eizg_sgd8<86l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ46l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ56l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ26l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ36l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ06l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ16l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ>6l2zha~U<]/r`i*vrdzoT{dj{h^pfcZ?592zcu~glam]dvjswWjf`S<>?mix\m*ekcoh9=6~gyrk`eiY`zf{Snbd_023im|Xa&iggkv:;sf\aketcWldT~~zou]Ea>tcWldT~~zou]D<>tboVlb`h=4rrv7?vekc01xoaePICWEa>uddbUBNXHPBTDD<>uwi{~jb;74sqk\wigqm=1xhb6;rqfhZOE]O?0yo{ed9ppdrbWOYFSKHk1038wqgsmVLXARHId0/Jj44<{}kiRH\M^DE`4+Nf8o0yo{e^DPIZ@Al;;:7~zntd]EWHYANm8&Ec?=;rvbp`YA[DUMJi<"Io3f?vrf|lUM_@QIFe125>usi}oTJ^CPFGf0)Lh6:2ymykPFRO\BCb4%@d:=?5|t`vf[CUJWOLo? Ga2008wqgsmVLXARHId2/Jj1753z~jxhQISL]EBa5*Ag?::6}{aug\BVKXNOn8!D`:_RU3<>rhxeo}ia?:;umsh`pbdV^BAH]_EB]VGC2<|{n96y}_dl;?}okagr~b<64xml{[dssgV:Ttil crv-|gecuIJ{>kji;AB{3;04`1g|f=986<5a42692>"3:=0?=l5rSb:9063=:hn1=>=?601962b3m2Y9=<498282>4548?;86?7l069Pg=<10:0:6<=<0730>7?d8h1X>23g89<7;?9`;6bc=#:8l14ho4$302>7gd3^9=i4?:082>677sZio69=::3cg>4548?;86?9k4`9'05g=:8>0Z9n?52e0xL1613-92576ic:X:`?7|;;0v(>;::318 1452=kh7)=:4;602>\3::0:w9ol:|Xb2?7|7;I62`>N38?1/8?k53178 77720:;7c:<0;38 60221lh7b=?8;29 14b2::<76sm43295?7=83:p(>76:0a0?M26l2B?<;5+43g906><,;;;64>?;o604?4<,:<>65hl;n3`4?6=,=8n6>>8;:a0a0=93<1<7>t$2;:>4?e3A>:h6F;079'5ad=<2.?>h4>a`9K77d<,;;;64>?;[1:0?7|<>91qc:<0;18 60221lh7d7j:18'07c=ik10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl;d682>3<729q/?47518`8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=nl?0;6):=e;3g6>=h;;;1<7*;2d8042==n;8k1<7*;2d8014=o47?56;294~"4100:5o5G40f8L1613-;on7:4$50f>4gf3A99n6*=118:45=];0>1=v:83;m066==2.8:847fb9j=`<72->9i7om;:kg2?6=,=8n69i7=?7:9je0<72->9i7o:;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f3gc280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;3185?!51=32mo6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm6`g95?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x025=ug>8<794$246>=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=56h4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg0e83;1:7>50z&0=<<61o1C80(9"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb7`2>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4n;%151?>ak2c2i7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi:o<51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4619yk2483h0(>8::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1j:0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>f=#;??14km4i8g94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c4a0?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>5d:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn;6k:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;90n7)=95;:eg>o>m3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>1o1=7850;2x 6?>283m7E:>d:J743=#9mh186*;2d82ed=O;;h0(???:823?_5><3;p8:=5}o604?`<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`59i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:028 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl99182>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd11;0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>45<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5=6<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:068 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl99582>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd11l0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>41<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5=c<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:0:8 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9a182>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1i;0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>4d<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5e6<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:0a8 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9a582>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1jl0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>4`<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5fc<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:328 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9c182>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1k;0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>75<,:<>65hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5g6<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:368 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9c582>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4l4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=568::9d`?l?b290/8?k5ac98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?le0290/8?k533g8?xd?n;0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>71<,:<>65hl;h;f>5<#<;o1mo54ie494?"3:l0:h?54o202>5<#<;o1?=94;hc6>5<#<;o1m854i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`;ac<62?0;6=u+38;959i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:3:8 60221lh7d7j:18'07c=ik10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl;7e82>=<729q/?4751`18L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y736=`d3`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e<>o1=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:=5}o604?4f3-9=976ic:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb55e>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<95821142td??=4=b:&0205$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=3<7?58;294~"4100:m>5G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1=v:83;m066=:j1/?;;58ga8mh4nb:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?lg2290/8?k5a498m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`7<4<6210;6=u+38;95d5<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:3f8 60221lh7d7j:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi85<51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4619yk24838n7)=95;:eg>o>m3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f1e7280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;3181b>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5a2>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4<0:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9mn:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;908=6*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=ii6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99<:|l775<4:2.8:847fb9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1ed280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;31807>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5ag>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4<4:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9mj:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;90896*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=im6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99<:|l775<4>2.8:847fb9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1b7280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;31803>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5f2>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4<8:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9m=:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;90856*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=i86<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99<:|l775<4i2.8:847fb9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1e3280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;3180f>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5a6>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=45$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9m9:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;908h6*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=i<6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99<:|l775<4m2.8:847fb9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1e?280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;3180b>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5a:>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4;0:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn?5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`114<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572=80(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;9o6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4;3:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>12<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f75a280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90?96*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:548 60221lh7do8:18'07c=9m807d!25m39;;65rb362>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<3?2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=m0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?:<:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`11`<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572=k0(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;>>6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4;b:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>1e<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f720280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90?h6*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:5g8 60221lh7do8:18'07c=9m807d!25m39;;65rb36:>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<3n2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5>80:6>4?:1y'7"3:l0?=o5+2029=564$246>=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?:m:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`127<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572<80(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;>o6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4:3:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>02<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f74a280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90>96*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:448 60221lh7do8:18'07c=9m807d!25m39;;65rb312>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<2?2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=:0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?=<:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`111<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j15728::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;9>6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4:b:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>0e<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f750280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90>h6*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:4g8 60221lh7do8:18'07c=9m807d!25m39;;65rb31:>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<2n2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=>0:6>4?:1y'7"3:l0?=o5+2029=564$246>=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?=m:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`11=<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572?80(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;?26<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=493:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl;6g82>7<729q/?475999K04b<@=:=7):=e;c;?!46833;<6T<95821142td??=494:&020<3>11d>ll50;&76`<48>10e7<729q/?475999K04b<@=:=7):=e;c;?!46833;<6`;31851>"4><0?:55G989l6dd=93.?>h4<0698m4e0280/8?k51e08?xd3?90:6?h50;2x 6?>2mk0D9?k;I632>\>l3;p8;4rfca96>hd8390bn?5189'g6<61h1/o94;4:&`1?4f12.h:7<=;%fa>6>23-nh6??:;%305?46=2.:4:4=a89'5=>=;080(<76:048j675291/?;o518c8 16>283j7)N40h1/8?k5d`9'<>59128j1572?<0(>8::54;?M7an2B9<=5`b183>>o49k0:6E=>6:l0=n;1;1<7F<5e98kd`=831b?5>50;J01a=M52l21dmi4?::mba?6=3`95H27g?>odn3:1D>;k;:k01d<72A9>h65fd583>M52l21bh<4?:I16`>=n;;o1=7`<8c82?>i4;:k`6?7=@:;=7W=64;3x022=u2cih7?5H235?>oc:3:1D>;k;:m01g<72A9>h65f34:94?N4=m1C?<84;h12`?7=f:2i6<54ie794?N4=m10e5H27g?>ifk3;17bli:188m63>290C?8j4H235?>oc;3:1D>;k;:m`=?6=@:?o76g>bd83>M52l21b?:j50;J01a=>o60?0;66a<7b83>!56=39i4?h0;6)=>5;14f>h49=0:7)h5i?0=76a<7883>!56=39i4?10;6)=>5;14f>h49=087)h5i?0?76a<7683>!56=39i4??0;6)=>5;14f>h49=0>7)h5i?0976a<7483>!56=39i4?=0;6)=>5;14f>h49=0<7)h5i?0;76sm47g95?4=83:p(>76:0ae?M26l2B?<;5+a0823==#9m;1?4<4$50f>d><,;:j6"59902<=5+1e290>h3;90=;6*<64872==n9j=1<7*;2d82`7=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:7:8 6022=nj7do8:18'07c=9m807d!25m39;;65rb426>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<112.8:84;d`9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3n?0:694?:1y'7"3:l09>95+2029=561bf3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn8>;:080>5<7s-9257:>c:J75a=O<9<0(9"4><0?hl5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`7b1<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572?i0(>8::5fb?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj<:86<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=49d:&020<3lh1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl;f382>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>3c<,:<>69jn;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f06528086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90=j6*<6487`d=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:628 6022=nj7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb422>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<092.8:84;d`9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3ml0:694?:1y'7"3:l09>95+2029=561bf3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn8>?:080>5<7s-9257:>c:J75a=O<9<0(9"4><0?hl5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`7af<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572>>0(>8::5fb?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj=lm6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=485:&020<3lh1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl;e`82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>20<,:<>69jn;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f1`b28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90<;6*<6487`d=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:6:8 6022=nj7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb5dg>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<012.8:84;d`9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3m?0:694?:1y'7"3:l09>95+2029=561bf3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn9hn:080>5<7s-9257:>c:J75a=O<9<0(9"4><0?hl5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`7a0<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572>i0(>8::5fb?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj?:o6<47:183!5>13;j86F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=09w5k8:550>xh3;90of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg07k3;147>50z&0=<<6i=1C80(9h4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`54g<6210;6=u+38;95d2<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>7}?m>0?;>4rn513>2`<,:<>69jn;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm61c95?>=83:p(>76:0c7?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;0x<`1=<>91qc:<0;:3?!51=3>om6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn;>6:08;>5<7s-9257?n4:J75a=O<9<0(1142td??=471:&020<3lh1b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;h11a?6=,=8n6>=n;=i1<7*;2d802<=6343A99n6*=118:45=];0>1>v6j7;647?{i<::14?5+37790ag=ni<0;6):=e;c6?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd2<<0:654?:1y'70D9?k;I632>"6lk0?7):=e;167>N4:k1/><>59128^6?32;q3i:4;728~j1572190(>8::5fb?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?l5293:1(986;:a112=9321<7>t$2;:>4g33A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?4|0l=18:=5}o604?>33-9=97:ka:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb460>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<9581=c02==86p`;318;1>"4><0?hl5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9010qo;;2;39a59K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:52z:f3?20;3we8>>5879'733=5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th>8<4>:983>5}#;031=l:4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=561bf3`3n6=4+43g9eg==nl?0;6):=e;3g6>=n;;81<7*;2d8023==7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e==:1=7650;2x 6?>28k?7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><38p4h954619yk2483237)=95;6ge>o>m3:1(9h4>d398m645290/8?k53748?j5593:1(9o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f05a28036=4?{%1:=?7f<2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<5s1o<699<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c7fe?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>58`9'733=5$50f>4b532e8><4?:%61a?57?21b?9g9K04b<@=:=7)?kb;68 14b28kj7E==b:&155<>891Q?4:51z647?{i<::14o5+37790ag=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gf3A99n6*=118:45=];0>1=v:83;m066=0j1/?;;54ec8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg3b?3;1:7>50z&0=<<61o1C80(9!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c7f2?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>58d9'733=5$50f>4b532e8><4?:%61a?57?21b?9g9K04b<@=:=7)?kb;68 14b28kj7E==b:&155<>891Q?4:51z647?{i<::14k5+37790ag=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gf3A99n6*=118:45=];0>1=v:83;m066=191/?;;54ec8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg3b;3;1:7>50z&0=<<61o1C80(9!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c7ff?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>5939'733=10el;50;&76`9c9K04b<@=:=7)?kb;68 14b28kj7E==b:&155<>891Q?4:51z647?{i<::15>5+37790ag=nl?0;6):=e;3g6>=h;;;1<7*;2d8042==n;8k1<7*;2d8014=4gf3A99n6*=118:45=];0>1=v:83;m066=1=1/?;;54ec8mh4nb:9j`3<72->9i7?k2:9l777=83.?>h4<0698md3=83.?>h4n5:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg07m3;1:7>50z&0=<<61k1C87):=e;3be>N4:k1/><>59128 76021ln7W=64;0x<`1=<>91qc:<0;;6?!51=3>om6g6e;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm5e795?0=83:p(>76:0;a?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x025=ug>8<779;%151?2ci2c2i7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi9io51;494?6|,:326<7m;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4619yk24833<7)=95;6ge>o>m3:1(9of=3:1(9od?3:1(9{e>>n1=7850;2x 6?>283i7E:>d:J743=#9mh186*;2d82ed=O;;h0(???:823?_5><3;p8:=5}o604???3-9=97:ka:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?a3A>:h6F;079'07c=9hk0(?;[1:0?7|0l=1qc:<0;;:?!51=3<:<6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm62:95?0=83:p(>76:0;e?M26l2B?<;5+43g95dg<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<77n;%151?0682c2i7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi:>951;494?6|,:326<7i;I62`>N38?1/8?k51`c8 4be2=1C??l4$333><673S9287?t8d59yk24833i7)=95;424>o>m3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>:<1=7850;2x 6?>283m7E:>d:J743=#<;o1=lo4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604??d3-9=978>0:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?a3A>:h6F;079'07c=9hk0(?;[1:0?7|0l=1qc:<0;;g?!51=3<:<6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm62695?0=83:p(>76:0;e?M26l2B?<;5+43g95dg<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<77j;%151?0682c2i7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi:>=51;494?6|,:326<7i;I62`>N38?1/8?k51`c8 4be2=1C??l4$333><673S9287?t8d59yk24833m7)=95;424>o>m3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>:81=7850;2x 6?>283m7E:>d:J743=#<;o1=lo4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604?g73-9=978>0:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?e3A>:h6F;079'07c=9hk0(?;[1:0?7|0l=1qc:<0;c2?!51=3<:<6g6e;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm67495?0=83:p(>76:0;a?M26l2B?<;5+43g95dg<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<7o=;%151?0682c2i7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi:;;51;494?6|,:326<7m;I62`>N38?1/8?k51`c8 4be2=1C??l4$333><673S9287?t8d59yk2483k87)=95;424>o>m3:1(9of=3:1(9od?3:1(9{e>?>1=7850;2x 6?>283i7E:>d:J743=#<;o1=lo4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604?g33-9=978>0:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?e3A>:h6F;079'07c=9hk0(?;[1:0?7|0l=1qc:<0;c6?!51=3<:<6g6e;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm67095?0=83:p(>76:0;a?M26l2B?<;5+43g95dg<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<7o9;%151?0682c2i7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi:;?51;494?6|,:326<7m;I62`>N38?1/8?k51`c8 4be2=1C??l4$333><673S9287?t8d59yk2483k<7)=95;424>o>m3:1(9of=3:1(9od?3:1(9{e>?:1=7850;2x 6?>283i7E:>d:J743=#<;o1=lo4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604?g?3-9=978>0:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?d3A>:h6F;079'5ad=<2.?>h4>a`9K77d<,;;;64>?;[1:0?7|0l=1qc:<0;c:?!51=3<:<6g6e;29 14b2hh07dm8:18'07c=;;o07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76sm66395?0=83:p(>76:0;`?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x<`1=ug>8<7on;%151?0682c2i7>5$50f>dd<3`i<6=4+43g977c<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632wi::>51;494?6|,:326<7l;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t8d59yk2483ki7)=95;424>o>m3:1(9of=3:1(9{e>?l1=7850;2x 6?>283h7E:>d:J743=#9mh186*;2d82ed=O;;h0(???:823?_5><3;p4h95}o604?gd3-9=978>0:k:a?6=,=8n6ll4;ha4>5<#<;o1??k4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:a221=93<1<7>t$2;:>4g03A>:h6F;079'07c=9hk0(?;[1:0?7|0l=1qc:<0;cg?!51=3<:<6g6e;29 14b2hh0D99i7=?7:9je0<72->9i7o:;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f31f280=6=4?{%1:=?7>j2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s1o<6p`;318ba>"4><0===5f9d83>!25m3ki76gk6;29 14b28n976a<2083>!25m39;;65fa483>!25m3k>76g<1`83>!25m39>=65fc683>!25m399i65rb71b>4<1290;w)=69;3b6>N39m1C8=84$50f>4gf3-;on7?:;%024??782.9<:47fd9Y7<2=9r2n;7sa4229ec=#;??1:<>4i8g94?"3:l0jn6F;2e98ma0=83.?>h4>d398m67f290/8?k53438?j5593:1(9of=3:1(95<7s-9257?6f:J75a=O<9<0(90D>{550>xh3;90i<6*<648555=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?836<49:183!5>13;2j6F;1e9K050<,=8n60;;34>\41=0:w99<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f340280=6=4?{%1:=?7>n2B?=i5G4148 14b28kj7)?kb;68L64e3-8:<77?0:X0=1<6s==86p`;318a6>"4><0===5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb705>4<1290;w)=69;3:b>N39m1C8=84$50f>4gf3-;on7:4H20a?!46833;<6T<95821142td??=4m3:&020<1991b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn;<::085>5<7s-9257?6f:J75a=O<9<0(90D>{550>xh3;90i86*<648555=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?8?6<49:183!5>13;2j6F;1e9K050<,=8n60;;34>\41=0:w99<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f344280=6=4?{%1:=?7>n2B?=i5G4148 14b28kj7)?kb;68L64e3-8:<77?0:X0=1<6s==86p`;318a2>"4><0===5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb701>4<1290;w)=69;3:b>N39m1C8=84$50f>4gf3-;on7:4H20a?!46833;<6T<95821142td??=4m7:&020<1991b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn59<:087>5<7s-9257<=5:J75a=O<9<0(9"4><039o5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`;3<<62<0;6=u+38;964g<@=;o7E:?6:&052"4><039o5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9ll50;&76`<48>10qo68e;391?6=8r.8544=1`9K04b<@=:=7)=>7;:a7>"3:l08>i5+2029=56<,8ni6=d43g>8<7ln;%151?>2j2c:o54?:%61a?>b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>i5ik0;6):=e;133>=zj1=96<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4mb:&0200<729q/?47520c8L17c3A>;:6*<168;f7=#<;o1??j4$333><673-;on7:7;%12e:2d??=4mc:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=h:hh1<7*;2d8042=<>59128 4be28;0(>?7:9`1?k2483ho7)=95;:6f>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:m1eg<72->9i7=?7:9~f=16280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90ii6*<648;1g=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:483>5}#;031>2.8=:47b09'07c=;;n0(???:823?!7cj3>37)=>8;:a5>h3;90ij6*<648;1g=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(9h4<0698yg>0k3;197>50z&0=<<59h1C84$0fa>47<,:;365l>;o604?e73-9=976:b:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876a=ac83>!25m39;;65rb953>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l7759i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd???0:684?:1y'7"49>03n=5+43g977b<,;;;64>?;%3gf?2?3-9:476m0:l775=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=9;%123?>e82.?>h4<2e9'646=19:0(n6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432e9mo4?:%61a?57?21vn58i:087>5<7s-9257<=5:J75a=O<9<0(9"4><039o5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`;=<<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572j?0(>8::97a?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj1336<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4l6:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl78082>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>f1<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=g428086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90h46*<648;1g=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=4>:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:b;8 60221?i7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb9c1>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7759i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?180:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn5o>:080>5<7s-9257:>c:J75a=O<9<0(9"4><039o5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;<>59128j1572jn0(>8::97a?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj1k;6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4le:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl78e82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>f`<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=?a28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90o<6*<648;1g=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:e38 60221?i7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb9;f>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7759i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?000:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn57k:080>5<7s-9257:>c:J75a=O<9<0(9"4><039o5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;<2<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572m?0(>8::97a?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj13h6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4k6:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl78482>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>a1<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=?e28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90o46*<648;1g=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=4>:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:e;8 60221?i7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb9;4>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7759i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?090:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn5m::08;>5<7s-9257?n3:J75a=O<9<0({556>xh3;90oo6*<648;1g=n1l0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg>d<3;147>50z&0=<<6i:1C80(9<97sa4229`a=#;??148l4i8g94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl7ce82>=<729q/?4751`18L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y730=3e3`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e0ji1=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?ba3-9=976:b:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb9aa>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<95821122td??=4j0:&0205$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=5G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1=v:85;m066=m81/?;;584`8mh4nb:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?lg2290/8?k5a498m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`;g<<6210;6=u+38;95d5<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:d08 60221?i7d7j:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi4n651;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483o87)=95;:6f>o>m3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f=e028036=4?{%1:=?7f;2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<6s==>6p`;318f0>"4><039o5f9d83>!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo6l6;39a29K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1i85+3779<0d=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd?k:0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;84rn513>`0<,:<>65;m;h;f>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm8b095?>=83:p(>76:0c0?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7k8;%151?>2j2c2i7>5$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj1nn6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99::|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=bc280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==>6p`;318f=>"4><039o5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb9f`>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821122td??=4ja:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn5jm:085>5<7s-9257?6f:J75a=O<9<0({556>xh3;90nn6*<648;1g=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj1nj6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99::|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=b>280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==>6p`;318f`>"4><039o5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb9f;>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821122td??=4je:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn5j8:085>5<7s-9257?6f:J75a=O<9<0({556>xh3;90nj6*<648;1g=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj1in6<49:183!5>13;2n6F;1e9K050<,8ni6<;4$50f>4gf3A99n6*=118:45=#:9=14kk4Z2;7>4}3?<0vb9=?:g28 60221?i7d7j:18'07c=ik10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl8eg82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>c7<,:<>6:j8;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f2`2280>6=4?{%1:=?46i2B?=i5G4148 670218m7):=e;11`>"59902<=5+1e`90==#;8214?h4n513>c4<,:<>6:j8;h3`5=o6kk0;6):=e;:f?k25k3907b76:33b?M26l2B?<;5+3059<7`<,=8n6>1:&05=>5f29'733=?m=0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:g68 6022>n<7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb6d7>4<2290;w)=69;02e>N39m1C8=84$234>=4b3->9i7==d:&155<>891/=il5499'74>=0;o0b9=?:g78 6022>n<7d?l8;29 14b21o0b9290/8?k58d9m07e=921b=no50;&76`n4=;:k2gg<72->9i76j;o61g?5<3f8jn7>5$50f>66032wi;k751;794?6|,:326??n;I62`>N38?1/?<9583g8 14b2:8o7)<>0;;34>"6lk0:=6*<198;6`=i<::1j;5+37793a1o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9"3:l09>95+2029=562b03`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn:h<:086>5<7s-9257<>a:J75a=O<9<0(>?8:90g?!25m399h6*=118:45=#9mh1855+30:9<7b2b03`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?j4fj3:1(9{e?o21=7;50;2x 6?>2;;j7E:>d:J743=#;8=14?j4$50f>64c3-8:<77?0:&2`g<692.8=5472e9m066=n01/?;;57e58m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54o3ca>5<#<;o1?=94;|`4af<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572ok0(>8::6f4?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>l96<4::183!5>138:m6F;1e9K050<,:;<658::6f4?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;n0bf?6=,=8n6>>8;:a3c1=93?1<7>t$2;:>77f3A>:h6F;079'741=0;i0(9dc825>"49103>n5a4229bf=#;??1;i94i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(91<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>cb<,:<>6:j8;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=7228086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90mi6*<6484`2=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:gd8 6022>n<7do8:18'07c=9m807d!25m39;;65rb6dg>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6891/?;;57e58md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e08l1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7??1:&020<0l>1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl70g82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>4653-9=979k7:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg>6m3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1===4$246>2b03`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn5>k:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a<4b=9391<7>t$2;:>17d3A>:h6F;079'07c=<8h0(???:823?k2483;;96*<6484`2=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:025?!51=3=o;6gn7;29 14b28n?76gkd;29 14b28n876g<4b83>!25m39?j65`2``94?"3:l08<:54}c:2g?7=;3:19;%61a?26j2.9==46019m066=99=0(>8::6f4?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj1:26<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>099'733=?m=0el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7712.8:848d69je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?8>0:694?:1y'7"3:l09>95+2029=56n;%151?1c?2cj;7>5$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo6>a;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a422955d<,:<>6:j8;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f=62280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:h6=4+43g971`<3f8jn7>5$50f>66032wi4<751;194?6|,:3269?l;I62`>N38?1/8?k540`8 77720:;7c:<0;33`>"4><0!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;46<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j15728:n7)=95;5g3>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=9i7:>b:&155<>891e8>>511d8 6022>n<7do8:18'07c=9m807d!25m39;;65rb922>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6991/?;;57e58md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e08=1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7?>1:&020<0l>1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl8fg82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>4753-9=979k7:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg>6;3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1=<=4$246>2b03`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn:hl:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a<17=9321<7>t$2;:>4g43A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;321>"4><0!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo6;0;39a29K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=<84$246>2b03`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e0=31=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?76?2.8:848d69j=`<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c:79;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>510:8 6022>n<7d7j:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi49951;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;:56*<6484`2=n1l0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg>3>3;147>50z&0=<<6i:1C80(9<97sa422954g<,:<>6:j8;h;f>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm85795?>=83:p(>76:0c0?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?>b:&020<0l>1b5h4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=5G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1=v:85;m066=98i0(>8::6f4?l?b290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a<15=9321<7>t$2;:>4g43A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;32`>"4><0!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo6;2;39a29K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=2b03`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e0:l1=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?76n2.8:848d69j=`<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c:0a?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>51328 6022>n<7d7j:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi48o51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4679yk2483;9=6*<6484`2=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj1?26<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99::|l775<6:;1/?;;57e58mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg>203;1:7>50z&0=<<61o1C80(9<97sa4229575<,:<>6:j8;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`;12<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:007?!51=3=o;6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm84495?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x023=ug>8<7?=5:&020<0l>1b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn5;::085>5<7s-9257?6f:J75a=O<9<0({556>xh3;90:>;5+37793a1=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=87?56;294~"4100:5k5G40f8L1613-;on7:4$50f>4gf3A99n6*=118:45=];0>1=v:85;m066=9;=0(>8::6f4?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd?=:0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;84rn513>44?3-9=979k7:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?e3A>:h6F;079'5ad=9<1/8?k51`c8L64e3-8:<77?0:&1422b03`3n6=4+43g9eg=6=4+43g9e0=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:00b?!51=3=>?6gn7;29 14b28n?76gkd;29 14b28n876g<4b83>!25m39?j65`2``94?"3:l08<:54}c545?7==3:19;%123?1fj2.?>h4<2e9'646=19:0(ki7c:<0;31f>"4><0<9>5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9ll50;&76`<48>10qo986;391?6=8r.8544=1`9K04b<@=:=7)=>7;5bf>"3:l08>i5+2029=56<,8ni62ge3g>8<7?=c:&020<0=:1b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=h:hh1<7*;2d8042=85G40f8L1613->9i7<=4:&155<>891e8>>513f8 6022>?87do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb653>4<2290;w)=69;02e>N39m1C8=84$234>2gf3->9i7==d:&155<>891/=il5499'74>=?hk0b9=?:00f?!51=3=>?6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432e9mo4?:%61a?57?21vn:9::086>5<7s-9257<>a:J75a=O<9<0(>?8:6cb?!25m399h6*=118:45=#9mh1=<5+30:93dgb3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>i5ik0;6):=e;133>=zj><26<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>319'733=?<90el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:33b?M26l2B?<;5+30593d?<,=8n6>>51238 6022>?87d?l8;29 14b21o0b9290/8?k58d9m07e=921b=no50;&76`n4=;:k2gg<72->9i76j;o61g?5<3f8jn7>5$50f>66032wi;::51;794?6|,:326??n;I62`>N38?1/?<957`;8 14b2:8o7)<>0;;34>"6lk0:=6*<1984e<=i<::1=><4$246>2343`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?j4fj3:1(9{e??21=7:50;2x 6?>2;8>7E:>d:J743=#<;o1>?:4$333><673g>8<7?<3:&020<0=:1bm:4?:%61a?7c<21bhi4?:%61a?7c;21b?9m50;&76`<40<729q/?47520c8L17c3A>;:6*<1684e==#<;o1??j4$333><673-;on7:7;%12359'733=?<90eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=4>:483>5}#;031>2.8=:48a99'07c=;;n0(???:823?!7cj3;:7)=>8;5b<>h3;90:?85+3779305o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9>0:694?:1y'7"3:l09>95+2029=565$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo961;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a4229561<,:<>6:;<;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f2?728086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90:?55+37793055$50f>66032wi;:751;694?6|,:326?<:;I62`>N38?1/8?k52368 77720:;7c:<0;30=>"4><0<9>5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`4=g<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j157289j7)=95;567>of?3:1(9o58o0;6):=e;3g6>=h:hh1<7*;2d8042=85G40f8L1613->9i7<=4:&155<>891e8>>512`8 6022>?87do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb6;b>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<6;j1/?;;57418md1=83.?>h4>d398m76a290/8?k51e08?j4fj3:1(9{e?131=7:50;2x 6?>2;8>7E:>d:J743=#<;o1>?:4$333><673g>8<7?6<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>45b3-9=979:3:kb3?6=,=8n69i7?k2:9l6dd=83.?>h4<0698yg1??3;187>50z&0=<<5:<1C8h4$246>2343`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn:77:080>5<7s-9257:>c:J75a=O<9<0(95<#<;o1=i<4;n0bf?6=,=8n6>>8;:a3=3=93>1<7>t$2;:>7423A>:h6F;079'07c=:;>0(???:823?k2483;?=6*<648416=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:061?!51=3=>?6gn7;29 14b28n976g=0g83>!25m3;o>65`2``94?"3:l08<:54}c5;7?7=<3:19;%61a?45<2.9==46019m066=9=90(>8::670?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>3=6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4>459'733=?<90el950;&76`<6l;10e?>i:18'07c=9m807b76:306?M26l2B?<;5+43g9672<,;;;64>?;o604?73=2.8:848529je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd01<0:6>4?:1y'7"3:l0?=o5+2029=565$50f>4b532c9ll50;&76`<48>10qo98f;390?6=8r.8544=249K04b<@=:=7):=e;010>"59902<=5a4229511<,:<>6:;<;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f2?328086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90:855+37793055$50f>66032wi;:j51;694?6|,:326?<:;I62`>N38?1/8?k52368 77720:;7c:<0;37=>"4><0<9>5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`4=6<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j15728>j7)=95;567>of?3:1(9o58o0;6):=e;3g6>=h:hh1<7*;2d8042=85G40f8L1613->9i7<=4:&155<>891e8>>515`8 6022>?87do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb6:e>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<6h4>d398m76a290/8?k51e08?j4fj3:1(9{e?>21=7:50;2x 6?>2;8>7E:>d:J743=#<;o1>?:4$333><673g>8<7?;d:&020<0=:1bm:4?:%61a?7c<21bhi4?:%61a?7c;21b?9m50;&76`<4=<729q/?4751`18L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj>hh6<47:183!5>13;j?6F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=0:w99::|l775<6h4nb:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?lg2290/8?k5a498m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`4g0<6210;6=u+38;95d5<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:073?!51=3=>?6g6e;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn:m;:08;>5<7s-9257?n3:J75a=O<9<0({556>xh3;90:9<5+3779305=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd0k:0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;84rn513>4353-9=979:3:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb6a1>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<95821122td??=4>529'733=?<90e4k50;&76`?10c><>:18'07c=;9=07do::18'07c=i<10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th:983>5}#;031=l=4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=56o>m3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f2e728036=4?{%1:=?7f;2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<6s==>6p`;318210=#;??1;8=4i8g94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl8bg82>=<729q/?4751`18L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj>hn6<47:183!5>13;j?6F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=0:w99::|l775<6=>1/?;;57418mh4nb:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?lg2290/8?k5a498m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`4fg<6210;6=u+38;95d5<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:07;?!51=3=>?6g6e;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn:ln:08;>5<7s-9257?n3:J75a=O<9<0({556>xh3;90:945+3779305=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd0l?0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;84rn513>43f3-9=979:3:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?a3A>:h6F;079'5ad=<2.?>h4>a`9K77d<,;;;64>?;[1:0?7|<>?1qc:<0;36f>"4><0<9>5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb6f7>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821122td??=4>5b9'733=?<90e4k50;&76`5$50f>4b532e8><4?:%61a?57?21b?9g9K04b<@=:=7)?kb;68 14b28kj7E==b:&155<>891Q?4:51z641?{i<::1=8j4$246>2343`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=56o>m3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e?m;1=7850;2x 6?>283m7E:>d:J743=#9mh186*;2d82ed=O;;h0(???:823?_5><3;p8:;5}o604?72n2.8:848529j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f2b7280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==>6p`;318225=#;??1;8=4i8g94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c5`b?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20=3we8>>51738 6022>?87d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl8c782>3<729q/?47518`8L17c3A>;:6*>dc821>"3:l0:ml5G33`8 77720:;7)\41=0:w99::|l775<6>;1/?;;57418mh4nb:9j`3<72->9i7?k2:9l777=83.?>h4<0698md3=83.?>h4n5:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg0b?3;187>50z&0=<<5:<1C83ea3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn;kk:086>5<7s-9257<>a:J75a=O<9<0(>?8:604?!25m399h6*=118:45=#9mh1855+30:9371b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>i5ik0;6):=e;133>=zj?l96<4::183!5>138:m6F;1e9K050<,:;<6:<8;%61a?55l2.9==46019'5ad=981/?<657358j15728<>7)=95;4`b>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:m1eg<72->9i7=?7:9~f3c1280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90::;5+37792f`h6=4+43g971`<3f8jn7>5$50f>66032wi:hm51;794?6|,:326??n;I62`>N38?1/?<957348 14b2:8o7)<>0;;34>"6lk0?46*<198463=i<::1=;94$246>3ea3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?j4fj3:1(9{e>o;1=7;50;2x 6?>2;;j7E:>d:J743=#;8=1;?84$50f>64c3-8:<77?0:&2`g<692.8=548279m066=9?20(>8::7ae?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;n0bf?6=,=8n6>>8;:a2`3=93>1<7>t$2;:>7423A>:h6F;079'07c=:;>0(???:823?k2483;=56*<6485gc=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:483>5}#;031>2.8=:48249'07c=;;n0(???:823?!7cj3>37)=>8;511>h3;90::l5+37792f`o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9"49>0<>85+43g977b<,;;;64>?;%3gf?763-9:479=5:l775<6>k1/?;;56bd8m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54o3ca>5<#<;o1?=94;|`5a1<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j15728of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=<>59128 4be2=20(>?7:607?k2483;=h6*<6485gc=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(9h4<0698yg0bn3;197>50z&0=<<59h1C84$0fa>47<,:;36:<;;o604?71m2.8:849cg9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=9;%61a?45<2.9==46019m066=9?l0(>8::7ae?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>:o6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4>719'733=>jl0el950;&76`<6l;10e?>i:18'07c=9m807b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7092.8:849cg9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd1n<0:694?:1y'7"3:l09>95+2029=565$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo9>7;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a4229525<,:<>6;mi;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f260280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:;95+37792f`h6=4+43g971`<3f8jn7>5$50f>66032wi;<851;194?6|,:3269?l;I62`>N38?1/8?k540`8 77720:;7c:<0;341>"4><0=ok5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`440<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j15728==7)=95;4`b>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=9i7:>b:&155<>891e8>>51658 6022?im7do8:18'07c=9m807d!25m39;;65rb620>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6?11/?;;56bd8md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e?8>1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7?89:&020<1ko1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl80082>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>41f3-9=978lf:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg16;3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1=:l4$246>3ea3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn;hi:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a344=9391<7>t$2;:>17d3A>:h6F;079'07c=<8h0(???:823?k2483;0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:05f?!51=3!25m39?j65`2``94?"3:l08<:54}c525?7=;3:19;%61a?26j2.9==46019m066=9>l0(>8::7ae?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj?li6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>819'733=>jl0el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7?92.8:849cg9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd1n00:694?:1y'7"3:l09>95+2029=565$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo9?f;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a42295=5<,:<>6;mi;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f3`0280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:495+37792f`h6=4+43g971`<3f8jn7>5$50f>66032wi;=l51;194?6|,:3269?l;I62`>N38?1/8?k540`8 77720:;7c:<0;3;1>"4><0=ok5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`5b1<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j157282=7)=95;4`b>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=5G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1=v:85;m066=91=0(>8::7ae?l?b290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a36>=9321<7>t$2;:>4g43A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;3;<>"4><0=ok5f9d83>!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo9;1;39a29K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=574$246>3ea3`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e?=:1=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?7?i2.8:849cg9j=`<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c50b?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>519`8 6022?im7d7j:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi;>k51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;3o6*<6485gc=n1l0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg14l3;147>50z&0=<<6i:1C80(9<97sa42295=b<,:<>6;mi;h;f>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm72a95?>=83:p(>76:0c0?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?7e:&020<1ko1b5h4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=5G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1=v:85;m066=91l0(>8::7ae?l?b290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a36g=9321<7>t$2;:>4g43A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;3:4>"4><0=ok5f9d83>!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo9<7;39a29K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=4?4$246>3ea3`3n6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e?:<1=7650;2x 6?>28k87E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?7>:2.8:849cg9j=`<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c566?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20=3we8>>51818 6022?im7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl85082>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi;8>51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4679yk2483;296*<6485gc=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj>>m6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99::|l775<61?1/?;;56bd8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg13m3;1:7>50z&0=<<61o1C80(9<97sa42295<1<,:<>6;mi;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`40a<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:0;;?!51=3<>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm75a95?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x023=ug>8<7?69:&020<1ko1b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn::m:085>5<7s-9257?6f:J75a=O<9<0({556>xh3;90:5l5+37792f`=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=7?56;294~"4100:5o5G40f8L1613-;on7?:;%61a?7fi2B8>o5+2029=56<,;:<65hj;[1:0?7|<>?1qc:<0;3:f>"4><0=ok5f9d83>!25m3ki76gk6;29 14b28n976a<2083>!25m39;;65fa483>!25m3k>76g<1`83>!25m39>=65fc683>!25m399i65rb203>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<>3g>8<7?6c:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76g>ce83>!25m32n7c:=c;78?j4fj3:1(9{e<2;;j7E:>d:J743=#;8=18884$50f>64c3-8:<77?0:&2`gn4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54o3ca>5<#<;o1?=94;|`727<62:0;6=u+38;964><@=;o7E:?6:&052<3?h6`;3182=`=#;??14km4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76a=ac83>!25m39;;65rb9d2>4<2290;w)=69;02e>N39m1C8=84$234>1313->9i7==d:&155<>891/=il5d69'74>=<<<0b9=?:0;e?!51=32mo6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432e9mo4?:%61a?57?21vn?<6:080>5<7s-9257<>8:J75a=O<9<0(>?8:560?!25m399h6*=118:45=#9mh1?:5+30:9015ak2c:o54?:%61a?>b3g>9o7>4;h3`=?6=,=8n65k4n50`>4==94>:283>5}#;031><64H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b94?:1y'7"49>0?8n5+43g977b<,;;;64>?;%3gf?273-9:47:;c:l775<6i;1/?;;54ec8m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:m1eg<72->9i7=?7:9~f07128086=4?{%1:=?4602B?=i5G4148 6702=>h7):=e;11`>"59902<=5+1e`905=#;82189m4n513>4g43-9=97:ka:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o1?=94;|`652<62:0;6=u+38;964><@=;o7E:?6:&052<3?n6`;3182e1=#;??18io4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76a=ac83>!25m39;;65rb43;>4<4290;w)=69;02<>N39m1C8=84$234>12e3->9i7==d:&155<>891/=il5419'74>=<=h0b9=?:0c6?!51=3>om6g>c983>!25m32n7c:=c;28?l7d13:1(96<729q/?47520:8L17c3A>;:6*<168703=#<;o1??j4$333><673-;on7:?;%122d??=4>a79'733=h47e:l76f<632e9mo4?:%61a?57?21vn9jl:080>5<7s-9257<>8:J75a=O<9<0(9dc817>h3;90:m:5+37790agi5ik0;6):=e;133>=zj=?26<4;:183!5>138:56F;1e9K050<,=8n6>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=:483>5}#;031>2.8=:4;479'07c=;;n0(???:823?!7cj3;jo6*<198703=i<::1=l74$246>1bf3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?j4fj3:1(9{e=881=7850;2x 6?>2;;i7E:>d:J743=#<;o1??j4$333><673-;on7?7b:l775<6ih1/?;;54ec8m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il51`:8j15728ki7)=95;6ge>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3f8jn7>5$50f>66032wi9n;51;694?6|,:326??6;I62`>N38?1/8?k533f8 77720:;7)?kb;3b?k2483;jo6*<6487`d=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(91<729q/?47520;8L17c3A>;:6*<168707=#<;o1??j4$333><673-;on7=:;%12ae9'733=h47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;n0bf?6=,=8n6>>8;:a1<7>t$2;:>77>3A>:h6F;079'741=<=n0(9dc80<>"4910?8i5a42295dc<,:<>65hl;h3`5=i5ik0;6):=e;133>=zj;8j6<4;:183!5>138:56F;1e9K050<,:;<69:<;%61a?55l2.9==46019'5ad=;11/?<654518j15728km7)=95;:eg>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo:90;391?6=8r.8544=1`9K04b<@=:=7)=>7;661>"3:l08>i5+2029=56<,8ni6<>4$23;>1323g>8<7?m0:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=h:hh1<7*;2d8042=>o7?55;294~"41009=l5G40f8L1613-9:;7::5:&76`<4:m1/><>59128 4be2o1/?<654478j15728h:7)=95;:eg>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:m1eg<72->9i7=?7:9~f74e280>6=4?{%1:=?46i2B?=i5G4148 6702=??7):=e;11`>"59902<=5+1e`97<=#;82188:4n513>4d53-9=976ic:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876a=ac83>!25m39;;65rb30g>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<4j2d??=4>b29'733=0oi0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj;8h6<49:183!5>138:n6F;1e9K050<,:;<69;;;%61a?55l2.9==46019'5ad=;h1/?<654468j15728h?7)=95;:eg>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3f8jn7>5$50f>66032wi9=651;494?6|,:326??m;I62`>N38?1/?<954458 14b2:8o7)<>0;;34>"6lk09i6*<198712=i<::1=o;4$246>1bf3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?l7dk3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>73b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"49>0??l5+43g977b<,;;;64>?;%3gf?g<,:;369=n;o604?7e?2.8:84;d`9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=i5ik0;6):=e;133>=zj<:n6<49:183!5>138:n6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il5a29m066=9k30(>8::5fb?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%123?22?2.?>h4<2e9'646=19:0("4><0?hl5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9"3:l08>i5+2029=56<,8ni6<7<;o604?7ej2.8:84;d`9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=i5ik0;6):=e;133>=zj<:o6<4;:183!5>138:56F;1e9K050<,:;<69:>;%61a?55l2.9==46019'5ad=9020(>?7:562?k2483;io6*<6487`d=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(91<729q/?47520;8L17c3A>;:6*<168717=#<;o1??j4$333><673-;on7j4$23;>1353g>8<7?md:&020<1991b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o1?=94;|`53<<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2=?0b9=?:0`f?!51=3<:<6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432c:on4?:%61a?>b3g>9o7:4;h3``?6=,=8n65k4n50`>0=4>:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`557<62<0;6=u+38;964g<@=;o7E:?6:&052<3<91/8?k533f8 77720:;7)?kb;g8 67?2=>;7c:<0;3`4>"4><0===5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9ll50;&76`<48>10qo8>9;391?6=8r.8544=1`9K04b<@=:=7)=>7;674>"3:l08>i5+2029=56<,8ni6?84$23;>1273g>8<7?l1:&020<1991b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=h:hh1<7*;2d8042=<>59128 4be28=0(>?7:51e?k2483;h>6*<648555=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(9h4<0698yg0603;197>50z&0=<<59h1C88j6*;2d806a=#:8:15=>4$0fa>44<,:;369=i;o604?7d;2.8:849119j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=9;%123?22;2.?>h4<2e9'646=19:0(>?6`;3182g1=#;??1:<>4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?j4fj3:1(9{e0?91=7;50;2x 6?>2;;j7E:>d:J743=#;8=18>k4$50f>64c3-8:<77?0:&2`g<282.8=54;3d9m066=9j?0(>8::97a?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;n0bf?6=,=8n6>>8;:a<33=93<1<7>t$2;:>77e3A>:h6F;079'741=<:o0(9dc865>"4910??h5a42295f0<,:<>65;m;h3`5=o6kk0;6):=e;:f?k25k3907d?lc;29 14b21o0b9>0:6:4?:1y'7"3:l08>i5+2029=56<,8ni6l:4n513>4e03-9=976:b:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?l7dl3:1(91<729q/?47520;8L17c3A>;:6*<16870d=#<;o1??j4$333><673-;on7:6;%12c99'733=0h47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;n0bf?6=,=8n6>>8;:a<3b=9391<7>t$2;:>77?3A>:h6F;079'741=<=k0(9dc803>"4910?8l5a42295f?<,:<>65;m;h3`5=9;%123?24l2.?>h4<2e9'646=19:0("4><0o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9ll50;&76`<48>10qo9j1;392?6=8r.8544=1c9K04b<@=:=7)=>7;60`>"3:l08>i5+2029=56<,8ni68?4$23;>15c3g>8<7?lb:&020<0l>1b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76a=ac83>!25m39;;65rb6g0>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`gcb9'733=?m=0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj>oj6<4;:183!5>138:56F;1e9K050<,:;<69:6;%61a?55l2.9==46019'5ad=<01/?<6545;8j15728io7)=95;5g3>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo9j9;397?6=8r.8544=199K04b<@=:=7)=>7;67=>"3:l08>i5+2029=56<,8ni6>94$23;>12>3g>8<7?le:&020<0l>1b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3f8jn7>5$50f>66032wi;8l51;794?6|,:326??n;I62`>N38?1/?<9542a8 14b2:8o7)<>0;;34>"6lk0><6*<19877f=i<::1=nh4$246>2343`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?j4fj3:1(9{e?2;;i7E:>d:J743=#;8=18>m4$50f>64c3-8:<77?0:&2`g<292.8=54;3b9m066=9m:0(>8::670?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`423<62=0;6=u+38;964?<@=;o7E:?6:&052<3<11/8?k533f8 77720:;7)?kb;6:?!5603>?46`;3182`7=#;??1;8=4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?j4fj3:1(9{e???1=7=50;2x 6?>2;;37E:>d:J743=#;8=18964$50f>64c3-8:<77?0:&2`g<4?2.8=54;499m066=9m90(>8::670?l7d03:1(9h4<0698yg0c?3;197>50z&0=<<59h1C88n6*;2d806a=#:8:15=>4$0fa>06<,:;369=m;o604?7c<2.8:849cg9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=3:19;%123?24j2.?>h4<2e9'646=19:0("4><0=ok5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9n4;;:m1eg<72->9i7=?7:9~f3be280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0j86`;3182`3=#;??1:nh4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn;k=:087>5<7s-9257<>9:J75a=O<9<0(>?8:564?!25m399h6*=118:45=#9mh1845+30:9011b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65`2``94?"3:l08<:54}c4f5?7=;3:19;%123?23?2.?>h4<2e9'646=19:0(<7c:<0;3g<>"4><0=ok5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07b76:33`?M26l2B?<;5+43g977b<,;;;64>?;%3gf?253g>8<7?k9:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76g>ce83>!25m32n7c:=c;78?j4fj3:1(9{e0o21=7950;2x 6?>2;;h7E:>d:J743=#<;o1??j4$333><673-;on7:=;o604?7ci2.8:847fb9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=o6km0;6):=e;:f?k25k3?07b76:33`?M26l2B?<;5+43g977b<,;;;64>?;%3gf?253g>8<7?kb:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76g>ce83>!25m32n7c:=c;78?j4fj3:1(9{e2;;37E:>d:J743=#;8=189;4$50f>64c3-8:<77?0:&2`g<6001/?<654578j15728nh7)=95;6ge>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9"3:l08>i5+2029=56<,8ni6:5a42295ab<,:<>69jn;h3`5=o6kk0;6):=e;:f?k25k3907d?lc;29 14b21o0b9ll50;&76`<48>10qo8;1;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4ba3-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e>=91=7:50;2x 6?>2;;27E:>d:J743=#;8=188?4$50f>64c3-8:<77?0:&2`g<60h1/?<654438j15728o;7)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo8;5;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?;%151?0682c:o54?:%61a?>b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4c53-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e>==1=7:50;2x 6?>2;;27E:>d:J743=#;8=188?4$50f>64c3-8:<77?0:&2`g<60h1/?<654438j15728o87)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo8;9;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4c23-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e>=h1=7:50;2x 6?>2;;27E:>d:J743=#;8=188>4$50f>64c3-8:<77?0:&2`g<60h1/?<654428j15728o=7)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo8;d;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4c?3-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e>=l1=7:50;2x 6?>2;;27E:>d:J743=#;8=188>4$50f>64c3-8:<77?0:&2`g<60h1/?<654428j15728o27)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo8:1;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4ce3-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e><91=7:50;2x 6?>2;;27E:>d:J743=#;8=189k4$50f>64c3-8:<77?0:&2`g<60h1/?<6545g8j15728oh7)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo8:5;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4cb3-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e><=1=7:50;2x 6?>2;;27E:>d:J743=#;8=189k4$50f>64c3-8:<77?0:&2`g<60h1/?<6545g8j15728om7)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo884;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95d?b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68=4n513>4`63-9=978>0:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?j4fj3:1(9{e>><1=7:50;2x 6?>2;;27E:>d:J743=#;8=188<4$50f>64c3-8:<77?0:&2`g<60h1/?<654408j15728l97)=95;424>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo699;397?6=8r.8544=199K04b<@=:=7):=e;11`>"59902<=5+1e`95=?2j2c:o54?:%61a?>b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`;1`<62=0;6=u+38;964?<@=;o7E:?6:&76`<4:m1/><>59128 4be2=;0b9=?:0d6?!51=32>n6g>c983>!25m32n7c:=c;28?l7d13:1(9h4<0698yg>2l3;1;7>50z&0=<<59j1C84`13-9=976:b:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?l7dl3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>4d53g>8<7?i7:&020n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76g>ce83>!25m32n7c:=c;78?j4fj3:1(9{e?l?1=7=50;2x 6?>2;;37E:>d:J743=#<;o1??j4$333><673-;on7?79:l775<6n11/?;;57e58m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:m1eg<72->9i7=?7:9~f2c3280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0j86`;3182b<=#;??1;i94i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn:jn:087>5<7s-9257<>9:J75a=O<9<0(9dc875>h3;90:jl5+37793a1o6kh0;6):=e;:f?k25k3807b76:33`?M26l2B?<;5+43g977b<,;;;64>?;%3gf?7e;2d??=4>fc9'733=?m=0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj>o<6<48:183!5>138:o6F;1e9K050<,=8n6>b39m066=9oi0(>8::6f4?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%61a?55l2.9==46019'5ad=9130b9=?:0dg?!51=3=>?6g>c983>!25m32n7c:=c;28?l7d13:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>d2b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni69?4n513>4`a3-9=979:3:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=h:hh1<7*;2d8042=97?57;294~"41009=n5G40f8L1613->9i7==d:&155<>891/=il51c18j1572;:;7)=95;567>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`426<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be28h97c:<0;035>"4><0<9>5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9n4;;:k2ga<72->9i76j;o61g?3<3f8jn7>5$50f>66032wi:ij51;194?6|,:326??7;I62`>N38?1/8?k533f8 77720:;7)?kb;3;=>h3;909i5ik0;6):=e;133>=zj?nh6<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=n9jn1<7*;2d8;a>h3:j0>76a=ac83>!25m39;;65rb7f1>4<3290;w)=69;02=>N39m1C8=84$50f>64c3-8:<77?0:&2`g<392d??=4=059'733=>jl0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;n0bf?6=,=8n6>>8;:a2a7=93=1<7>t$2;:>77d3A>:h6F;079'07c=;;n0(???:823?!7cj3;i?6`;318140=#;??1:nh4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn;ji:084>5<7s-9257<>c:J75a=O<9<0(9dc82f7=i<::1>=84$246>3ea3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?l7dk3:1(9h4<0698yg>b03;1>7>50z&0=<<6kl1C8<>59128j1572;:<7)=95;:eg>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=9i778;I03=>"58h0:h?5+2029=567;%151?>ak2c:o:4?:%61a?7c:21d>ll50;&76`<48>10qo872;396?6=8r.8544>cd9K04b<@=:=7):=e;;4?M4712.9d39'646=19:0b9=?:32:?!51=32mo6g>c683>!25m3;o>65`2``94?"3:l08<:54}c4`a?7=:3:19;%61a??03A8;56*=0`8042=#:8:15=>4n513>76f3-9=976ic:k2g2<72->9i7?k2:9l6dd=83.?>h4<0698yg0dl3;1>7>50z&0=<<48:1C81/><>59128j1572;:i7)=95;:eg>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=5G40f8L1613->9i778;I03=>"58h08<:5+2029=56l;%151?>ak2c:o:4?:%61a?7c:21d>ll50;&76`<48>10qo8lb;396?6=8r.8544<029K04b<@=:=7):=e;;4?M4712.9c683>!25m3;o>65`2``94?"3:l08<:54}c4;4?7=;3:19;%61a?5>82B9<45+21c9751<,;;;64>?;o604?47m2.8:847fb9j5f1=83.?>h4>d398m6>1290/8?k5cd9K07b<3f8jn7>5$50f>6603A92=65rb4f4>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<9581=c02==86p`;31814c=#;??18io4i8g94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl:bb82>=<729q/?4751`18L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:3y;a2<3?:0vb9=?:333?!51=3>om6g6e;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn59::080>5<7s-9257<>8:J75a=O<9<0(9dc821>h3;909=<5+3779<0di5ik0;6):=e;133>=zj1=m6<4<:183!5>138:46F;1e9K050<,=8n6>889m066=:880(>8::97a?l7d03:1(9h4<0698yg1a93;1?7>50z&0=<<5911C86:j8;h3`5=9;%61a?55l2.9==46019'5ad=9130b9=?:337?!51=3=o;6g>c983>!25m32n7c:=c;28?l7d13:1(96<729q/?47520:8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>43b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=:283>5}#;031><64H53g?M27>2.?>h4<2e9'646=19:0(h3:j0;76g>c883>!25m32n7c:=c;38?j4fj3:1(9{e>l31=7=50;2x 6?>2;;37E:>d:J743=#<;o1??j4$333><673-;on7?:;o604?46?2.8:849cg9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;n0bf?6=,=8n6>>8;:a2c5=9391<7>t$2;:>77?3A>:h6F;079'07c=;;n0(???:823?!7cj3;356`;31815==#;??1:nh4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76a=ac83>!25m39;;65rb31f>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=189'733=0oi0eh4<0698m4e>290/8?k58d9m07e=92d84o4?;:a616=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;02e>"4><03jn5f1b:94?"3:l03i6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;a>h3:j0:7c=7b;28?xd5<;0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>77e3-9=976ic:k2g=<72->9i76j;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>b3g>9o7?4n2:a>5=9i7==d:&155<>891/=il54b9m066=:8i0(>8::9d`?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909=i5+37795<#<;o14h5a43a95>h40k0;76sm25:95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<>e:&020n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47e:l76f<63g93n7>4;|`10d<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:33e?!51=32mo6g>c983>!25m32n7c:=c;28?j4fj3:1(9o6k00;6):=e;:f?k25k3;0b>6m:198yg43k3;1?7>50z&0=<<59>1C865hl;h3`5=5$50f>=c=zj;>n6<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10e>>51;194?6|,:326??8;I62`>N38?1/8?k533f8 77720:;7)?kb;6`?k248389>6*<648;bf=n9j21<7*;2d8;a>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03i6`;2b82?k5?j3:07pl=3382>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1eak2c:o54?:%61a?>b3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76j;o61g?79;%61a?55l2.9==46019'5ad=>52368 60221lh7d?l8;29 14b21o0b90;;34>"6lk0?o6`;318160=#;??14km4i0a;>5<#<;o14h5a43a94>=h:hh1<7*;2d8042={e::21=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?45>2.8:847fb9j5f>=83.?>h47e:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th9?l4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07bc883>!25m32n7c:=c;38j6>e2910qo<"59902<=5+1e`90f=i<::1>?64$246>=`d3`;h47>5$50f>=c5<#<;o1?=94;h3`=?6=,=8n65k4n50`>4=i;1h1<65rb5d4>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=289'733=h4<0698m4e>290/8?k58d9m07e=92d84o4?;:a0c3=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;01e>"4><0?hl5f1b:94?"3:l03i6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;a>h3:j0:7c=7b;28?xd3n:0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>74e3-9=97:ka:k2g=<72->9i76j;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>b3g>9o7?4n2:a>5=m=7?53;294~"41009=:5G40f8L1613->9i7==d:&155<>891/=il54b9m066=:;i0(>8::5fb?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909>i5+37790ag5<#<;o14h5a43a95>h40k0;76sm4df95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<=e:&020<3lh1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47e:l76f<63g93n7>4;|`7ag<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:30e?!51=3>om6g>c983>!25m32n7c:=c;28?j4fj3:1(9o6k00;6):=e;:f?k25k3;0b>6m:198yg2b13;1?7>50z&0=<<59>1C869jn;h3`5=5$50f>=c=zj=o<6<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k248388>6*<648;1g=n9j21<7*;2d8;a>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03i6`;2b82?k5?j3:07pl79582>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1e2j2c:o54?:%61a?>b3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76j;o61g?79;%61a?55l2.9==46019'5ad=>52268 60221?i7d?l8;29 14b21o0b90;;34>"6lk0?o6`;318170=#;??148l4i0a;>5<#<;o14h5a43a94>=h:hh1<7*;2d8042={e01o1=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?44>2.8:8475c9j5f>=83.?>h47e:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th34n4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07bc883>!25m32n7c:=c;38j6>e2910qo67a;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>>64$246>=3e3`;h47>5$50f>=c5<#<;o1?=94;h3`=?6=,=8n65k4n50`>4=i;1h1<65rb9:;>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=389'733=0h4<0698m4e>290/8?k58d9m07e=92d84o4?;:a<=0=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;00e>"4><039o5f1b:94?"3:l03i6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;a>h3:j0:7c=7b;28?xd?0=0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>75e3-9=976:b:k2g=<72->9i76j;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>b3g>9o7?4n2:a>5=9i7==d:&155<>891/=il54b9m066=::i0(>8::6f4?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909?i5+37793a15<#<;o14h5a43a95>h40k0;76sm81g95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47e:l76f<63g93n7>4;|`;4f<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:31e?!51=3=o;6g>c983>!25m32n7c:=c;28?j4fj3:1(9o6k00;6):=e;:f?k25k3;0b>6m:198yg>7i3;1?7>50z&0=<<59>1C86:j8;h3`5=5$50f>=c=zj1:36<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k24838?>6*<6484`2=n9j21<7*;2d8;a>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03i6`;2b82?k5?j3:07pl70582>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1eb3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76j;o61g?79;%61a?55l2.9==46019'5ad=>52568 6022>n<7d?l8;29 14b21o0b90;;34>"6lk0?o6`;318100=#;??1;i94i0a;>5<#<;o14h5a43a94>=h:hh1<7*;2d8042={e?>k1=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?43>2.8:848529j5f>=83.?>h47e:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th<4n4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(<7)=95;567>o6k10;6):=e;:f?k25k3:07bc883>!25m32n7c:=c;38j6>e2910qo97a;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>964$246>2343`;h47>5$50f>=c5<#<;o1?=94;h3`=?6=,=8n65k4n50`>4=i;1h1<65rb6:;>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=489'733=?<90eh4<0698m4e>290/8?k58d9m07e=92d84o4?;:a3=0=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;07e>"4><0<9>5f1b:94?"3:l03i6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;a>h3:j0:7c=7b;28?xd00=0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>72e3-9=979:3:k2g=<72->9i76j;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>b3g>9o7?4n2:a>5=7?53;294~"41009=:5G40f8L1613->9i7==d:&155<>891/=il54b9m066=:=i0(>8::670?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;9098i5+37793055<#<;o14h5a43a95>h40k0;76sm76g95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<;e:&020<0=:1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47e:l76f<63g93n7>4;|`43f<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:36e?!51=3=>?6g>c983>!25m32n7c:=c;28?j4fj3:1(9o6k00;6):=e;:f?k25k3;0b>6m:198yg0a>3;1?7>50z&0=<<59>1C86;mi;h3`5=5$50f>=c=zj>:36<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k24838>>6*<6485gc=n9j21<7*;2d8;a>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03i6`;2b82?k5?j3:07pl80582>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1eb3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76j;o61g?79;%61a?55l2.9==46019'5ad=>52468 6022?im7d?l8;29 14b21o0b90;;34>"6lk0?o6`;318110=#;??1:nh4i0a;>5<#<;o14h5a43a94>=h:hh1<7*;2d8042={e>oo1=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?42>2.8:849cg9j5f>=83.?>h47e:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th=jn4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07bc883>!25m32n7c:=c;38j6>e2910qo8ia;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>864$246>3ea3`;h47>5$50f>=c5<#<;o1?=94;h3`=?6=,=8n65k4n50`>4=i;1h1<65rb7d;>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=589'733=>jl0eh4<0698m4e>290/8?k58d9m07e=92d84o4?;:a60g=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;06e>"4><03jn5f1b:94?"3:l03i6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;a>h3:j0:7c=7b;28?xd3nj0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>73e3-9=97:ka:k2g=<72->9i76j;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>b3g>9o7?4n2:a>5=9i7==d:&155<>891/=il54b9m066=:8::97a?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;9099i5+37793a15<#<;o14h5a43a95>h40k0;76sm78095?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<:e:&020<0=:1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47e:l76f<63g93n7>4;|`44`<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:37e?!51=3c983>!25m32n7c:=c;28?j4fj3:1(9o6k00;6):=e;:f?k25k3;0b>6m:198yg20=3;1:7>50z&0=<<61k1C80(9<97:83;m066=:?:0(>8::9d`?l?b290/8?k5ac98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?le0290/8?k533g8?xd?m80:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>7063-9=976ic:k:a?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?e3A>:h6F;079'07c=9hk0(?;[1:0?7|<>91qc:<0;056>"4><0===5f9d83>!25m3ki76gk6;29 14b28n976a<2083>!25m39;;65fa483>!25m3k>76g<1`83>!25m39>=65fc683>!25m399i65rb4gf>4<1290;w)=69;3:f>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4=629'733=10el;50;&76`9g9K04b<@=:=7)?kb;36?!25m3;jm6F<2c9'646=19:0V>7;:0y736ak2c2i7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi?>h51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4619yk24838=96*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj:>:6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95dg<@:8i7)<>0;;34>\41=0:w99<:|l775<5>?1/?;;58ga8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg53;3;1:7>50z&0=<<61o1C80(965hl;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`000<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?na:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:34;?!51=32mo6g6e;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm35595?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:ml5G33`8 77720:;7W=64;3x025=ug>8<7<99:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn>:7:085>5<7s-9257?6f:J75a=O<9<0({550>xh3;909:l5+3779=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gf3A99n6*=118:45=];0>1=v:83;m066=:?h0(>8::9d`?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd4"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>70d3-9=976ic:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?a3A>:h6F;079'5ad=<2.?>h4>a`9K77d<,;;;64>?;[1:0?7|<>91qc:<0;05`>"4><03jn5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb215>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lo4H20a?!46833;<6T<95821142td??=4=6d9'733=0oi0e4k50;&76`5$50f>4b532e8><4?:%61a?57?21b?9g9K04b<@=:=7)?kb;68 14b28kj7E==b:&155<>891Q?4:51z647?{i<::1>;h4$246>=`d3`3n6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fi2B8>o5+2029=56o>m3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e;:31=7850;2x 6?>283m7E:>d:J743=#9mh186*;2d82ed=O;;h0(???:823?_5><3;p8:=5}o604?4092.8:847fb9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f65f280=6=4?{%1:=?7>n2B?=i5G4148 4be2=1/8?k51`c8L64e3-8:<77?0:X0=1<6s==86p`;318137=#;??14km4i8g94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c10f?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>52618 60221lh7d7j:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl<3b82>3<729q/?47518d8L17c3A>;:6*>dc87?!25m3;jm6F<2c9'646=19:0V>7;:0y736ak2c2i7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi?>j51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ih1C??l4$333><673S9287?t4619yk24838<96*<648;bf=n1l0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj<;26<47:183!5>13;j:6F;1e9K050<,8ni6<;4$50f>6343-8:<77?0:X0=1<5s1o<699<:|l775<5??1/?;;54ec8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=99:9l777=83.?>h4<0698m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i245>5<#<;o1?;84H526?>{e=8h1=7650;2x 6?>28k?7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><38p4h954619yk24838<;6*<6487`d=n1l0;6):=e;ca?>of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg36k3;147>50z&0=<<6i=1C80(920(>8::5fb?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?l5293:1(986;:a14b=9321<7>t$2;:>4g33A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?4|0l=18:=5}o604?4012.8:84;d`9j=`<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c72a?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38696~>b?3>69jn;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm50d95?>=83:p(>76:0c7?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;0x<`1=<>91qc:<0;04f>"4><0?hl5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9010qo;=0;39a59K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:52z:f3?20;3we8>>526a8 6022=nj7d7j:18'07c=ik10el;50;&76`?10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi9??51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S92878<7<8d:&020<3lh1b5h4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;h11a?6=,=8n6>=n;=i1<7*;2d802<=7?58;294~"4100:m95G40f8L1613-;on7:4$50f>6343A99n6*=118:45=];0>1>v6j7;647?{i<::1>:k4$246>1bf3`3n6=4+43g9eg==nl?0;6):=e;3g6>=n;;81<7*;2d8023==7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e=;91=7650;2x 6?>28k?7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><38p4h954619yk24838of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg36i3;147>50z&0=<<6i=1C80(98::5fb?l?b290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?l5293:1(986;:a0ac=9321<7>t$2;:>4g13A>:h6F;079'5ad=9<1/8?k53418 77720:;7W=64;0x<`1=<>91qc:<0;0;5>"4><0?hl5f9d83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d=;c;29 14b2:<276a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9?1C8=;4;|`7a7<6210;6=u+38;95d2<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>7}?m>0?;>4rn513>7>53-9=97:ka:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb5g7>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<4n2d??=4=829'733=h47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj=o;6<49:183!5>138:n6F;1e9K050<,=8n6>b19m066=:1>0(>8::5fb?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=:683>5}#;031>2.?>h4<2e9'646=19:0(8::5fb?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%61a?55l2.9==46019'5ad=9hh0b9=?:3:5?!51=3>om6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432c:on4?:%61a?>b3g>9o7:4;h3``?6=,=8n65k4n50`>0=h44>:283>5}#;031><64H53g?M27>2.8=:4;529'07c=;;n0(???:823?!7cj3;>7)=>8;667>h3;9094:5+37790agi5ik0;6):=e;133>=zj138:o6F;1e9K050<,=8n6>ae9m066=:120(>8::5fb?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%3gf?2<,=8n6>;<;I11f>"59902<=5U38696~>b?3>69jn;h;f>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm5dd95?2=83:p(>76:33:?M26l2B?<;5+3059014<,=8n6>>529c8 6022=nj7d?l8;29 14b21o0b9290/8?k58d9m07e=921b=no50;&76`n4=;:m1eg<72->9i7=?7:9~f31d280?6=4?{%1:=?4612B?=i5G4148 14b2:8o7)<>0;;34>"6lk0:595a42296=d<,:<>6;??;h3`5=i5ik0;6):=e;133>=zj138:56F;1e9K050<,:;<69=n;%61a?55l2.9==46019'5ad=<81/?<6542c8j1572;2h7)=95;6ge>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9ll50;&76`<48>10qo;l0;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`974=i<::1>5j4$246>1bf3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?l7dk3:1(9h4<0698yg3513;1:7>50z&0=<<59k1C8?96*;2d806a=#:8:15=>4$0fa>4d63-9:47:;5:l775<50l1/?;;54ec8m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il51c78j1572;2m7)=95;6ge>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`7<6<62:0;6=u+38;964><@=;o7E:?6:&76`<4:m1/><>59128 4be2:=0b9=?:3;3?!51=32mo6g>c983>!25m32n7c:=c;28?l7d13:1(96<729q/?47520:8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>16;%151?>ak2c:o54?:%61a?>b3g>9o7>4;h3`=?6=,=8n65k4n50`>4=:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`642<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2;l0b9=?:3;0?!51=3>om6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432c:on4?:%61a?>b3g>9o7:4;h3``?6=,=8n65k4n50`>0=<;4>:783>5}#;031>2.8=:4;409'07c=;;n0(???:823?!7cj39o7)=>8;675>h3;909595+37790ago6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9ll50;&76`<48>10qo;?b;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95ddb3g>9o7>4;h3`=?6=,=8n65k4n50`>4=65f1b`94?"3:l03i6`;2b80?>o6kj0;6):=e;:f?k25k3>07d?ld;29 14b21o0b9"3:l08>i5+2029=56<,8ni68<4n513>7?13-9=976:b:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o14h5a43a96>=n9jh1<7*;2d8;a>h3:j0876g>cb83>!25m32n7c:=c;68?l7dl3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>f=i<::1>494$246>=3e3`;h47>5$50f>=c5<#<;o14h5a43a95>=n9jk1<7*;2d8;a>h3:j0976g>cc83>!25m32n7c:=c;18?l7dk3:1(9h4<0698yg>4l3;1;7>50z&0=<<59j1C8<,:<>6:j8;h3`5=o6kk0;6):=e;:f?k25k3907d?lc;29 14b21o0b9ll50;&76`<48>10qo6"59902<=5+1e`9g>h3;909545+37793a1o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9n4:;:m1eg<72->9i7=?7:9~f2d>280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0>>6`;3181=d=#;??1;8=4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn:l7:084>5<7s-9257<>c:J75a=O<9<0(9dc8`?k248382n6*<648416=n9j21<7*;2d8;a>h3:j0;76g>c883>!25m32n7c:=c;38?l7di3:1(9h47e:l76f<332c:oi4?:%61a?>b3g>9o7;4;n0bf?6=,=8n6>>8;:a363=93=1<7>t$2;:>77d3A>:h6F;079'07c=;;n0(???:823?!7cj3?97c:<0;0:g>"4><0=ok5f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9n4;;:k2ga<72->9i76j;o61g?3<3f8jn7>5$50f>66032wi;>:51;594?6|,:326??l;I62`>N38?1/8?k533f8 77720:;7)?kb;a8j1572;3o7)=95;4`b>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b9n4<;:k2gf<72->9i76j;o61g?2<3`;hh7>5$50f>=c5<#<;o1?=94;|`71d<62=0;6=u+38;964?<@=;o7E:?6:&76`<4:m1/><>59128 4be2m1e8>>528g8 60221lh7d?l8;29 14b21o0b9290/8?k58d9m07e=921b=no50;&76`n4=;:m1eg<72->9i7=?7:9~f=c5280?6=4?{%1:=?45>2B?=i5G4148 14b2;8?7E==a:J2`3=#:8:15=>4n513>7?a3-9=976ic:k2g=<72->9i7?k3:9j5f?=83.?>h4>d598m62d290/8?k535d8?j4fj3:1(9{e0l91=7950;2x 6?>2;;h7E:>d:J743=#<;o1??j4$333><673-;on7<>;o604?4f82.8:847fb9j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;h3`e?6=,=8n65k4n50`>7=o6km0;6):=e;:f?k25k3?07b76:33a?M26l2B?<;5+43g977b<,;;;64>?;%3gf?573g>8<7n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76a=ac83>!25m39;;65rb71g>4<3290;w)=69;012>N39m1C8=84$50f>7433A99m6F>d79'646=19:0b9=?:3c1?!51=3<:<6g>c983>!25m3;o?65f1b;94?"3:l0:h954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a26c=93=1<7>t$2;:>77d3A>:h6F;079'07c=;;n0(???:823?!7cj3;j56`;3181e6=#;??1:<>4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn;=i:085>5<7s-9257<>b:J75a=O<9<0(9dc867>h3;909m95+3779246o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9ll50;&76`<48>10qo;=a;390?6=8r.8544=279K04b<@=:=7):=e;010>N4:h1C=i84$333><673g>8<707d=;c;29 14b2:>m76a=ac83>!25m39;;65rb40a>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<>82d??=4=a79'733=h47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj<8h6<48:183!5>138:o6F;1e9K050<,=8n6>1/?;;54ec8m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=n9jn1<7*;2d8;a>h3:j0>76a=ac83>!25m39;;65rb555>4<5290;w)=69;;;?M26l2B?<;5+43g9e==#:8:15=>4Z2;7>4}3?<0vb9=?:3c;?!51=32mo6a=ac83>!25m39;;65f1b594?"3:l0:h?54}c:f=?7=:3:1N39m1C8=84$50f>d><,;;;64>?;[1:0?7|0l=1qc:<0;0b=>"4><03jn5`2``94?"3:l08<:54i0a4>5<#<;o1=i<4;|`115<62;0;6=u+38;9654<@=;o7E:?6:&76`<>?2.9==46019m066=:hk0(>8::9d`?l7d?3:1(9i5ik0;6):=e;133>N3:m10qo:i9;396?6=8r.8544=039K04b<@=:=7):=e;;4?!46833;<6`;3181eg=#;??18io4i0a4>5<#<;o1=i<4;n0bf?6=,=8n6>>8;I61`>=zj13=6<4=:183!5>138;>6F;1e9K050<,=8n6494$333><673g>8<7t$2;:>7653A>:h6F;079'07c=1>1/><>59128j1572;ko7)=95;5g3>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=O<;n07pl88d82>7<729q/?4752108L17c3A>;:6*;2d8:3>"59902<=5a42296dc<,:<>6:;<;h3`3?6=,=8n69i7=?7:J76a=9i778;%024??782d??=4=ag9'733=>jl0e76:321?M26l2B?<;5+43g9=2=#:8:15=>4n513>7d63-9=976:b:k2g2<72->9i7?k2:9l6dd=83.?>h4<069K07b<3th:383>5}#;031>=<4H53g?M27>2.?>h467:&155<>891e8>>52c08 6022>n<7d?l7;29 14b28n976a=ac83>!25m39;;6F;2e98yg11<3;1>7>50z&0=<<58;1C80;;34>h3;909n>5+37793055$50f>6603A>9h65rb7g3>4<5290;w)=69;036>N39m1C8=84$50f><1<,;;;64>?;o604?4e<2.8:849cg9j5f1=83.?>h4>d398k7ge290/8?k53158L14c32wi:=<51;4f>5<7s-9257==3:J75a=O<9<0V4j5cz3;>4?=:j09h7<6:3:96d<5j3;n6de85?!7cm3<0("60l085?5+19d97<4<,8n<6;5+1e:92>"6l00=7)?ka;48 4c72?1/=h?56:&2a7<13-;n?784$0g7>3=#9l?1:6*>e785?!7b?3<0(2.:il49;%3ff?0<,8oh6;5+1df92>"6ml0=7)?jf;48 4`72?1/=k?56:&2b7<13-;m?784$0d7>3=#9o?1:6*>f785?!7a?3<0(2.:jl49;%3ef?0<,8lh685+33697=?<,:996l;4$210>d3<,:9:6>6<;%153?3<,:<3685+1gg96d?<@:2j7):=e;117>"?l39>>6*=118:45=#;<=15<5a42296g3<,:<>6;>>;h104?6=3`;3h7>5;h112?6=3`;3o7>5;h`5>57;:0y7364r;h113?6=3`99j7>5;h624?6=,:;>69>i;o120?6<,;k<69>m;o0b2?7<3`>;i7>5$236>16a3g9:87?4$3c4>16e3g8j:7>4;n37e?6=,:;>6<:6;o120?6<,;k<6<==;o0b2?`<3f;?47>5$236>42>3g9:87?4$3c4>4553g8j:7k4;n373?6=,:;>6<:6;o120?4<,;k<6<==;o0b2?b<3f;?:7>5$236>42>3g9:87=4$3c4>4553g8j:7m4;n371?6=,:;>6<:6;o120?2<,;k<6<==;o0b2?d<3f;?87>5$236>42>3g9:87;4$3c4>4553g8j:7o4;n367?6=,:;>6<:6;o120?0<,;k<6<==;o0b2??<3f;>>7>5$236>42>3g9:8794$3c4>4553g8j:764;n365?6=,:;>6<:6;o120?><,;k<6<==;o0b2?1<3f;><7>5$236>42>3g9:8774$3c4>4553g8j:784;n37b?6=,:;>6<:6;o120?g<,;k<6<==;o0b2?3<3f;?i7>5$236>42>3g9:87l4$3c4>4553g8j:7:4;n37`?6=,:;>6<:6;o120?e<,;k<6<==;o0b2?5<3f;?o7>5$236>42>3g9:87j4$3c4>4553g8j:7<4;n37f?6=,:;>6<:6;o120?c<,;k<6<==;o0b2?7<3f;??7>5$236>42>3g9:87h4$3c4>4553g8j:7>4;n34a?6=,:;>6<9k;o120?6<,;k<6<96;o0b2?7<3f;5$236>41c3g9:87?4$3c4>41>3g8j:7>4;n3;0?6=,:;>6<6<;o120?6<,;k<6<9i;o0b2?7<3f;3>7>5$236>4>43g9:87?4$3c4>41a3g8j:7>4;h622?6=,:;>69?:;o120?6<,;k<69?>;o0b2?7<3`>:87>5$236>1723g9:87?4$3c4>1763g8j:7>4;h44>5<#;8?1:;5a30694>"5i>0>96`=a78`?>o1=3:1(>?::748j673281/>l95549m6d0=j21b:94?:%121?013g9:87<4$3c4>037c5$236>30!56=3<=7c=>4;48 7g02146*=a6861>h5i?0?76g9a;29 6722?<0b>?;:89'6d1==<1e>l853:9j2<<72-9:9789;o120?g<,;k<68;4n3c5>7=h49=0i7)3;07d8<:18'743=>?1e?<:5c:&1e2<2=2d9m;4?;:k26a<72-9:97?=c:l051<73-8j;7?=8:l1e3<632c:>o4?:%121?75k2d8=94>;%0b3?7502d9m;4?;:k25=<72-9:97?>7:l051<73-8j;7??0:l1e3;%0b3?7782d9m;4j;:k250<72-9:97?>7:l051<53-8j;7??0:l1e37:l051<33-8j;7??0:l1e37:l051<13-8j;7??0:l1e3<>32c:>=4?:%121?76?2d8=948;%0b3?7782d9m;47;:k25c<72-9:97?>7:l0517:l0517:l0517:l0516k;4n237>5=#:h=1hh5a2`49b>=nn=0;6)=>5;d6?k56<3;0(?o8:eg8j7g12l10ek=50;&0505<#;8?1j85a30697>"5i>0oi6`=a78`?>oa93:1(>?::g78j6732=1/>l95dd9m6d0=j21bj=4?:%121?`23g9:87;4$3c4>ac5$236>c3!56=3l>7c=>4;;8 7g02mo0b?o9:798mcd=83.8=84i5:l0511n6*=a68ga>h5i?0?76gi9;29 6722o?0b>?;:b9'6d1=ll1e>l853:9jb=<72-9:97h:;o120?b<,;k<6ik4n3c5>7=h49=0n7)3;07dki:18'743=n<1e?<:5f:&1e265<4n237>5=#:h=1;<5a2`49g>=n080;6)=>5;:1?k56<3;0(?o8:638j7g12k10e5>50;&0505<#;8?14?5a30697>"5i>0<=6`=a78:?>o?j3:1(>?::908j6732=1/>l95709m6d0=021b4l4?:%121?>53g9:87;4$3c4>276`<1585?!4f?3=:7c?290/?<;5839m742=?2.9m:481:l1e3<232c3;7>5$236>=4!56=3297c=>4;;8 7g02>;0b?o9:298m=3=83.8=8472:l051;o0b2?4<3`2?6=4+3079<7=i;8>1n6*=a6845>h5i?0:76g8f;29 6722180b>?;:b9'6d1=?81e>l850:9l53b=83.8=84>6b9m742=82.9m:4>549m6d0=n21d=;l50;&050<6>j1e?<:51:&1e2<6=<1e>l85e:9l53g=83.8=84>6b9m742=:2.9m:4>549m6d0=l21d=;750;&050<6>j1e?<:53:&1e2<6=<1e>l85c:9l53>=83.8=84>6b9m742=<2.9m:4>549m6d0=j21d=;950;&050<6>j1e?<:55:&1e2<6=<1e>l85a:9l520=83.8=84>6b9m742=>2.9m:4>549m6d0=121d=:;50;&050<6>j1e?<:57:&1e2<6=<1e>l858:9l522=83.8=84>6b9m742=02.9m:4>549m6d0=?21d=:=50;&050<6>j1e?<:59:&1e2<6=<1e>l856:9l524=83.8=84>6b9m742=i2.9m:4>549m6d0==21d=:?50;&050<6>j1e?<:5b:&1e2<6=<1e>l854:9l526=83.8=84>6b9m742=k2.9m:4>549m6d0=;21d=;h50;&050<6>j1e?<:5d:&1e2<6=<1e>l852:9l53c=83.8=84>6b9m742=m2.9m:4>549m6d0=921d=;850;&050<6>j1e?<:5f:&1e2<6=<1e>l850:9j571=83.8=84>279m742=82.9m:4>239m6d0=921b=?;50;&050<6:?1e?<:51:&1e2<6:;1e>l850:9~f020290947:?:71xL1613-9257:n9:X:`?2|9m09<7?k:329y!25:3>jo6*<5480?!52<3>8;6*>b787`7=#9k=18l74Z2;7>4}3?:0vb9=?:3`5?l2?k3:1(>?::5:a?k56<3:07d:7a;29 6722=2i7c=>4;38?l2?13:1(>?::5:a?k56<3807d:78;29 6722=2i7c=>4;18?l2??3:1(>?::5:a?k56<3>07d:76;29 6722=2i7c=>4;78?l2>=3:1(>?::5:a?k56<3<07d:64;29 6722=2i7c=>4;58?l2>;3:1(>?::5:a?k56<3207d:62;29 6722=2i7c=>4;;8?l2>93:1(>?::5:a?k56<3k07d:60;29 6722=2i7c=>4;`8?l2?n3:1(>?::5:a?k56<3i07d:7e;29 6722=2i7c=>4;f8?l2?l3:1(>?::5:a?k56<3o07d:75;29 6722=2i7c=>4;d8?l21=3:17d6j6;29?l>an3:17d6j1;29?j2>l3:1(>?::5;`?k56<3:07b:6b;29 6722=3h7c=>4;38?j2>i3:1(>?::5;`?k56<3807b:69;29 6722=3h7c=>4;18?j2>03:1(>?::5;`?k56<3>07b:67;29 6722=3h7c=>4;78?j2f>3:1(>?::5;`?k56<3<07b:n5;29 6722=3h7c=>4;58?j2f<3:1(>?::5;`?k56<3207b:n3;29 6722=3h7c=>4;;8?j2f:3:1(>?::5;`?k56<3k07b:n1;29 6722=3h7c=>4;`8?j2f83:1(>?::5;`?k56<3i07b:6f;29 6722=3h7c=>4;f8?j2>m3:1(>?::5;`?k56<3o07b:66;29 6722=3h7c=>4;d8?j2fl3:17b:94;29?j2f?3:17b:93;29?g2583;1=7>50z&0=<<6k:1C8846*=118:45=i<::1>o94$246>02?3f;h<7>5$50f>66032wi8=>51;494?6|,:326<7m;I62`>N38?1/>?=53808 4be2=1/8?k51`c8L64e3-8:<77?0:J:7>\41=0:w99<:|l775<5j11/?;;55`c8mh4nb:9j`3<72->9i7?k2:9l777=83.?>h4<0698md3=83.?>h4n5:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg5an3;1:7>50z&0=<<61k1C86*>dc87?!25m3;jm6F<2c9'646=19:0D4=4Z2;7>4}3?:0vb9=?:3`:?!51=3?jm6g6e;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm3gg95?0=83:p(>76:0c2?M26l2B?<;5+23197<4<,=8n6\41=0:w99<:|l775<5jh1/?;;55`c8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=:1:9l777=83.?>h4<0698m64b290/8?k533g8L16232wi?k751;494?6|,:326N38?1/=il5149'07c=9hk0(???:823?_5><3;p8:=5}o604?4ej2.8:84:a`9j=`<72->9i7om;:kg2?6=,=8n69i7=:1:9l777=83.?>h4<0698md3=83.?>h4n5:J740=76sm3g:95?0=83:p(>76:0c3?M26l2B?<;5+1e`950=#<;o1=lo4$333><673S9287?t4619yk24838io6*<6486ed=n1l0;6):=e;ca?>oc>3:1(9o49h0;6):=e;165>=h;;;1<7*;2d8042=N38<10e>:;:a7cb=93<1<7>t$2;:>4g73A>:h6F;079'5ad=9<1/8?k51`c8 77720:;7W=64;3x025=ug>8<75$50f>4b532c8=l4?:%61a?52921d???50;&76`<48>10el;50;&76`5<#<;o1??k4H526?>{e;oi1=7850;2x 6?>28k;7E:>d:J743=#9mh1=85+43g95dg<,;;;64>?;[1:0?7|<>91qc:<0;0aa>"4><0>ml5f9d83>!25m3ki76gk6;29 14b28n976g<1`83>!25m39>=65`33394?"3:l08<:54i`794?"3:l0j96F;0498m64b290/8?k533g8L16232wi?ll51;494?6|,:326N38?1/=il5149'07c=9hk0(???:823?_5><3;p8:=5}o604?4en2.8:84:509j=`<72->9i7om;:kg2?6=,=8n69i7=:1:9l777=83.?>h4<0698md3=83.?>h4n5:J740=76sm3`:95?0=83:p(>76:0c3?M26l2B?<;5+1e`950=#<;o1=lo4$333><673S9287?t4619yk24838h<6*<648614=n1l0;6):=e;ca?>oc>3:1(9o49h0;6):=e;165>=h;;;1<7*;2d8042=N38<10e>:;:a7dg=93<1<7>t$2;:>4g73A>:h6F;079'5ad=9<1/8?k51`c8 77720:;7W=64;3x025=ug>8<75$50f>4b532c8=l4?:%61a?52921d???50;&76`<48>10el;50;&76`5<#<;o1??k4H526?>{e;j?1=7850;2x 6?>283o7E:>d:J743=#9mh186*;2d82ed=#:8:15=>4Z2;7>4}3?:0vb9=?:3a1?!51=3?=46g6e;29 14b2hh07do::18'07c=i<10en950;&76`<4:l10ei850;&76`<6l;10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9~f6e3280=6=4?{%1:=?7>l2B?=i5G4148 4be2=1/8?k51`c8 77720:;7W=64;3x025=ug>8<711b5h4?:%61a?ge32cj97>5$50f>d3<3`i<6=4+43g977c<3`n=6=4+43g95a4<3f99=7>5$50f>66032c89<4?:%61a?5292B?<854}c1`7?7=>3:19;%3gf?2<,=8n6{550>xh3;909o95+377913>=ni<0;6):=e;c6?>od?3:1(9oc>3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07pl3<729q/?47518f8L17c3A>;:6*>dc87?!25m3;jm6*=118:45=];0>1=v:83;m066=:j?0(>8::44;?l?b290/8?k5ac98md3=83.?>h4n5:9jg2<72->9i7==e:9j`3<72->9i7?k2:9l777=83.?>h4<0698m636290/8?k53438L16232wi?oj51;494?6|,:326<7k;I62`>N38?1/=il54:&76`<6ih1/><>59128^6?328q?;>4rn513>7e13-9=97;98:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ib594?"3:l08>h54ie494?"3:l0:h?54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=zj:hh6<49:183!5>13;2h6F;1e9K050<,8ni695+43g95dg<,;;;64>?;[1:0?7|<>91qc:<0;0`3>"4><0>:55f9d83>!25m3ki76gn5;29 14b2h?07dm8:18'07c=;;o07dj9:18'07c=9m807b==1;29 14b2::<76g<5083>!25m39>=6F;0498yg5ej3;1:7>50z&0=<<61m1C80(95$50f>64b32co:7>5$50f>4b532e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;|`0f2<62?0;6=u+38;959i7?na:&155<>891Q?4:51z647?{i<::1>n74$246>00?3`3n6=4+43g9eg==nk>0;6):=e;11a>=nl?0;6):=e;3g6>=h;;;1<7*;2d8042=76sm3c495?0=83:p(>76:0;g?M26l2B?<;5+1e`90>"3:l0:ml5+2029=56o>m3:1(9h4<2d98ma0=83.?>h4>d398k646290/8?k53158?l5293:1(9j;:085>5<7s-9257?6c:J75a=O<9<0({550>xh3;909oo5+37791=6=nk>0;6):=e;11a>=nl?0;6):=e;3g6>=h;;;1<7*;2d8042==n;8k1<7*;2d8014=4gf3A99n6*=118:45=];0>1=v:83;m066=:ji0(>8::4:3?l?b290/8?k5ac98mf1=83.?>h4<2d98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?xd4l:0:6;4?:1y'7"6lk0?7):=e;3be>N4:k1/><>59128^6?328q?;>4rn513>7ec3-9=97;70:k:a?6=,=8n6ll4;ha4>5<#<;o1??k4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:a7`d=93<1<7>t$2;:>4?c3A>:h6F;079'5ad=<2.?>h4>a`9'646=19:0V>7;:0y7365$50f>dd<3`k>6=4+43g9e0==7>5$50f>6363A>;965rb2gb>4<1290;w)=69;3:`>N39m1C8=84$0fa>1=#<;o1=lo4$333><673S9287?t4619yk24838hj6*<6486<==n1l0;6):=e;ca?>of=3:1(9o4=80;6):=e;165>N38<10qo=j9;392?6=8r.8544>9e9K04b<@=:=7)?kb;68 14b28kj7)<>0;;34>\41=0:w99<:|l775<5l91/?;;559:8mh4nb:9je0<72->9i7o:;:k`3?6=,=8n6>9i7=?7:9j707=83.?>h4<509K053<3th8i54>:783>5}#;031=4j4H53g?M27>2.:ho4;;%61a?7fi2.9==46019Y7<2=9r>6867;h;f>5<#<;o1mo54i`794?"3:l0j965fc683>!25m399i65fd783>!25m3;o>65`33394?"3:l08<:54i272>5<#<;o1?8?4H526?>{e;l91=7850;2x 6?>283o7E:>d:J743=#9mh186*;2d82ed=#:8:15=>4Z2;7>4}3?:0vb9=?:3f1?!51=3?346g6e;29 14b2hh07do::18'07c=i<10en950;&76`<4:l10ei850;&76`<6l;10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9~f6c5280=6=4?{%1:=?7>l2B?=i5G4148 4be2=1/8?k51`c8 77720:;7W=64;3x025=ug>8<75$50f>d3<3`i<6=4+43g977c<3`n=6=4+43g95a4<3f99=7>5$50f>66032c89<4?:%61a?5292B?<854}c1f5?7=>3:19;%3gf?2<,=8n6{550>xh3;909h95+37791=>=ni<0;6):=e;c6?>od?3:1(9oc>3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07pl3<729q/?47518f8L17c3A>;:6*>dc87?!25m3;jm6*=118:45=];0>1=v:83;m066=:m?0(>8::4:;?l?b290/8?k5ac98md3=83.?>h4n5:9jg2<72->9i7==e:9j`3<72->9i7?k2:9l777=83.?>h4<0698m636290/8?k53438L16232wi?im51;494?6|,:326<7k;I62`>N38?1/=il54:&76`<6ih1/><>59128^6?328q?;>4rn513>7b13-9=97;78:k:a?6=,=8n6ll4;hc6>5<#<;o1m854ib594?"3:l08>h54ie494?"3:l0:h?54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=zj:kh6<4<:183!5>138:46F;1e9K050<,:;<69:i;%61a?55l2.9==46019'5ad=::1/?<655c`8j1572;n<7)=95;764>o6k10;6):=e;:f?k25k3:07d?l9;29 14b21o0b94?:1y'7"3:l08>i5+2029=56<,8ni6?=4n513>7b?3-9=97;8f:k2g=<72->9i76j;o61g?6<3`;h57>5$50f>=c5<#<;o1?=94;|`0b7<62=0;6=u+38;964?<@=;o7E:?6:&052<3"4910>no5a42296a?<,:<>68o=;h3`5=i5ik0;6):=e;133>=zj=:96<4<:183!5>138:46F;1e9K050<,=8n6>n4>;:m1eg<72->9i7=?7:9~f6g4280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk09;6`;3181`g=#;??198?4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn>o;:084>5<7s-9257<>c:J75a=O<9<0(9dc800>h3;909hn5+3779107o6kh0;6):=e;:f?k25k3807d?lb;29 14b21o0b9n4:;:m1eg<72->9i7=?7:9~f6g2280>6=4?{%1:=?46i2B?=i5G4148 14b2:8o7)<>0;;34>"6lk08?6`;3181`a=#;??198?4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>4d33g>8<7n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o14h5a43a97>=n9ji1<7*;2d8;a>h3:j0?76g>ce83>!25m32n7c:=c;78?j4fj3:1(9{e;0h1=7=50;2x 6?>2;;37E:>d:J743=#<;o1??j4$333><673-;on7:8;o604?4cn2.8:84:509j5f>=83.?>h47e:l76f<732c:o44?:%61a?>b3g>9o7?4;n0bf?6=,=8n6>>8;:a7f`=93=1<7>t$2;:>77d3A>:h6F;079'07c=;;n0(???:823?!7cj3;i86`;3181a5=#;??195>4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h47e:l76f<232e9mo4?:%61a?57?21vn>m8:080>5<7s-9257<>8:J75a=O<9<0(9dc873>h3;909i<5+37791=6i5ik0;6):=e;133>=zj:3h6<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=n9jn1<7*;2d8;a>h3:j0>76a=ac83>!25m39;;65rb2a;>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`gh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj:3o6<48:183!5>138:o6F;1e9K050<,=8n6>4:l775<5m=1/?;;55438m4e?290/8?k58d9m07e=821b=n750;&76`n4>;:k2gd<72->9i76j;o61g?4<3`;hn7>5$50f>=c54i0a`>5<#<;o14h5a43a90>=n9jn1<7*;2d8;a>h3:j0>76a=ac83>!25m39;;65rb2aa>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6<2d??=4=e49'733==1:0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=i5ik0;6):=e;133>=zj:3m6<4::183!5>138:m6F;1e9K050<,=8n6>979m066=:l<0(>8::472?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;n0bf?6=,=8n6>>8;:a7t$2;:>77e3A>:h6F;079'07c=;;n0(???:823?!7cj3;jj6`;3181a2=#;??198?4i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(9h4<0698yg5di3;197>50z&0=<<59h1C85a42296`><,:<>686?;h3`5=o6kk0;6):=e;:f?k25k3907b76:33a?M26l2B?<;5+43g977b<,;;;64>?;%3gf?7>?2d??=4=e89'733==1:0eh47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;h3`f?6=,=8n65k4n50`>6=9;%61a?55l2.9==46019'5ad=9ho0b9=?:3gb?!51=3?>=6g>c983>!25m32n7c:=c;28?l7d13:1(9h47e:l76f<432c:on4?:%61a?>b3g>9o7:4;h3``?6=,=8n65k4n50`>0=:683>5}#;031>2.?>h4<2e9'646=19:0(8::472?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%61a?55l2.9==46019'5ad=1o1e8>>52da8 6022<2;7d?l8;29 14b21o0b9290/8?k58d9m07e=921b=no50;&76`n4=;:k2gg<72->9i76j;o61g?5<3`;ho7>5$50f>=c5<#<;o14h5a43a91>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il5129m066=:ln0(>8::4:3?l7d03:1(9h47e:l76f<532c:oo4?:%61a?>b3g>9o7=4;h3`g?6=,=8n65k4n50`>1=9;%123?23<2.?>h4<2e9'646=19:0("4><0>:55f1b:94?"3:l03i6`;2b83?>o6k00;6):=e;:f?k25k3;07d?la;29 14b21o0b9ll50;&76`<48>10qo=m0;390?6=8r.8544=189K04b<@=:=7)=>7;670>"3:l08>i5+2029=56<,8ni69o4$23;>0df3g>8<711b=n650;&76`n4?;:k2g<<72->9i76j;o61g?7<3`;hm7>5$50f>=c5<#<;o1?=94;|`0`2<62<0;6=u+38;964g<@=;o7E:?6:&052<3;01/8?k533f8 77720:;7)?kb;6a?!5603?i56`;3181b5=#;??19564i0a;>5<#<;o14h5a43a94>=n9j31<7*;2d8;a>h3:j0:76g>c`83>!25m32n7c:=c;08?l7dj3:1(91<729q/?47520;8L17c3A>;:6*<16877<=#<;o1??j4$333><673-;on7:n;%12h47e:l76f<632c:ol4?:%61a?>b3g>9o7<4;n0bf?6=,=8n6>>8;:a7`b=9381<7>t$2;:>7653A>:h6F;079'07c=1>1/><>59128j1572;l97)=95;74b>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=O<;n07pl;0282>7<729q/?4752108L17c3A>;:6*;2d8:3>"59902<=5a42296c5<,:<>68:i;h3`3?6=,=8n69i7=?7:J76a=9i778;%024??782d??=4=f59'733==<:0eN39m1C8=84Z8f9g~7?2831>n4=d;0:>7>=:h09n7?j:0a95g<6n3weh54=4:lg=?433g;>87>4n054>5=#91o1?4<4$0:e>6?53-;o;784$0f;>3=#9m31:6*>d`85?!7ck3<0(2.:hk49;%3f4?0<,8o:6;5+1d092>"6m:0=7)?j4;48 4c22?1/=h856:&2a2<13-;n4784$0g:>3=#9lk1:6*>ec85?!7bk3<0(2.:ik49;%3e4?0<,8l:6;5+1g092>"6n:0=7)?i4;48 4`22?1/=k856:&2b2<13-;m4784$0d:>3=#9ok1:6*>fc85?!7ak3?0(<;:26f?!549393?6*<338b1>"4;:0j96*<6686?!5103?0(9?7:206?!26139996F<8`9'07c=;;90(5j53408 77720:;7)=:7;;2?k24838m:6*<6486e6=n;::1<75f19f94?=n;;<1<75f19a94?=nj?0;6E=>6:X0=1<6s==86p5fb983>M56>2P8594>{550>x=n;;=1<75f33d94?=n<8:1<7*<14874c=i;8>1<6*=a6874g=i:h<1=65f41g94?"49<0?"5i>0?=h9=k1<7*<14820<=i;8>1<6*=a68277=i:h<1j65`15:94?"49<0:845a30695>"5i>0:??5a2`49a>=h9==1<7*<14820<=i;8>1>6*=a68277=i:h<1h65`15494?"49<0:845a30697>"5i>0:??5a2`49g>=h9=?1<7*<14820<=i;8>186*=a68277=i:h<1n65`15694?"49<0:845a30691>"5i>0:??5a2`49e>=h9<91<7*<14820<=i;8>1:6*=a68277=i:h<1565`14094?"49<0:845a30693>"5i>0:??5a2`49<>=h9<;1<7*<14820<=i;8>146*=a68277=i:h<1;65`14294?"49<0:845a3069=>"5i>0:??5a2`492>=h9=l1<7*<14820<=i;8>1m6*=a68277=i:h<1965`15g94?"49<0:845a3069f>"5i>0:??5a2`490>=h9=n1<7*<14820<=i;8>1o6*=a68277=i:h<1?65`15a94?"49<0:845a3069`>"5i>0:??5a2`496>=h9=h1<7*<14820<=i;8>1i6*=a68277=i:h<1=65`15194?"49<0:845a3069b>"5i>0:??5a2`494>=h9>o1<7*<14823a=i;8>1<6*=a6823<=i:h<1=65`16a94?"49<0:;i5a30695>"5i>0:;45a2`494>=h91>1<7*<1482<6=i;8>1<6*=a6823c=i:h<1=65`19094?"49<0:4>5a30695>"5i>0:;k5a2`494>=n<8<1<7*<148750=i;8>1<6*=a68754=i:h<1=65f40694?"49<0?=85a30695>"5i>0?=<5a2`494>=n>>0;6)=>5;45?k56<3:0(?o8:478j7g12j10e;;50;&050<1>2d8=94>;%0b3?323g8j:7l4;h47>5<#;8?1:;5a30696>"5i>0>96`=a78b?>o083:1(>?::748j6732:1/>l95549m6d0=121b:k4?:%121?013g9:87:4$3c4>037c2.9m:4:5:l1e3<132c=o7>5$236>30!56=3<=7c=>4;:8 7g023-8j;7;:;o0b2?5<3`<26=4+307923=i;8>1m6*=a6861>h5i?0976g98;29 6722?<0b>?;:c9'6d1==<1e>l851:9j26<72-9:9789;o120?e<,;k<68;4n3c5>5=5<#;8?1=?m4n237>4=#:h=1=?64n3c5>5=5<#;8?1=<94n237>4=#:h=1==>4n3c5>`=6=4+30795415<#;8?1=<94n237>6=#:h=1==>4n3c5>f=5<#;8?1=<94n237>0=#:h=1==>4n3c5>d=5<#;8?1=<94n237>2=#:h=1==>4n3c5>==5<#;8?1=<94n237><=#:h=1==>4n3c5>3=5<#;8?1=<94n237>g=#:h=1==>4n3c5>1=54i03b>5<#;8?1=<94n237>a=#:h=1==>4n3c5>7=5<#;8?1=<94n237>c=#:h=1==>4n3c5>5=h49=0;7)3l07dh;:18'743=n<1e?<:51:&1e26k;4n237>7=#:h=1hh5a2`49`>=nn;0;6)=>5;d6?k56<390(?o8:eg8j7g12j10ek?50;&0505<#;8?1j85a30691>"5i>0oi6`=a78b?>oan3:1(>?::g78j6732?1/>l95dd9m6d0=121bjh4?:%121?`23g9:8794$3c4>ac5$236>c3!56=3l>7c=>4;`8 7g02mo0b?o9:598mc?=83.8=84i5:l0511h6*=a68ga>h5i?0976gi7;29 6722o?0b>?;:d9'6d1=ll1e>l851:9jac<72-9:97h:;o120?`<,;k<6ik4n3c5>5=h49=0;7)3i07d6>:18'743=0;1e?<:51:&1e2<092d9m;4m;:k;4?6=,:;>65<4n237>7=#:h=1;<5a2`49e>=n0j0;6)=>5;:1?k56<390(?o8:638j7g12010e5l50;&0505<#;8?14?5a30691>"5i>0<=6`=a784?>o?13:1(>?::908j6732?1/>l95709m6d0=>21b454?:%121?>53g9:8794$3c4>276`<158;?!4f?3=:7c1290/?<;5839m742=12.9m:481:l1e3<432c397>5$236>=465f8583>!56=3297c=>4;`8 7g02>;0b?o9:098m2`=83.8=8472:l051;o0b2?6<3f;=h7>5$236>40d3g9:87>4$3c4>4323g8j:7h4;n35f?6=,:;>6<8l;o120?7<,;k<6<;:;o0b2?c<3f;=m7>5$236>40d3g9:87<4$3c4>4323g8j:7j4;n35=?6=,:;>6<8l;o120?5<,;k<6<;:;o0b2?e<3f;=47>5$236>40d3g9:87:4$3c4>4323g8j:7l4;n353?6=,:;>6<8l;o120?3<,;k<6<;:;o0b2?g<3f;<:7>5$236>40d3g9:8784$3c4>4323g8j:774;n341?6=,:;>6<8l;o120?1<,;k<6<;:;o0b2?><3f;<87>5$236>40d3g9:8764$3c4>4323g8j:794;n347?6=,:;>6<8l;o120??<,;k<6<;:;o0b2?0<3f;<>7>5$236>40d3g9:87o4$3c4>4323g8j:7;4;n345?6=,:;>6<8l;o120?d<,;k<6<;:;o0b2?2<3f;<<7>5$236>40d3g9:87m4$3c4>4323g8j:7=4;n35b?6=,:;>6<8l;o120?b<,;k<6<;:;o0b2?4<3f;=i7>5$236>40d3g9:87k4$3c4>4323g8j:7?4;n352?6=,:;>6<8l;o120?`<,;k<6<;:;o0b2?6<3`;9;7>5$236>4413g9:87>4$3c4>4453g8j:7?4;h311?6=,:;>6<<9;o120?7<,;k<6<<=;o0b2?6<3ty?4n4?:3y]0=e<5:om64753ty?444?:3y]0=?<5:om6<<>;|q7<=<72;qU8564=2ge>4473ty?4:4?:3y]0=1<5:om647f3ty?584?:3y]0<3<5:om64763ty?5>4?:3y]0<5<5:om6k?4}r6:6?6=:rT?5?523dd9b5=z{=3:6=4={_6:5>;4mo0mj6s|48294?4|V=3;70=jf;df?xu30o0;6?uQ49d896ca2o30q~:7e;296~X30l16?hh5f99~w1>c2909wS:7d:?0ac2349nj7ki;|q720<72;8pR98:;<634??b349mj77j;<1ea??b349m577j;<1e77j;<1a`??b349io77j;<1af??b349i;77j;<1a2??b349o877j;<1g5??b349o?77j;<1ff??b349nm77j;<1f=??b349n477j;<1f7??b349n>77j;<1f5??b349oh77j;<1gg??b349nj7l9;<1fb?d?3ty3i;4?:3y]<`0<5:ln6>?n;|q;bc<72:qU4kh4=2f6>4e?349j>7?l8:p<`7=83>pR5k>;<1bg?7d0278j?4>c89>7fc=9j20q~:6d;296~X31m16?hh517:8yv2>j3:1>vP;9c9>7``=9?=0q~:6a;296~X31h16?hh51648yv2>13:1>vP;989>7``=9>?0q~:68;296~X31116?hh51628yv2>?3:1>vP;969>7``=9?l0q~:n6;296~X3i?16?hh517g8yv2f=3:1>vP;a49>7``=9?<0q~:n4;296~X3i=16?hh51578yv2f;3:1>vP;a29>7``=9=>0q~:n2;296~X3i;16?hh51418yv2f93:1>vP;a09>7``=9<80q~:n0;296~X3i916?hh515f8yv2>n3:1>vP;9g9>7``=9=i0q~:6e;296~X31l16?hh515`8yv2>>3:1>vP;979>7``=9=90q~=k4;296~X3im16?i:53338yv5c93:1?vP;659>7a7=;;;01>m7:0a;?xu4ik0;6?uQ4`5896ge2:8:7p}6}Yo7:202?85>k3;h46s|27494?4as4>9<7?l0:?0b`278j44k6:?0b=278ji4k6:?0bf278ik4<279>7``=;;=01>ki:20e?85bn3<<70=jf;46?85bn3;4mo0:>o523dd954><5:om6159>7``=98l01>ki:03f?85bn3;:h63523dd9b7=:;ll1ji523dd9bf=:;ll1jo523dd9bd=:;ll14>523dd9<4=:;ll14=523dd95d79>7c`=;;;01>hj:`78yv2783:1>v3;018064=:<981=n74}r1ea?6=;r78jk4k6:?0b`<4:8168=<51b:8yv2793:19v367f349mo7=>a:?747<5ik1v>h8:18g85a1399=6367f349h97m8;<1`0?e0349h?7m8;<1`6?e0349ih7m8;<1ag?e0349in7m8;<1a3?e0349i:7m8;|q0b3<72:q6?k65333896`528ij70=jf;104>{t;oh1<7ot=2dg>646349nn7m8;<1fe?e0349n57m8;<1fj>:b5896b42j=0q~=n9;297~;4ik0o:63;4i?09mo5rs2c4>5<5s49j47j9;<1:g?4fj2wx?lo50;7x96gf2:8:70=nc;3`=>;4n;0:o5523`495f><5:in67f2=i<16?n=5a49>7f4=i<16?oj5a49>7ge=i<16?ol5a49>7g1=i<16?o85a49>7de=:hh01>mi:0aa?85>k3;hm632}:;j?1???4=2c0>4ee349j87?lb:?0gg<6k116?n751b;896ec28i270=jf;:a?xu4j00;69u23b69`3=:;ki1???4=2;f>4e>349j=7?l9:p7f6=83=p1>m;:202?85f;3;h5634ef349hi7?lc:?0aclm:202?85>n3;h5634>ce9>7d3=9j301>7k:0ab?85dj3;hm634e>349i<7?l9:?0b0<6k>16?hh5889~w6gc2909w0=l2;f5?85fm38jn6s|3cg94?b|5:i96><>;<1b0?7dk278m84>cc9>7mm:0a`?85>n3;hn634ef349i=7?la:?0f5<6kh16?lk51b5896ca2120q~=m3;290~;4jm0o:634e?3ty8n?4?:4y>7ge=l?16?o85333896?e28i370=m1;3`f>;4j90:o55rs2ce>5<5s49in7j9;<1e1?4fj2wx?o;50;0x96d02m<01>l>:3ca?xu4j=0;6?u23c49`3=:;k:1>ll4}r1g6?6=;r78h94k6:?0`6278ok4=ac9~w6b72909w0=k1;f5?85d038jn6s|3e194?2|5:n86><>;<1g1?7d1278ok4>c99>7d4=9j30q~=i4;2956}:;lh1m8523dc9e0=:;l31m8523d:9e0=:;l91m8523d09e0=:;l;1m8523ef9e0=:;mi1m8523e796dd<5:k=6cb9>7fb=9jn01>ki:0:`?85bn3>:<631733ty8i=4?:5y>7`d=l?16?h=5333896e>28i370=ld;3`<>{t;l=1<79t=2ga>646349j?7?la:?0e1<6kh16?4j51b;896?b28ij70=n1;3`e>;4mo0=j6s|3ed94?2|5:oj6i84=2g1>646349hm7?l9:?0g`<6kh1v>k9:18485bi399=631=n64=2;a>4e>3492i7?lb:?0e4<6kk16?hh56d9~w6bb290?w0=j9;f5?85b9399=637d5=9ji01>o::0a;?85>l3;hn634ee349o;7?l9:?0`3<6k0168==51b5896ca2?n0q~=jc;296~;4m10o:63ce9>7d3=9jk01>7k:0a`?85dj3;hh63<9g82gd=:;j31=nl4=2c1>4ed349hh7?lb:?0`2<6kh16?i851bc896cc28i<70=jf;4`?xu4l00;69u23d19`3=:;mn1???4=2aa>4e>349o;7?l8:p7a>=83?p1>k=:e4896bd2:8:70=l7;3`=>;4l>0:oo523e495f>52z?0a427?<>4=ac9~w6be2909w0=kd;f5?85c?38jn6s|3ec94?4|5:nh6i84=2f5>7ge3ty8j<4?:3y>7c4=:hh01>ki:0:g?xu4i80;6>u23`196dd<5:k=6;4ko0:o45rs2c7>5<4s49j97v3ll4}r1:e?6=:r785o4=ac9>752z?0g2<5ik16?n651b;8yv41m3:1>v3<9b82gf=:;0n1>ll4}r046?6=:r785n4>ce9>752z?0g=<6km16?n752``8yv4>i3:1>v3<9g81eg=:;0o1=nm4}r053?6=:r78ol4=ac9>7f?=9ji0q~<9b;296~;4i;09mo523`395fb52z?0g`<5ik16?nj51ba8yxd3?=0:6<4?:1y'07c=;930D9>9;I3g1>"58h0:h?5+20090c=i<::1>k94i22:>5<#<;o1?=74;|`53c<6280;6=u+43g975?<@=:=7E?k5:&14d<48>1/><<521f8j1572;l37b=?9;29 14b2::27E=61:9~f=c0280:6=4?{%61a?5712B?<;5G1e78 76f28n97)<>2;03a>h3;909j45f31;94?"3:l08<454}c:fg?7=93:1c79m066=:ok0e>>6:18'07c=;9307pl98082>4<729q/8?k531;8L1613A;o96*=0`82`7=#:881=n;4n513>7`e3`9;57>5$50f>66>32wi:no51;394?6|,=8n6>>6;I632>N6l<1/>=o53158 7752h:0b9=?:3d`?j5713:1(9{e>j21=7?50;2x 14b2::27E:?6:J2`0=#:9k1?=94$331><2>6;:a2f1=93;1<7>t$50f>66>3A>;:6F>d49'65g=;9=0(??=:878j1572;ln7b=?9;29 14b2::276sm6b495?7=83:p(92B:h85+21c9751<,;;969j4n513>7`a3f9;57>5$50f>66>32wi4kj51;294?6|,:326>6l;I630>N40h1G?5m53z&0=2<48j1/?48531`8 6??2::o7p`;318045=zj:386<4?:183!5>1392?6*=118:45=O;1k0@9=>:5y'7<1=;8:0(>79:22e?!5>039:=6*<94804`=zf=9;6>>>;%151?>ak2wx8::50;1xZ11334>=i7?l7:?731<4801v5kk:1811~;2;o08>?525529774<5<>:6><=;<776?55:27>8>4<239>112=;;8018:::201?833>399>639088067=:>9k1??<4=72a>64534<;o7==2:?54a<4:;16:>o5d79>26g=;8k01;8i:b5893172j=01;9>:b5893152j=015kk:3ca?80?8393:63:d68067=:=ki1??<4=43:>62d34?:n7==2:?65f<4:;169f;116>;2:908>?525339774<5<896><=;<717?55:27>=l4<239>0ac=;=i019k=:201?8350399>6s|69094?4|5?;86{to;77j;<6g3<77j;<6;5??b34>3>77j;<6`4??b34>h=77j;<6`e??b34>hn77j;<6`g??b34>hh77j;<6`a??b34>hj77j;<6g4??b34>o=77j;<6`6??b34>h?77j;<6`0??b34>h977j;<6`2??b34>h;77j;<6`h577j;<65b?4fj27=;i46e:?6`d<>m27>h846e:?54`<>m27>nk46e:?6`3<>m27>io46e:?6a6<>m27>i946e:?6a0<>m27>i;46e:?6a2<>m27>i546e:?6a<<>m27>il46e:?67c<>m27>8=46e:?604<>m27>8?46e:?606<>m27>8946e:?600<>m27>8;46e:?54<<>m27=m27=m27=>?46e:?566<>m27=>946e:?560<>m27=>;46e:?562<>m27=>546e:?56<<>m27>h:46e:?6ff<>m27?;846e:?;a4<>m27=;o46e:?6a`<>m278?846e:?07c<>m2788<46e:?006<>m2788846e:?002<>m2788546e:?00<<>m2788l46e:?00g<>m278?;46e:?072<>m278?546e:?07<<>m278?l46e:?07g<>m278?n46e:?07a<>m27>=446e:?65g<>m27>=n46e:?65a<>m27>=h46e:?65c<>m27>>=46e:?664<>m27>>?46e:?666<>m27>=l46e:?7``<>m27?i?46e:?66=<>m27=8:4;649~w0c5290>w08m4;f5?80><3n=708n4;f5?80d<3n=70;ja;115>{t=l;1<7;t=7`0>a0<5?386i84=7c0>a0<5?i86i84=4g:>6463ty>i=4?:4y>2g4=l?16:4<5d79>2d4=l?16:n<5d79>1`>=;;;0q~;kf;291~;1j80o:639908g2>;1i80o:639c08g2>;2m>08><5rs4ff>5<2s4a2m<01;7i:e4893da2m<018k::202?xu2lj0;68u26`g9`3=:>1o1h;5268g9`3=:>ko1h;525d6977755z?5ea27=4i4k6:?5=a27=ni4k6:?6a6<4:81v;9i:180[00n27=4=4=ac9>22`=;930q~;jb;29<~;2mk08><5247095f?<51l:6c99>036=9j2019;l:0a;?8>ai3;h46s|4e794?5|5=n36><>;<:f7?7di273i94>c99~w1b32908w0:k7;115>;?m:0:on528d695fdo?7>53z?7`3<4:8164h=51b`89=c328ij7p};7783>03|51in64k4=9f4>64k4=96b>64k4=975>964k4=960>?64k4=966>=64k4=964>364k4=96:>;64k4=962>i=64k4=6ae>n;64k4=6f2>n964k4=6f0>n?64k4=6f6>n=64k4=6`b>hi64k4=6`f>hm64k4=6a3>i:64k4=6a1>i864k4=6a7>i>64k4=6``>ho64k4=661>>i64k4=66`>>o64k4=66f>>m64k4=673>?:64k4=671>9=64k4=614>9j64k4=61a>9h64k4=61g>9n64k4=61e>>;64k4=662>9364k4=61:>7}:4ec3ty?n94?:3y>0f1=;;;015h7:0a`?xu3j:0;6?u24b49777<51l<67}:1???4=9d4>4ee3ty?n=4?:3y>0f5=;;;015h8:0ab?xu3io0;6?u24b09777<51l<628i27p};bd83>7}:4e?3ty?ni4?:3y>0f`=;;;015h6:0aa?xu3jj0;6?u24bg9777<51l2628io7p};b`83>7}:4ed3ty?n44?:3y>0fd=;;;015h7:0a:?xu3j10;6?u24bc9777<51l367}:4ed3ty3i<4?:4y>256=9jh018kk:0ab?8>b9399=63:eg82g<=:0l91=nj4}r4;g?6=:r7=594<209>304=l?1v;6m:18180>;399=638508g2>{t>1k1<764634=><7j9;|q5<<<72;q6:4?53338922a2m<0q~878;296~;11908><5275g9`3=z{?2<6=4={<4;b?55927<8i4k6:p2=0=838p1;6j:202?813k3n=7p}98483>7}:>1n1???4=66a>a0563y>076=9j:01?:ef897242mn01?:::ef897202mn01?:6:ef8972e2mn01?:k:ef8974a2mn01?=>:ef897542mn01?=::ef897502mn01?=6:ef8975e2mn0199?:0:5?820839:n63;71805a=:<>:1?64b34>n97o8;<6ee?g034>n:7jk;<6fnm7jk;<6fg?bc34>ni7jk;<6e4?bc34>m>7jk;<6e0?bc34>m:7jk;<:;4?g03422;7o8;<:;7?bc342397jk;<:;3?bc342357jk;<:;f?bc3423h7jk;<:;b?bc3422=7jk;<::7?bc3423=7jk;<5eg?g0342:?7o8;<5eb?bc342;=7jk;<:37?bc342;97jk;<:33?bc342;57jk;<:3f?bc342;h7jk;<:3b?bc34=mh7jk;<5478:;<436?0034<;>76?;<436?>634<;>76<;<436?75=27=254=;;=01;>=:20e?807:398<639038751=:>9818<84=464>1>d34??;76j6:p23n=7p}99c83>7}:>h91???4=6f6>a052z?5e7<4:816;i:5d79~w3?>2909w08n1;115>;0l:0o:6s|68:94?4|5?k;6><>;<5g6?b13ty=5:4?:3y>2<`=;;;01:j>:e48yv0>>3:1>v399d8064=:?m:1h;5rs7;6>5<5s4<2h7==1:?4gc2wx:lm50;0x93d32:8:706:a;f5?xu1ik0;6?u26c19777<51?26i84}r4be?6=:r7=n?4<209><0>=l?1v;o6:18180e9399=637568g2>{t>h21<7646342>:7j9;|q5e2<72;q6:lh533389=322m<0q~8n6;296~;1il08><528469`3=z{?k>6=4={<4b`?5592739>4k6:p2ge=838p1;m;:202?8>cm3n=7p}9bc83>7}:>j91???4=9fg>a052z?5g7<4:8164im5d79~w3d>2909w08l1;115>;?lk0o:6s|6c:94?4|5?i;6><>;<:ge?b13ty=n:4?:3y>2g`=;;;015j6:e48yv0e>3:1>v39bd8064=:0m21h;5rs7`6>5<5s42wx4hh50;gx91b?2m<015ki:202?821:3;h4637f082gg=:=881=n74=430>4e>34>=<7?la:?71f<6kh164h=51b:89=c328i270::e;3`f>;3=00:ol528gc95fg<5=?j6a93;hm63:1382gg=:=891=nl4=9d7>4e?342n>7=;c:?71<<6k1168;>51b;8913d28i2706ia;3`=>;3=h0:o55rs9d6>5o:7j9;<:e1?55927?9h4>c`9>1=no4=57b>4e>342n?7?l9:?;a1<6kj168;>51b`8913d28ii706ia;3`f>{t?=81<764634j6><>;<4``?7d?2wx4nk50;0x9=eb2:8:708le;3`3>{t;8l1<76t=55g>64534>5330891>62:8970:72;116>;4:909mo5249195f>5cz?764<48116>?k5de9>0`3=lm1645>5de9><3`=i>16;km5de9>3`d=i>16;:65de9>331=i>16:k:5de9>2`5=i>16:=<519a8yv22n3:15v39ae8b1>;1il0j9639ag8b1>;1j90j9639b08b1>;1j;0j9639b28b1>;1j=0j963;6181eg=z{=?i6=46{<4;`?g234<3i7o:;<4;b?g234<2<7o:;<4:5?g234<2>7o:;<4:7?g234<287o:;<66g?4fj2wx88j50;;x93?c2h?01;7j:`7893?a2h?01;o?:`7893g62h?01;o=:`7893g42h?01;o;:`78913b2;ki7p};6083><}:>kn1m8526cg9e0=:>kl1m8526b29e0=:>j;1m8526b09e0=:>j91m8526b69e0=:ll4}r65f?6=:r7?:l4=ac9>026=jm1v98l:181821n3;h;63;718aa>{t4e034><<7ol;|q72a<72;q68:>5c39>03c=:hh0q~6i6;296~;?n<0o:637f`81eg=z{1l86=4={<:e6?b1342m877}:<>n1h;5223:96dd52z?73`279>44=ac9~w6272909w0:8f;f5?845i38jn6s|35094?4|5=2;6i84=30a>7ge3ty8894?:3y>0=7=l?16>?m52``8yv53>3:1>v3;838g2>;5:m09mo5rs57;>5<6763=i<1v>=::18082d83n=70=<5;115>;5=90:o:5rs21e>5<4s4>h=7j9;<10b?559279?h4>c99~w6262908w0:la;f5?8539399=63=4182g==z{:>86=4<{<6`f?b1349??7==1:?107<6k11v>:::18082dk3n=70=;5;115>;5<=0:o55rs264>5<4s4>hh7j9;<173?5592798;4>c99~w62?2908w0:le;f5?8530399=63=4982g==z{:>26=4<{<6`b?b1349?57==1:?10d<6k11v>:n:18082c83n=70=;a;115>;55<4s4>o=7j9;<17f?5592798h4>c99~w6512908w0:l2;f5?854>399=63=3182g==z{:9<6=4<{<6`7?b13498;7==1:?177<6k11v>=7:18082d<3n=70=<8;115>;5;=0:o55rs21:>5<4s4>h97j9;<10=?559279?;4>c99~w65f2908w0:l6;f5?854i399=63=3982g==z{:9i6=4<{<6`3?b13498n7==1:?17d<6k11v>=l:18082d03n=70=;5;j0:o55rs21g>5<4s4>h57j9;<10`?5592799l4>c99~w72a2908w0<=e;17g>;5=80953z?16`<5ik16>>j5a69>60d=i>1v?=k:180844l38jn63=3g8b3>;5=j0j;6s|22d94?5|5;9m6?om;<075?g0348>h7o8;|q104<72:q6>9?52``897242h=01?;j:`58yv43;3:1?v3=4281eg=::=?1m:5224d9e2=z{;>>6=4<{<071?4fj2798:4n7:?1259950;1x97202;ki70<;9;c4?84193k<7p}=4883>6}::=31>ll4=36a>d1<5;<96l94}r07f?6=;r798o4=ac9>61b=i>16>;=5a69~w72c2908w0<;d;0bf>;5:o0j;63=538b3>{t:;l1<7=t=30e>7ge3488=7o8;<067?g03ty9?<4?:2y>667=:hh01?=<:`5897332h=0q~<<3;297~;5;:09mo522279e2=::5<4s48897u222;96dd<5;9i6l94=37;>d1<;7>58z?73a<4:816??>51bf8974>28i270<=a;3`=>;5:k0:o45223f95f?<5;8h6;5:00:o55223c95fg<5;8i6n4>c`9~w11>290=w0:8f;115>;4:90:oo5223c95f><5;8i6n4>cc9~w11f290>w0:70;115>;4:90:ol5223`95f><5;8o662:8:70==0;3`=>;5:m0:oi5223a95f>53z?7<7<4:816??>51b:8974c28i37p};f583>6}:1>ll4=5d5>d1<5<:>6l94}r6e6?6=;r7?j?4=ac9>0c2=i>169=:5a69~w1`72908w0:i0;0bf>;3n;0j;63:028b3>{t7ge34>m<7o8;<736?g03ty?in4?:2y>0`e=:hh019kj:`5890662h=0q~:ja;297~;3mh09mo524da9e2=:=9:1m:5rs5g;>5<4s4>n47u24d796dd<5=o=6l94=5dg>d1m47>53z?7a0<42;ki7p}:3783>3}:==<1???4=4`f>4e>34<;<7?l9:?6`<<6k1169?o535a8907628ij7p}:3483>0}:==?1???4=43;>4e>34?:<7?lb:?654<6k016999549c8yv34<3:19v3:458064=:=8=1=n74=42e>4e>34>n87?l8:?602<3001v8=<:185833;399=63:1782g<=:=9o1=n74=5g7>4ed34?;j7?la:?602<3011v8==:184833:399=63:1482g<=:=9l1=nl4=5g7>4ec34?;n7?lb:?64`<6kh1699954958yv3493:1:v3:408064=:=8>1=n74=42;>4e>34?;m7?l8:?7a1<6k01699954948yv3483:1;v3:418064=:=891=nm4=42b>4ef34>n87?lb:?642<6kj169=651bc890202=3>7p}:2g83>=}:=:l1???4=431>4ed34?;m7?l9:?7a1<6kh169=951b`8906128i370;?8;3`f>;2<>0?595rs43b>5<2s4?:m7==1:?7bf<6k1168ij51b;891c728ii70;=7;3`g>{t=;91<7;t=72g>a0<5<886><>;<6e3?7d027?hi4>c99>0`7=9j30q~;=2;291~;2:;08><524g795f><5=no6>44>cb9~w046290>w0;=1;115>;3n:0:o5524ef95fg<5=o:650;6x90472:8:70:i1;3`<>;3lo0:o45253;95fg55z?65c<4:8168hh51b:891bc28ih70:j1;3`g>;2:00:o45rs43f>5<3s4?:i7==1:?7aa<6k1168ih51b:8904>28i37p}:1e83>0}:>9i1h;5250f9777<5=oi6>:4>c99~w07d290>w08?b;f5?836k399=63;e882g==:4ee3ty>=o4?:4y>25g=l?169;2:>0:ol5rs43:>5<2s4<;57j9;<72=?55927?i=4>c99>171=9j3019h6:0a4?xu2:=0;64u252d9e0=:==:1m8525539e0=:==81m8525519e0=:==>1m8525579e0=:==<1m85253c96dd52z?6`027>o84=ac9~w0cd2909w0;ka;f5?83bl38jn6s|52594?4|5<9m6i84=425>7ge3ty>?54?:3y>116=l?169=952``8yv3413:1>v3:408g2>;28109mo5rs41b>5<5s4??>7j9;<73f?4fj2wx9>l50;0x90242m<018>j:3ca?xu2;j0;6?u25569`3=:=9l1>ll4}r70`?6=:r7>884k6:?655<5ik1v8=j:181833>3n=70;>1;0bf>{t=kn1<7a0<552``89365282o7p};dc83>42|5?:26l;4=72b>d3<5?:i6l;4=72`>d3<5?:o6l;4=5f`>7ge34?:57o:;<72f?g234?:o7o:;<72`?g234?:i7o:;<72b?g234?9<7o:;<715?g234?9>7o:;<717?g234?:m7o:;<6ga?g234>n>7o:;<71h:4?:2y>1a1=;;;018j7:0a;?833?32mj6s|5ca94?c|54e?34?:97?l8:?653<6k1169<951b:8907?28i370;me;3`<>;1890:o55250095f><5<;86nn4<209>1``=9j2018m?:0ab?xu2ml0;69u261295fg<5ik4>c`9~w363290>w08?9;115>;2:l0:oo525b395f><5<8h6;2k80:o45253a95fd<5<8=6;2:j0:on5253495fg52z?54f<4:8169?k51b;8yv0703:1>v390e8064=:=;h1=nm4}r43a?6=kr7=146=9j2018j7:0ab?83d83;h463:0682g==:=9<1=n74=42a>4e?34?9n7?la:?66f<6k0168im51b;8904128ih70;?d;3`e>{t=mk1<7ot=4fb>64634?nn7j9;<7f7?g234?n87o:;<7f1?g234?n:7o:;<7f3?g234?n47o:;<7f=?g234?nm7o:;|q6`3<72:q69i85333893652=:n708?2;624>{t=m?1<7:t=4f6>64634?o:7j9;<7`1?7di27>8:47e09~w31c290jw088d;115>;1:;0j9639228b1>;1:=0j9639248b1>;1:?0j9639268b1>;1:10j9639288b1>;1?j0:ol5rs4`e>5<4s4?ij7==1:?66`<6km169?l51b:8yv3d:3:1>v3:c482g<=:===18;=4}r7`7?6=:r7>o84>c99>111==:g:890202=3m7p}:cd83>7}:>981j45255590d652z?5478:4;a09~w0b72909w08?2;da?833?3>j>6s|5e394?4|5?:96km4=464>1g43ty>h?4?:3y>254=nm1699954`68yv3c;3:1>v390385e>;2<>0?m85rs4f7>5<5s4<;>78m;<773?2f>2wx9n950;0x93652?i018:8:5;4?xu2k10;6?u261092a=:===18464}r7`=?6=:r7={t=jh1<726<5<><697m;|q6b2<72:q69h=5d79>274=l?16:=<51748yv3a03:1?v3:e58g2>;1::0o:63903822`=z{6}:=l=1h;526349`3=:>981=:?4}r7eg?6=;r7>i54k6:?56227=739~w0`c2908w0;j9;f5?80503n=708?2;347>{t=oo1<7=t=4gb>a0<5?826i84=721>4133ty=240=:hh01;6?:0a4?xu1:h0;6>u26209777<5<:=6;2<>0?4i5rs70`>5<4s4<887==1:?64=<6k116999549g8yv05l3:1?v39348064=:=9h1=nj4=464>1>a3ty=>h4?:2y>260=;;;018>j:0a;?833?3>2<6s|63d94?5|5?9<6><>;<73b?7d027>8:4;909~w3572908w08<8;115>;2990:oi5255590<453z?57<<4:81697}:=881>ll4=721>=052z?656<5ik16:=<5869~w0`52909w0;>4;0bf>;18;0346s|5g194?4|5<;>6?om;<436?>>3ty>j94?:3y>140=:hh01;>=:9c8yv3a=3:1>v3:1681eg=:>9814o5rs4d5>5<5s4?:47;2k90:oi5rs5ff>5<5s4?9i7?l8:?7``<4:81v8<::181835>38jn63:d982ga=z{<:26=4;{<73e?4fj27>cb9>15c=9jh018>i:0a`?xu3m:0;6>u24d696dd<5<;;6;28l0:on5251d95fb<5<;:6;2k90:on5251595fg<5<:=6>o4>ce9>17e=9jk018>k:0a:?xu19=0;6?u26609`3=:>8?1>ll4}r45f?6=9>q6:;h53338931>28ii708>3;3`e>;19;0:o55260;95fd<5?;<6cb9>214=9jh01;:::0a`?803>3;hn6394882gf=:>=k1=nl4=76g>4ed34;1?=0:on5266795fd<5?9n6:91m8526269e0=:>:?1m8526249e0=:>:=1m85262:9e0=:>:31m85260096dd53z?52527=??4k6:?556<5ik1v;=l:18080193n=708<3;f5?804l38jn6s|65294?5|5?<96i84=717>a0<5?>86?om;|q501<72:q6:;=5d79>263=l?16:9952``8yv0303:1?v39658g2>;1;?0o:6394c81eg=z{?>h6=4<{<451?b134<8;7j9;<47b?4fj2wx:8>50;1x93012m<01;=7:e4893342;ki7p}95583>6}:>?=1h;5262;9`3=:><=1>ll4}r458;0bf>{t>?k1<7a0<5?;26?om;|q53=<72;q6::o5d79>22?=:hh0q~887;297~;1?>08><5260495f?<5?=>628i27088c;3`=>{t><21<7:t=743>64634<:?7?l8:?57`<6km16:>h51ba8yv0213:18v39608064=:>=;1=nj4=761>4ed34<8j7?l8:p20g=83>p1;8=:202?803:3;h46394482ga=:>=<1=nm4}r46f?6=4<209>210=9j201;:6:0ag?803i3;ho6s|64a94?2|5?<>;<47e?7d027=8i4>ce9>21c=9ji0q~8:d;290~;1><08><5265g95f><5??:6;1=<0:oi5264495fej7>54z?522<4:816:8851b:8931328io70885;3`g>{t>?i1<7?7{<444?55927==84>c89>22?=9jk01;?<:0aa?806:3;hn6391882gd=:>8=1=nl4=73;>4e>34;1n6c89>203=9j301;;9:0a:?800<3;h56397482g<=:>:o1=n74=71e>4e>3ty=:i4?:0:x93162:8:708>5;3`e>;1?00:on5260195fe<5?;96c`9>24>=9jh01;:>:0a;?803:3;hm6394482g==:>=<1=no4=76:>4e?34;1=<0:o55264495fg<5?=?6c99>26`=9jk0q~89e;2955}:>>81???4=736>4e?34<<57?l8:?556<6k016:<<51b;8937>28i2708>7;3`=>;1910:ol5265195f><5?><6c99>205=9j201;;8:0a;?800>3;h46393e800f=z{?9j6=4={<40e?55927==;4>c99~w31e290iw0889;3``>;1<80:oo5265795fd<5?>26cc9>203=9jh01;9;:0aa?800j399=6397b82g==:>:o1=nl4}r415?6=;r7=>44<209>240=9jk01;9;:0ab?xu19h0;6?u26309777<5?9n67}:>;>1???4=766>4ef3ty==i4?:3y>273=;;;01;:6:0ab?xu19l0;6?u26349777<5?>o67}:>;21???4=776>4ef3ty3;>4?:3y><25=:hh015m?:0a:?xu??00;6?u286;96dd<51=86>:l;|q;3`<72;q64:k52``89=142mn0q~682;296~;??;09mo528619e2=z{1=36=4={<:4;??;0oh6s|86394?4|51=:6?om;<:46?g03ty3;:4?:3y><21=:hh0159>:26`?xu??j0;6?u286a96dd<51=:6ij4}r:44?6=:r73;=4=ac9><27=i>1v599:1818>0>38jn63771800f=z{1=i6=4={<:4f?4fj273;=4kd:p<3`=838p158i:3ca?8>083k<7p}77583>7}:0?l1?9m4=956>7ge3ty3;l4?:3y><3`=lm164:h52``8yv>?93:1>v378081eg=:0031m:5rs9;0>5<4s422?7:3ca?8>>;3k<706n3;c4?xu?0o0;6>u289d96dd<513:6l94=9c1>d153z?;1v56m:1808>?j38jn6378e8b3>;?i90j;6s|89;94?5|51226?om;<:;f?g03422j7o8;|q;<2<72:q645952``89=>>2h=0157j:`58yv>?=3:1?v378481eg=:01=1m:5288f9e2=z{1286=4<{<:;7?4fj273484n7:?;=f50;1x9=>72;ki70673;c4?8>>j3k<7p}79483>6}:01:1?9m4=9;4>76a3422:7d83;hm6s|8e394?>|51n26><>;<:57?7dj273:84>cb9><31=9jn0158j:0a:?8>1l3;h46376982ga=:0?h1=nm4}r:g4?6=1r73h54<209><35=9jk0158::0aa?8>1?3;ho6376d82gd=:0?n1=n74=94;>4ed342=n7?ld:?;2f<6k>1v5o9:1818>d=3n=70669;0bf>{t0h?1<7a0<51336?om;|q;ec<72;q64nj5d79>7}:0j31h;5288d96dd52z?;g=2735h4=ac9~w=g>2909w06l7;f5?8>>l38jn6s|8`:94?4|51i=6i84=9;`>7ge3ty3m:4?:3y>f<3:1>v37c38g2>;?1>09mo5rs9a3>5<5s42hi7j9;<:`4?4fj2wx48h50;1x9=1e28ii70686;3`e>;?>j09mo5rs943>5<4s42193:1?v377b82gg=:0>=1=no4=94f>7ge3ty3:?4?:2y><2b=9jk01597:0a;?8>1;38jn6s|87694?5|51=o6;??00:o55287596dd53z?;3`<6kk164:751bc89=0?2;ki7p}75b83>43|51n<6l;4=9f;>d3<51n26l;4=9fb>d3<51ni6l;4=9f`>d3<51no6l;4=9ff>d3<51i96><=;<:`7?55:273o;4<239>d1399>637c`8067=:0jh1??<4=9a`>645342hh7==2:?;g1<4:;164n;533089=3c2;ki7p}7cg83>6}:0m=1???4=95a>4ef342<:7?l8:p1;3;h56376482gd=:0?=1=nl4=94f>4e?342=47?l8:?;2g<6kk1v5j<:1868>cj399=6376282g==:0??1=n74=944>4ef342=57?l8:pp15jl:202?8>1=3;h46376682g==:0?31=n74}r:g1?6=<31=9j301587:0ab?8>1j3;h46s|8e494?5|51nn6><>;<:5c`9~w=d3290?w06l2;115>;??<0:o55286d95f?<513=6;?0=0:o55rs9`;>5<3s42h:7==1:?;3g<6k0164:851b;89=>128i37p}7b883>0}:0j=1???4=95a>4e?342<:7?lb:?;<=<6k1164n?51b;8yv>ei3:1:v37c98064=:0>i1=n74=954>4e>342>h7?lc:?;ej3:1:v37c88064=:0>i1=n64=954>4ee342>h7?lb:?;ek3:1:v37c`8064=:0>n1=n74=95;>4e>342>h7?l9:?;<`<6k1164n?51ba8yv>el3:1:v37cc8064=:0>n1=n64=95;>4ee342>h7?la:?;=5<6k1164n?51bf8yv>em3:1:v37cb8064=:0>o1=n74=95:>4e>342>i7?l9:?;=7<6k1164n>51ba8yv>en3:1:v37ce8064=:0>o1=n64=95:>4ee342>i7?l8:?;=1<6k1164n?51b:8yv>e=3:18v37c58064=:04e?342h<7?ld:pp15m::202?8>2l3;hh6379`82g==:0j:1=n64}r5fb?6=:r7<6e=9j30q~9i5;296~;0n<09mo527dd971e52z?4bd<5ik16;hh5de9~w2cb2909w09je;0bf>;0mo0j;6s|7g694?4|5>l?6?om;<5fa?53k2wx;k750;0x92`>2;ki709je;fg?xu0mm0;6?u27df96dd<5>on6l94}r5e7?6=:r74=ac9>3`b=;=i0q~9i8;296~;0n109mo527df9`a=z{>oh6=4={<5fg?4fj27l<6?om;<5fg?bc3ty3`d=:hh01:kl:`58yv1a83:1>v38ec800f=:?o;1>ll4}r5e2?6=:r7{t09l1<7=t=92e>7ge34=mh7o8;<:20?g03ty3<5b=:hh015>i:`589=7a2h=0q~6?b;297~;?8k09mo5281f9e2=:08o1m:5rs92:>5<4s42;578:3ca?8>713k<706>c;c4?xu?8<0;6>u281796dd<51:<6l94=93a>d153z?;46<5ik164=;5a69><4g=i>1v5>>:1808>7938jn637028b3>;?900j;6s|7gd94?5|5>lm6?om;<:35?g0342:47o8;|q4bf<72:q6;km52``892`a2h=015?8:`58yv>693:1?v38fb800f=:0891>=h4=931>7ge3ty3`1=:hh015=l:0ab?xu?nm64>ce9>3`g=9j301:k6:0a;?81b<3;hh638e682gf=z{1>h6=46{<:60?55927c`9>3`7=9jh01:k<:0a`?81bi3;hm638e882g<=:?l>1=nm4=6g4>4ec34=n47?l7:p<74=838p15:>:e489=722;ki7p}72083>7}:0=:1h;5280696dd52z?;0<273=k4=ac9~w=4f2909w06;8;f5?8>6m38jn6s|83;94?4|51><6i84=93g>7ge3ty3>54?:3y><10=l?1645?3:1>v37448g2>;?9k09mo5rs905>5<5s42?87j9;<:2e?4fj2wx4?;50;0x9=242m<015?6:3ca?xu?:=0;6?u28509`3=:0821>ll4}r:17?6=:r73?k4k6:?;52<5ik1v54m3n=706>3;0bf>{t0:i1<7a0<519h6?om;|q4`g<72:q6;k951b`892`528ij709j8;0bf>{t?mi1<7=t=6d;>4ef34=m?7?l8:?4a<<5ik1v:jk:18081a03;hn638f282gd=:?lk1>ll4}r5ga?6=;r7c`9>3c2=9j201:ji:3ca?xu0m90;6>u27g;95fd<5>l?6;0m:09mo5rs6g7>5<4s4=mm7?lb:?4b0<6kh16;h:52``8yv1c03:1=8u28419e0=:0<>1m8528479e0=:0<<1m8528459e0=:0<21m85284;9e0=:0<=;<:76?55:2738>4<239><12=;;8015:::201?8>3>399>637468067=:0=21??<4=96:>645342?<7==2:?;04<4:;16;i752``8yv>3j3:1?v37528064=:?o=1=no4=6d1>4e?3ty38h4?:6y><00=;;;01:ji:0a:?81b93;hm638e282gg=:?lk1=n64=6g7>4e?34=n;7?lb:p<1`=83?p15;8:202?81cn3;h4638e082g<=:?l91=no4=6g6>4e?3ty39=4?:5y><0>=;;;01:k>:0a;?81b;3;h4638e482g<=z{1?:6=4;{<:6=?559274>c89>3`2=9jk01:k8:0a;?xu?=;0;6>u284c9777<5>o?6>50;6x9=5b2:8:709i1;3`<>;0nk0:o45280095f154z?;7c<4:816;k?51b;892`e28i3706?0;3`<>{t0:>1<7:t=961>64634=m;7?l9:?4b7<6k0164=<51b:8yv>4=3:19v37428064=:?o=1=n64=6d1>4ee342;87?l8:?;7a<6k01v5=9:1858>3<399=638f982g<=:?o91=n74=6f:>4ed342;:7?l8:?;7a<6kh1v5=8:1858>3=399=638f982g==:?o91=nl4=6f:>4ee342;47?l8:?;7a<6kk1v5=7:1858>3>399=638f882g<=:?o>1=n74=6f:>4e>342;m7?l8:?;7a<6kj1v5=6:1858>3?399=638f882g==:?o>1=nl4=6f:>4ef342;o7?l8:?;7a<6km1v5=n:1858>30399=638f`82g<=:?o?1=n74=6fb>4e>342;i7?l8:?;7f<6kj1v5=m:1858>31399=638f`82g==:?o?1=nl4=6fb>4e?342:<7?l8:?;7a<6k11v5=>:1878>38399=638d`82gd=:?oo1=n64=91`>4ec3ty3??4?:5y><17=;;;01:j6:0ag?8>6>3;h46373b82g==z{>c89~w2162909w0981;0bf>;0>k088n5rs655>5<5s4=<:7:l;|q430<72;q6;:;52``8920f2mn0q~999;296~;0>009mo5277c9e2=z{>;0>00oh6s|77:94?4|5><36?om;<55=?g03ty<:h4?:3y>33c=:hh01:87:26`?xu0?:0;6?u276196dd<5><36ij4}r553?6=:r7<::4=ac9>33>=i>1v:8l:181811?39?o6386e81eg=z{>=96=4={<553?bc34=<;793k<7p}88c83>6}:?1h1>ll4=65:>d1<5>3;6l94}r5;=?6=;r7<444=ac9>3=d=i>16;4l5a69~w2>02908w0977;0bf>;0000j;6389`8b3>{t?1?1<7=t=6:6>7ge34=3;7o8;<5:=?g03ty<4>4?:2y>3=5=:hh01:6::`5892??2h=0q~971;297~;00809mo527919e2=:?0=1m:5rs65e>5<4s4=u276`96dd<5>=o6l94=6;7>d153z?43=<5ik16;:l5a69>3<5=i>1v:6k:180810039?o6388g814c=:?1o1>ll4}r556?6=:r7<:>4=ac9>3g>=9jk0q~9l9;29<~;0l808><5274`95fd<5>?o6c89>333=9j201:8?:0ag?811;3;ho6s|7b:94??|5>n;6><>;<56f?7di27<9i4>cc9>30`=9ji01:89:0ab?811=3;h56386182gf=:??91=nj4=647>4e03ty<5h4?:3y>3gb=l?16;4?52``8yv1>l3:1>v38bb8g2>;01909mo5rs6c4>5<5s4=h97j9;<5:f?4fj2wx;l850;0x92e32m<01:7n:3ca?xu0i<0;6?u27b19`3=:?031>ll4}r5b0?6=:r7{t?h81<7a0<5>3=6?om;|q4e4<72;q6;oh5d79>3<3=:hh0q~9n0;296~;0jl0o:6389581eg=z{>3m6=4={<5af?b134=2?7a2;ki7p}8b983>7}:?j<1h;527c:96dd;7>53z?436<6kk16;;k51bc892032;ki7p}85983>6}:?>>1=no4=64e>4e?34==97?j6=4<{<541?7di27<;=4>c99>30d=:hh0q~9:c;297~;0?<0:oo5276295fg<5>?o6?om;|q41`<72:q6;:851bc8921628i3709:f;0bf>{t??:1<7=t=655>4ee34=<=7?la:?425<5ik1v:;;:1821~;0ko0j9638d18b1>;0l80j9638d38b1>;0l:0j9638d58b1>;0l<0j9638d78b1>;0jh08>?527c`9774<5>hn6><=;<5ab?55:273f7=;;801:m=:201?81d;399>638c58067=:?j?1??<4=6``>64534=ih7==2:?410<5ik1v:m8:18081dn399=6387282gd=:??o1=n64}r5`e?6=?r730d=9j301:;k:0ab?812n3;hn6386782g==:??:1=n64=640>4ee3ty3a5=;;;01:;m:0a;?812l3;h56385g82gd=:??;1=n64}r5`g?6=30b=9j201:;i:0a;?81193;h56s|7bf94?2|5>n>6><>;<56b?7d127<:=4>c`9>335=9j20q~9le;297~;0l?08><5277295f?<5><86;00l0:o:5rs6ce>5<3s4=in7==1:?42a<6k016;:951b:8921d28i37p}8b183>1}:?ko1???4=650>4e>34==i7?l9:?43`<6k11v:l>:18681en399=6387282g==:??o1=nl4=6:3>4e?34=i57?l9:p3g4=834e?34=i57?la:p3g5=83:202?810<3;h46386g82gg=:?4e?34=i57?lb:p3g2=834e?34=i57?lc:p3g3=834e?34=i57?ld:p3g0=833;h56387082g<=:?<<1=n74=6:b>4e?34=i47?lc:p3g1=833;h46387082gg=:?<<1=n64=6:`>4e?34=i57?l8:p3db=83>p1:ll:202?812>3;hm6387`82g==:?k21=nj4}r5ba?6=303=9jn01:7=:0a;?81e03;h46s|6d594?4|5?o<6?om;<500?7d12wx:hj50;0x93cc2;ki708j7;17g>{t>o81<77ge3452z?5b4<5ik16:h85de9~w3c22909w08j5;0bf>;1m?0j;6s|6d`94?4|5?oi6?om;<4f1?53k2wx:k>50;0x93`72;ki708j5;fg?xu1m=0;6?u26d696dd<5?o>6l94}r4fe?6=:r7=il4=ac9>2`2=;=i0q~8jf;296~;1mo09mo526d69`a=z{?o86=4={<4f7?4fj27=i94n7:p2`>=838p1;k<:26`?80b138jn6s|6dg94?4|5?o86ij4=7d0>7ge3ty=j84?:3y>2c3=:hh01:>k:`58yv17?3:1?v380681eg=:>o?1m:5271a9e2=z{>:>6=4<{<531?4fj27<<:4n7:?4523k<7p}80083>6}:?9;1>ll4=620>d1<5>;>6l94}r4eb?6=;r7=jk4=ac9>357=i>16;<:5a69~w3`c2908w08id;0bf>;1no0j;638128b3>{t>oh1<7=t=7da>7ge342c?=:hh01;hm:`5892762h=0q~8i7;297~;1n>09mo526g;9e2=:?8:1m:5rs7d7>5<4s4c`9~w2222903w09;d;115>;1l>0:oo526e;95fe<5?ni6c99>2ae=9jn01;ji:0a`?xu0<=0;64u275a9777<5?n<6cb9>2`4=9jk01;k>:0a:?80ck3;ho639dg82ga=:>l:1=n94}r52e?6=:r7{t?;91<7a0<5>;<6?om;|q467<72;q6;9>5d79>340=:hh0q~9=1;296~;0;o0o:6381481eg=z{>8;6=4={<50a?b134=:877}:?:i1h;5270096dd52z?47g27<=<4=ac9~w27d2909w099<6i84=62e>7ge3ty<=54?:3y>360=l?16;=l52``8yv14<3:1>v38438g2>;0;=09mo5rs7f0>5<4s452``8yv0c<3:1?v39f182gd=:>lh1=n64=7g2>7ge3ty=h84?:2y>2c6=9jh01;km:0ab?80b:38jn6s|6e494?5|5?l:6;1mj0:ol526e;96dd53z?5b7<6kh16:hj51b:893be2;ki7p}9db83>6}:>o81=nl4=7gg>4ef34w09;b;c6?813k3k>709;d;c6?813m3k>709;f;c6?81283k>709:1;c6?812:3k>709<6;116>;0;>08>?5272c9774<5>9i6><=;<50g?55:2736c=;;801:=i:201?8138399>638408067=:?:21??<4=61:>64534>=6=48{<57a?55927=h:4>c89>2a?=9jk01;jm:0aa?80b:3;h4639db82g==:>ml1=nl4}r573?6==r7<8k4<209>2a1=9j201;j6:0a:?80cj3;hm639de82g==z{>>36=4;{<564?55927=h44>c99>2ad=9j201;jk:0a:?xu0<00;69u27439777<5?ni6c99~w22f2908w09:2;115>;1lj0:o4526ed95fg54z?473<4:816:h751b:893`428i2709?a;3`3>{t?;h1<7:t=614>64634ll1=n74=7gb>4e>34oi1=n64=616>4e>3ty<>h4?:7y>36e=;;;01;h?:0a:?80bj3;h5639d082gf=:>oo1=n64=616>4ef3ty<>k4?:7y>36b=;;;01;h?:0a;?80bj3;hn639d082gg=:?9:1=n64=616>4ee3ty36c=;;;01;h>:0a:?80bk3;h5639d082g<=:?981=n64=616>4ed3ty36`=;;;01;h>:0a;?80bk3;hn639d082gd=:?9>1=n64=616>4ec3ty316=;;;01;h=:0a:?80bl3;h5639d382g<=:?9<1=n64=617>4ed3ty4?:7y>317=;;;01;h=:0a;?80bl3;hn639d382g==:?921=n64=616>4e?3ty<>44?:5y>36>=;;;01;j=:0ab?80a>3;h46383582ga=z{>8j6=4;{<50=?55927=h<4>ce9>35c=9j201:=;:0a;?xu?n>0;6?u28g596dd<51lj67}:0o31>ll4=9da>4e>3ty=8<4?:3y>217=:hh01;:<:0a:?xu1<;0;6?u265096dd<5?>867}:>=<1>ll4=764>4ef3ty=844?:3y>21?=:hh01;:m:0a:?xu1i67}:>=o1>ll4=76e>4ef3ty=9<4?:3y>207=:hh01;;<:0a:?xu1=;0;6?u264096dd<5??867}:><<1>ll4=774>4ef3ty=;94?:3y>222=:hh01;99:0a:?xu1?<0;6?u266796dd<5?==6{t:>31<77ge342>h7?l8:p631=839p1:k::3ca?81b<3;hn638e682g<=z{;c99~w70c2908w0991;0bf>;0>90:oo5277195f?52z?413<5ik16;8;51b:8yv4083:1?v39de81eg=:>mi1=nl4=7fe>4e>3ty9;<4?:3y>2a4=:hh01;j>:0a;?xu?m>0;6>uQ8d589=c?28i<706j7;13=>{t0li1<7=t^9g`?8>bl3;h;637eb804<=z{?2:6=4<{_4;5>;10;0:o:52693975?53z\5gd=:>jo1>ll4=7ab>66>3ty=o54?:2y]2f><5?io6?om;<4`27=oo4=ac9>2f0=;930q~;k8;296~;2l>0o:63:d981eg=z{;6=4<{<074?4fj279?k4<4b9>60e=:9l0q~<;2;297~;5<;09mo52253971e<5;?o6?>i;|q101<72:q6>9:52``897242:>h70<:e;03b>{t:=<1<7=t=365>7ge348?97=;c:?11c<58o1v?:7:180843038jn63=46800f=::?:1>=h4}r07e?6=;r798l4=ac9>61?=;=i01?8>:32e?xu5u225a96dd<5;>i6>:l;<056?47n2wx>9k50;1x972b2;ki70<;d;17g>;5>:095<4s488<78<521d8yv44:3:1?v3=3381eg=:::;1?9m4=370>76a3ty9?94?:2y>662=:hh01?=<:26`?842<38;j6s|22494?5|5;9=6?om;<001?53k279984=0g9~w75?2908w0<<8;0bf>;5;>088n52244965`53z?17d<5ik16>>7535a897302;:m7p}=3b83>6}:::i1>ll4=31a>62d348>4739?o63:04814c=z{=l>6=4<{<6e1?4fj27?j94<4b9>152=:9l0q~:i3;297~;3n:09mo524g0971e<5<:86?>i;|q7b4<72:q68k?52``891`72:>h70;?2;03b>{t7ge34>ni7=;c:?644<58o1v9kk:18082bl38jn63;eb800f=:=9:1>=h4}r6ff?6=;r7?io4=ac9>0`g=;=i019hi:32e?xu3m00;6>u24d;96dd<5=o36>:l;<6ea?47n2wx8h950;1x91c02;ki70:j6;17g>;3nm095<4s423>7><3:1?v379581eg=:0091?9m4=9c0>76a3ty35?4?:2y><<4=:hh0157>:26`?8>f:38;j6s|88294?5|513;6?om;<:;b?53k273m<4=0g9~w=>b2908w067e;0bf>;?0m088n528`2965`53z?;6}:01k1>ll4=9::>62d3422i7=839p1567:3ca?8>??39?o6379e814c=z{12=6=4<{<:;2?4fj273484<4b9><i;|q4b`<72:q6;kk52``892`c2:>h706>4;03b>{t08:1<7=t=933>7ge342;j7=;c:?;5c<58o1v5>j:1808>7m38jn6370e800f=:08o1>=h4}r:3g?6=;r73<5d=;=i015?k:32e?xu?8h0;6>u281c96dd<51:26>:l;<:2g?47n2wx4=650;1x9=6?2;ki706?7;17g>;?9k095<4s42;:77<3:1?v370581eg=:0991?9m4=93:>76a3ty3<54=:hh015>>:26`?8>6038;j6s|81294?5|51:;6?om;<5eb?53k273=:4=0g9~w21f2908w098a;0bf>;0?0088n52782965`53z?46}:?1k1>ll4=6::>62d34=2m7=839p1:67:3ca?81??39?o63898814c=z{>2=6=4<{<5;2?4fj27<484<4b9>3<>=:9l0q~974;297~;00=09mo52791971e<5>3<6?>i;|q4<7<72:q6;5<52``892>62:>h70966;03b>{t?1:1<7=t=6:3>7ge34=1>=h4}r54g?6=;r7<;n4=ac9>32d=;=i01:7<:32e?xu1n?0;6>u26g496dd<5?l>6>:l;<53g?47n2wx;=650;1x926?2;ki709?7;17g>;09>095<4s4=;:776a3ty<354=:hh01:>>:26`?816<38;j6s|71294?5|5>:;6?om;<4eb?53k27<=>4=0g9~w3`b2908w08ie;0bf>;1nm088n52700965`53z?5bf<5ik16:kl535a892762;:m7p}9f`83>6}:>ok1>ll4=7d:>62d34=:<7=839p1;h7:3ca?80a?39?o6380g814c=z{;?j6=4={<06e?4fj279944=0g9~w1`d2909w0:ic;0bf>;3nk095<5s422m76>38jn63714814c=z{>396=4={<5:6?4fj27<5<4=0g9~w26b2909w09?e;0bf>;08m095<5s4><97j9;<6;7?4fj2wx4h<50;0x9=c62m<015k=:3ca?xu1?j0;6?u266`9`3=:>>i1>ll4}r7fb?6=:r7>ih4k6:?6ac<5ik1v?9<:181854=3n=70<:1;0bf>{t:>>1<7a0<5;?i6?om;|q130<72;q6?9?5d79>60e=:hh0q~<86;296~;4<:0o:63=5e81eg=z{;=<6=4={<171?b1348>i7=838p1>:8:e48973a2;ki7p}=7`83>7}:;=21h;5227296dd52z?00<279:<4=ac9~w71d2909w0=;a;f5?841:38jn6s|26f94?4|5:>i6i84=340>7ge3ty9;h4?:3y>760=l?16>8<52``8yv40n3:1>v3<368g2>;5=:09mo5rs3:3>5<5s49847j9;<060?4fj2wx>5?50;0x965>2m<01?;::3ca?xu50;0;6?u232c9`3=::<<1>ll4}r0;7?6=:r78?o4k6:?112<5ik1v?6::181854k3n=70<:8;0bf>{t:1<1<77ge348>57o8;|q1<2<72;q6?>j5d79>60?=:hh0q~<78;296~;2900o:63;f`81eg=z{;226=4={<72f?b134>mh77}:=8n1h;524gd96dd52z?65`27><=4=ac9~w7>c2909w0;>f;f5?837938jn6s|29g94?4|5<8;6i84=421>7ge3ty95=4?:3y>177=l?169==52``8yv4>93:1>v3:238g2>;28=09mo5rs3;1>5<5s4?9?7j9;<731?4fj2wx>4=50;0x91`12;ki70:ib;c4?xu51=0;6?u250c9`3=:ll4}r0:1?6=;r7?hk4=ac9>0ab=9jn019k>:0a;?xu51?0;6?u24eg9`3=:ll4}r0:3?6=:r7?i?4k6:?7a4<5ik1v8<7:1818350399=63:2c82gg=z{;336=4={<6f4?4fj27?i<4>ce9~w7?>2909w0;k9;0bf>;2l10:on5rs3;a>5<5s4?947j9;<713?4fj2wx>4m50;0x90e62;ki70;l0;3`f>{t:0n1<77ge34?9;7?ld:p6ai3;hh6s|28d94?4|51i:6?om;<:`4?7dj2wx>l>50;0x9=5c2;ki706{t:h;1<77ge34=i47?lb:p6d4=838p1:=::3ca?814<3;hn6s|44;94?75s4>>m7l=50;0x9=c42;ki706j2;3`<>{t:h>1<77ge342n>7?l9:p6d3=838p1;=j:3ca?804l3;h46s|27:94?4|5?9m6?om;<40`?7d12wx>;750;0x904e2;ki70;=a;3`<>{t:?k1<77ge34?9m7?l9:p023=839p199::202?820>3;h;63;8282g<=z{1o36=4j{<:fc69>25c=l?169?851b:8907728i270;k8;3`f>;2k90:o45251595f?<5<:=6>o4>c89>17e=9j2019jl:0a;?837l3;h46srn01;4?6=9rB?<;5F31;95~7=uS93h7?t4669y_7en38p?5j52009yk2483:0qc?<8083>4}O<9<0b9=?:09~j45?:3:1=vF;079m066=:2we=>6<:182M27>2d??=4<;|l27=2=838pD9>9;o604?2{i9:2<6=4>{I632>h3;90<7p`>39:94?7|@=:=7c:<0;:8yk74000;6>5a:m56>e290:wE:?6:l7758<7m4}o304}42tP:nk4>{331>xh3;90o7p`>39g94?7|@=:=7c:<0;g8yk740o0;6>5119~j45>93:1=vF;079m066=981vb<=62;295~N38?1e8>>5139~j45>;3:1=vF;079m066=9:1vb<=64;295~N38?1e8>>5159~j45>=3:1=vF;079m066=9<1vb<=66;295~N38?1e8>>5179~j45>?3:1=vF;079m066=9>1vb<=68;295~N38?1e8>>5199~j45>13:1=vF;079m066=901vb<=6a;295~N38?1e8>>51`9~j45>j3:1=vF;079m066=9k1vb<=6c;295~N38?1e8>>51b9~j45>l3:1=vF;079m066=9m1vb<=6e;295~N38?1e8>>51d9~j45>n3:1=vF;079m066=9o1vb<=n0;295~N38?1e8>>5219~j45f93:1=vF;079m066=:81vb<=n2;295~N38?1e8>>5239~j45f;3:1=vF;079m066=::1vb<=n4;295~N38?1e8>>5259~j45f=3:1=vF;079m066=:<1vb<=n6;295~N38?1e8>>5279~j45f?3:1=vF;079m066=:>1vb<=n8;295~N38?1e8>>5299~j45f13:1=vF;079m066=:01vb<=na;295~N38?1e8>>52`9~j45fj3:1=vF;079m066=:k1vb<=nc;295~N38?1e8>>52b9~j45fl3:1=vF;079m066=:m1vb<=ne;297~N38?1e8>>52d9m7=4=82we=>oi:182M27>2d??=4=f:m56d7290:wE:?6:l775<482we=>l>:182M27>2d??=4<1:m56d5290:wE:?6:l775<4:2we=>l<:182M27>2d??=4<3:m56d3290:wE:?6:l775<4<2we=>l::182M27>2d??=4<5:m56d1290:wE:?6:l775<4>2we=>l8:182M27>2d??=4<7:m56d?290:wE:?6:l775<402we=>l6:182M27>2d??=4<9:m56df290:wE:?6:l775<4i2we=>lm:182M27>2d??=4lk:182M27>2d??=4li:182M27>2d??=4m>:182M27>2d??=4;1:m56e5290:wE:?6:l775<3:2we=>m<:182M27>2d??=4;3:m56e3290:wE:?6:l775<3<2we=>m::182M27>2d??=4;5:m56e1290:wE:?6:l775<3>2we=>m8:182M27>2d??=4;7:m56e?290:wE:?6:l775<302we=>m6:182M27>2d??=4;9:m56ef290:wE:?6:l775<3i2we=>mm:182M27>2d??=4;b:m56ed290:wE:?6:l775<3k2we=>mk:182M27>2d??=4;d:m56eb290:wE:?6:l775<3m2we=>mi:182M27>2d??=4;f:l0<7<63td:?i>50;3xL1613g>8<7;?;|l27a7=83;pD9>9;o604?363td:?i<50;3xL1613g>8<7;=;|l27a5=83;pD9>9;o604?343td:?i:50;3xL1613g>8<7;;;|l27a3=83;pD9>9;o604?323td:?i850;3xL1613g>8<7;9;|l27a1=83;pD9>9;o604?303td:?i650;3xL1613g>8<7;7;|l27a?=83;pD9>9;o604?3>3td:?io50;3xL1613g>8<7;n;|l27ad=83;pD9>9;o604?3e3td:?im50;3xL1613g>8<7;l;|l27ab=83;pD9>9;o604?3c3td:?ik50;3xL1613g>8<7;j;|l27a`=83;pD9>9;o604?3a3td:?h>50;3xL1613g>8<78?;|l27`7=83;pD9>9;o604?063td:?h<50;3xL1613g>8<78=;|l27`5=93;pD9>9;o604?043td:?h:51;3xL1613g>8<78;;|l27`3=93;pD9>9;o604?023td:?h851;3xL1613g>8<789;|l27`1=93;pD9>9;o604?003td:?h651;3xL1613g>8<787;|l27`?=93;pD9>9;o604?0>3td:?ho51;3xL1613g>8<78n;|l27`d=93;pD9>9;o604?0e3td:?hm51;3xL1613g>8<78l;|l27`b=93;pD9>9;o604?0c3td:?hk51;3xL1613g>8<78j;|l27``=93;pD9>9;o604?0a3td:?k>51;3xL1613g>8<79?;|l27c7=93;pD9>9;o604?163td:?k<51;3xL1613g>8<79=;|l27c5=93;pD9>9;o604?143td:?k:50;3xL1613g>8<79;;|l27c3=83;pD9>9;o604?123td:?k850;3xL1613g>8<799;|l27c1=83;pD9>9;o604?103td:?k650;3xL1613g>8<797;|l27c?=83;pD9>9;o604?1>3td:?ko50;3xL1613g>8<79n;|l27cd=83;pD9>9;o604?1e3td:?km50;3xL1613g>8<79l;|l27cb=83;pD9>9;o604?1c3td:?kk50;3xL1613g>8<79j;|l27c`=83;pD9>9;o604?1a3td:8=>50;3xL1613g>8<76?;|l2057=83;pD9>9;o604?>63td:8=<50;3xL1613g>8<76=;|l2055=83;pD9>9;o604?>43td:8=:50;3xL1613g>8<76;;|l2053=83;pD9>9;o604?>23td:8=850;3xL1613g>8<769;|l2051=83;pD9>9;o604?>03td:8=650;3xL1613g>8<767;|l205?=83;pD9>9;o604?>>3td:8=o50;3xL1613g>8<76n;|l205d=83;pD9>9;o604?>e3td:8=m50;3xL1613g>8<76l;|l205b=83;pD9>9;o604?>c3td:8=k50;3xL1613g>8<76j;|l205`=83;pD9>9;o604?>a3td:8<>50;3xL1613g>8<77?;|l2047=83;pD9>9;o604??63td:8<<50;3xL1613g>8<77=;|l2045=83;pD9>9;o604??43td:8<:50;3xL1613g>8<77;;|l2043=83;pD9>9;o604??23td:8<850;0xL1613g>8<779;|l2041=838pD9>9;o604??03td:8<650;0xL1613g>8<777;|l204?=838pD9>9;o604??>3td:88<77n;|l204d=838pD9>9;o604??e3td:88<77l;|l204b=93;pD9>9;o604??c3td:88<77j;|l204`=93;pD9>9;o604??a3td:8?>51;3xL1613g>8<7o?;|l2077=93;pD9>9;o604?g63td:8?<51;3xL1613g>8<7o=;|l2075=93;pD9>9;o604?g43td:8?:51;3xL1613g>8<7o;;|l2073=93;pD9>9;o604?g23td:8?851;3xL1613g>8<7o9;|l2071=83;pD9>9;o604?g03td:8?650;3xL1613g>8<7o7;|l207?=83;pD9>9;o604?g>3td:8?o50;3xL1613g>8<7on;|l207d=83;pD9>9;o604?ge3td:8?m50;3xL1613g>8<7ol;|l207b=83;pD9>9;o604?gc3td:8?k50;3xL1613g>8<7oj;|l207`=83;pD9>9;o604?ga3td:8>>50;3xL1613g>8<7l?;|l2067=83;pD9>9;o604?d63td:8><50;3xL1613g>8<7l=;|l2065=83;pD9>9;o604?d43td:8>:50;3xL1613g>8<7l;;|l2063=83;pD9>9;o604?d23td:8>850;0xL1613g>8<7l9;|l2061=83;pD9>9;o604?d03td:8>650;3xL1613g>8<7l7;|l206?=83;pD9>9;o604?d>3td:8>o50;3xL1613g>8<7ln;|l206d=83;pD9>9;o604?de3td:8>m50;3xL1613g>8<7ll;|l206b=83;pD9>9;o604?dc3td:8>k50;3xL1613g>8<7lj;|l206`=83;pD9>9;o604?da3td:89>50;3xL1613g>8<7m?;|l2017=838pD9>9;o604?e63td:89<50;3xL1613g>8<7m=;|l2015=83;pD9>9;o604?e43td:89:50;0xL1613g>8<7m;;|l2013=838pD9>9;o604?e23td:89850;0xL1613g>8<7m9;|l2011=838pD9>9;o604?e03td:89650;0xL1613g>8<7m7;|l201?=838pD9>9;o604?e>3td:89o50;0xL1613g>8<7mn;|l201d=838pD9>9;o604?ee3td:89m50;0xL1613g>8<7ml;|l201b=838pD9>9;o604?ec3td:89k50;0xL1613g>8<7mj;|l201`=838pD9>9;o604?ea3td:88>50;0xL1613g>8<7j?;|l2007=838pD9>9;o604?b63td:88<50;0xL1613g>8<7j=;|l2005=838pD9>9;o604?b43td:88:50;0xL1613g>8<7j;;|l2003=83;pD9>9;o604?b23td:88850;3xL1613g>8<7j9;|l2001=83;pD9>9;o604?b03td:88650;3xL1613g>8<7j7;|l200?=83;pD9>9;o604?b>3td:88o50;3xL1613g>8<7jn;|l200d=83;pD9>9;o604?be3td:88m50;3xL1613g>8<7jl;|l200b=83;pD9>9;o604?bc3td:88k50;0xL1613g>8<7jj;|l200`=838pD9>9;o604?ba3td:8;>50;0xL1613g>8<7k?;|l2037=838pD9>9;o604?c63td:8;<50;0xL1613g>8<7k=;|l2035=838pD9>9;o604?c43td:8;:50;0xL1613g>8<7k;;|l2033=838pD9>9;o604?c23td:8;850;3xL1613g>8<7k9;|l2031=83;pD9>9;o604?c03td:8;650;3xL1613g>8<7k7;|l203?=83;pD9>9;o604?c>3td:8;o50;3xL1613g>8<7kn;|l203d=83;pD9>9;o604?ce3td:8;m50;3xL1613g>8<7kl;|l203b=83;pD9>9;o604?cc3td:8;k50;3xL1613g>8<7kj;|l203`=83;pD9>9;o604?ca3td:8:>50;3xL1613g>8<7h?;|l2027=83;pD9>9;o604?`63td:8:<50;3xL1613g>8<7h=;|l2025=83;pD9>9;o604?`43td:8::50;3xL1613g>8<7h;;|l2023=83;pD9>9;o604?`23td:8:850;3xL1613g>8<7h9;|l2021=83;pD9>9;o604?`03td:8:650;3xL1613g>8<7h7;|l202?=83;pD9>9;o604?`>3td:8:o50;3xL1613g>8<7hn;|l202d=83;pD9>9;o604?`e3td:8:m50;3xL1613g>8<7hl;|l202b=83;pD9>9;o604?`c3td:8:k50;3xL1613g>8<7hj;|l202`=83;pD9>9;o604?`a3td:85>50;3xL1613g>8<7??0:m51>6290:wE:?6:l775<6881vb<:72;295~N38?1e8>>51108yk730:0;649694?7|@=:=7c:<0;330>{i9=2>6=4>{I632>h3;90:<85rn06;2?6=9rB?<;5a42295508;|l20=>=83;pD9>9;o604?7702we=966:182M27>2d??=4>089~j42?i3:1=vF;079m066=99k0qc?;8c83>4}O<9<0b9=?:02a?xh6<1i1<7?tH525?k2483;;o6sa15:g>5<6sA>;:6`;31824a=zf8>3i7>51zJ743=i<::1==k4}o3746a3td:84>50;3xL1613g>8<7?>0:m51?6290:wE:?6:l775<6981vb<:62;295~N38?1e8>>51008yk731:0;648694?7|@=:=7c:<0;320>{i9=3>6=4>{I632>h3;90:=85rn06:2?6=9rB?<;5a4229540=83;pD9>9;o604?7602we=976:182M27>2d??=4>189~j42>i3:1=vF;079m066=98k0qc?;9c83>4}O<9<0b9=?:03a?xh6<0i1<7?tH525?k2483;:o6sa15;g>5<6sA>;:6`;31825a=zf8>2i7>51zJ743=i<::1=47a3td:8l>50;3xL1613g>8<7?=0:m51g6290:wE:?6:l775<6:81vb<:n2;295~N38?1e8>>51308yk73i:0;64`694?7|@=:=7c:<0;310>{i9=k>6=4>{I632>h3;90:>85rn06b2?6=9rB?<;5a4229570=83;pD9>9;o604?7502we=9o6:182M27>2d??=4>289~j42fi3:1=vF;079m066=9;k0qc?;ac83>4}O<9<0b9=?:00a?xh65<6sA>;:6`;31826a=zf8>ji7>51zJ743=i<::1=?k4}o37ec<728qC8=84n513>44a3td:8o>50;3xL1613g>8<7?<0:m51d6290:wE:?6:l775<6;81vb<:m2;295~N38?1e8>>51208yk73j:0;64c694?7|@=:=7c:<0;300>{i9=h>6=4>{I632>h3;90:?85rn06a2?6=9rB?<;5a4229560=93;pD9>9;o604?7402we=9l6:182M27>2d??=4>389~j42ei3:1=vF;079m066=9:k0qc?;bc83>4}O<9<0b9=?:01a?xh65<6sA>;:6`;31827a=zf8>ii7>51zJ743=i<::1=>k4}o37fc<728qC8=84n513>45a3td:8n>50;3xL1613g>8<7?;0:m51e6290:wE:?6:l775<6<81vb<:l2;295~N38?1e8>>51508yk73k:0;687p`>4b694?7|@=:=7c:<0;370>{i9=i>6=4>{I632>h3;90:885rn06`2?7=9rB?<;5a4229510<,=8j65j?;|l20f1=83;pD9>9;o604?73?2we=9m7:182M27>2d??=4>499~j42d13:1=vF;079m066=9=30qc?;c`83>4}O<9<0b9=?:06b?xh65<6sA>;:6`;31820f=zf8>hh7>51zJ743=i<::1=9j4}o37g`<728qC8=84n513>42b3td:8nh50;3xL1613g>8<7?;f:m51b7290:wE:?6:l775<6=91vb<:k1;295~N38?1e8>>51438yk73l;0;64e194?7|@=:=7c:<0;367>{i9=n?6=4>{I632>h3;90:995rn06g1?7=9rB?<;5a4229503:0yK0509;o604?72?2we=9j7:082M27>2d??=4>599~j42c13;1=vF;079m066=9<30qc?;d`82>4}O<9<0b9=?:07b?xh6n6sa15f`>4<6sA>;:6`;31821f=zf8>oh7?51zJ743=i<::1=8j4}o37``<628qC8=84n513>43b3td:8ih51;3xL1613g>8<7?:f:m51c7290:wE:?6:l775<6>91vb<:j1;295~N38?1e8>>51738yk73m;0;64d194?7|@=:=7c:<0;357>{i9=o?6=4>{I632>h3;90::95rn06f1?6=9rB?<;5a42295339;o604?71?2we=9k7:182M27>2d??=4>699~j42b13:1=vF;079m066=9?30qc?;e`83>4}O<9<0b9=?:04b?xh65<6sA>;:6`;31822f=zf8>nh7>51zJ743=i<::1=;j4}o37a`<728qC8=84n513>40b3td:8hh50;3xL1613g>8<7?9f:m51`7290:wE:?6:l775<6?91vb<:i1;295~N38?1e8>>51638yk73n;0;64g194?7|@=:=7c:<0;347>{i9=l?6=4>{I632>h3;90:;95rn06e1?6=9rB?<;5a42295239;o604?70?2we=9h7:182M27>2d??=4>799~j42a13:1=vF;079m066=9>30qc?;f`83>4}O<9<0b9=?:05b?xh65<6sA>;:6`;31823f=zf8>mh7?51zJ743=i<::1=:j4}o37b`<728qC8=84n513>41b3td:8kh50;3xL1613g>8<7?8f:m5067290:wE:?6:l775<6091vb<;?1;295~N38?1e8>>51938yk728;0;651194?7|@=:=7c:<0;3;7>{i9<:?6=4>{I632>h3;90:495rn0731?6=9rB?<;5a42295=3<;4?:0yK0509;o604?7??2we=8>7:182M27>2d??=4>899~j43713:1=vF;079m066=9130qc?:0`83>4}O<9<0b9=?:0:b?xh6=9h1=7?tH525?k2483;3n6*;2`8;0f=zf8?;o7>51zJ743=i<::1=5m4}o364a<728qC8=84n513>4>c3td:9=k50;3xL1613g>8<7?7e:m506a290:wE:?6:l775<60o1vb<;>0;295~N38?1e8>>51828yk72980;650094?7|@=:=7c:<0;3:6>{i9<;86=4>{I632>h3;90:5>5rn0720?6=9rB?<;5a42295<2=84?:0yK0509;o604?7>>2we=8?8:182M27>2d??=4>969~j43603:1=vF;079m066=9020qc?:1883>4}O<9<0b9=?:0;:?xh6=8k1=7?tH525?k2483;2m6sa143a>4<6sA>;:6`;3182=g=zf8?:o7?51zJ743=i<::1=4m4}o365a<628qC8=84n513>4?c3td:98<7?6e:m507a280:wE:?6:l775<61o1vb<;=0;395~N38?1e8>>51`28yk72:80:653095?7|@=:=7c:<0;3b6>{i9<886<4>{I632>h3;90:m>5rn0710?7=9rB?<;5a42295d2>84?:0yK0509;o604?7f>2we=8<8:182M27>2d??=4>a69~j43503:1=vF;079m066=9h20qc?:2883>4}O<9<0b9=?:0c:?xh6=;k1<7?tH525?k2483;jm6sa140a>5<6sA>;:6`;3182eg=zf8?9o7>51zJ743=i<::1=lm4}o366a<728qC8=84n513>4gc3td:9?k50;3xL1613g>8<7?ne:m504a290:wE:?6:l775<6io1vb<;<0;295~N38?1e8>>51c28yk72;80;652094?7|@=:=7c:<0;3a6>{i9<986=4>{I632>h3;90:n>5rn0700?6=9rB?<;5a42295g2?84?:0yK0509;o604?7e>2we=8=8:182M27>2d??=4>b69~j43403:1=vF;079m066=9k20qc?:3883>4}O<9<0b9=?:0`:?xh6=:k1<7?tH525?k2483;im6sa141a>5<6sA>;:6`;3182fg=zf8?8o7>51zJ743=i<::1=om4}o367a<728qC8=84n513>4dc3td:9>k50;3xL1613g>8<7?me:m505a290:wE:?6:l775<6jo1vb<;;0;295~N38?1e8>>51b28yk72<80;655095?7|@=:=7c:<0;3`6>{i9<>86=4>{I632>h3;90:o>5rn0770?6=9rB?<;5a42295f2884?:0yK0509;o604?7d>2we=8:8:182M27>2d??=4>c69~j43303:1=vF;079m066=9j20qc?:4883>4}O<9<0b9=?:0a:?xh6==k1<7?tH525?k2483;hm6sa146a>5<6sA>;:6`;3182gg=zf8??o7>51zJ743=i<::1=nm4}o360a<728qC8=84n513>4ec3td:99k50;3xL1613g>8<7?le:m502a290:wE:?6:l775<6ko1vb<;:0;395~N38?1e8>>51e28 14f2>i37p`>54394?7|@=:=7c:<0;3g5>{i9{I632>h3;90:h?5rn0767?6=9rB?<;5a42295a5994?:0yK0509;o604?7c=2we=8;9:182M27>2d??=4>d79~j432?3:1=vF;079m066=9m=0qc?:5983>4}O<9<0b9=?:0f;?xh6=<31<7?tH525?k2483;o56sa147b>5<6sA>;:6`;3182`d=zf8?>n7>51zJ743=i<::1=il4}o361f<728qC8=84n513>4bd3td:98j50;3xL1613g>8<7?kd:m503b290:wE:?6:l775<6ll1vb<;:f;395~N38?1e8>>51ed8yk72>90:657395?7|@=:=7c:<0;3f5>{i9<<96<4>{I632>h3;90:i?5rn0757?7=9rB?<;5a42295`5:94>:0yK0509;o604?7b=2we=889:082M27>2d??=4>e79~j431?3;1=vF;079m066=9l=0qc?:6982>4}O<9<0b9=?:0g;?xh6=?31=7?tH525?k2483;n56sa144b>5<6sA>;:6`;3182ad=zf8?=n7>51zJ743=i<::1=hl4}o362f<728qC8=84n513>4cd3td:9;j50;3xL1613g>8<7?jd:m500b290:wE:?6:l775<6ml1vb<;9f;295~N38?1e8>>51dd8yk72?90;656394?7|@=:=7c:<0;3e5>{i9<=96=4>{I632>h3;90:j?5rn0747?6=9rB?<;5a42295c5;94?:0yK0509;o604?7a=2we=899:182M27>2d??=4>f79~j430?3:1=vF;079m066=9o=0qc?:7983>4}O<9<0b9=?:0d;?xh6=>31<7?tH525?k2483;m56sa145b>5<6sA>;:6`;3182bd=zf8?51zJ743=i<::1=kl4}o363f<728qC8=84n513>4`d3td:9:j50;3xL1613g>8<7?id:m501b290:wE:?6:l775<6nl1vb<;8f;295~N38?1e8>>51gd8yk72090;659394?7|@=:=7c:<0;035>{i9<296=4>{I632>h3;909494?:0yK050;;|l21=3=83;pD9>9;o604?47=2we=869:182M27>2d??=4=079~j43??3;1=vF;079m066=:9=0qc?:8983>4}O<9<0b9=?:32;?xh6=131<7?tH525?k24838;56sa14:b>5<6sA>;:6`;31814d=zf8?3n7>51zJ743=i<::1>=l4}o3676d3td:95j50;3xL1613g>8<7b290:wE:?6:l775<58l1vb<;7f;295~N38?1e8>>521d8yk72190;658394?7|@=:=7c:<0;025>{i9<396=4>{I632>h3;909=?5rn07:7?6=9rB?<;5a4229645594?:0yK0509;o604?46=2.?>l48459~j43>>3:1=vF;079m066=:8<0qc?:9683>4}O<9<0b9=?:334?xh6=021<7?tH525?k24838:46sa14;:>5<6sA>;:6`;31815<=zf8?2m7>51zJ743=i<::1>77e3td:94m50;3xL1613g>8<7<>c:m50?c290:wE:?6:l775<59m1vb<;6e;295~N38?1e8>>520g8yk721o0;65`294?7|@=:=7c:<0;014>{i9{I632>h3;909><5rn07b6?6=9rB?<;5a4229674m>4?:0yK0509;o604?45<2we=8o::082M27>2d??=4=249~j43f>3;1=vF;079m066=:;<0qc?:a682>4}O<9<0b9=?:304?xh6=h21=7?tH525?k24838946sa14c:>4<6sA>;:6`;31816<=zf8?jm7?51zJ743=i<::1>?o4}o36eg<628qC8=84n513>74e3td:9lm51;3xL1613g>8<7<=c:m50gc280:wE:?6:l775<5:m1vb<;ne;395~N38?1e8>>523g8yk72io0;65c294?7|@=:=7c:<0;004>{i9{I632>h3;909?<5rn07a6?6=9rB?<;5a4229664n>4?:0yK0509;o604?44<2we=8l::182M27>2d??=4=349~j43e>3:1=vF;079m066=::<0qc?:b683>4}O<9<0b9=?:314?xh6=k21<7?tH525?k24838846sa14`:>5<6sA>;:6`;31817<=zf8?im7>51zJ743=i<::1>>o4}o36fg<728qC8=84n513>75e3td:9om50;3xL1613g>8<7<>522g8yk72jo0;65b294?7|@=:=7c:<0;074>{i9{I632>h3;9098<5rn07`6?6=9rB?<;5a4229614o>4?:0yK0509;o604?43<2we=8m::182M27>2d??=4=449~j43d>3:1=vF;079m066=:=<0qc?:c683>4}O<9<0b9=?:364?xh6=j21<7?tH525?L5713;p=7sU39f95~>b?3wQ=oh52z1;`?46:3we8>>525:8yk72k00;628q:6pT>bg827752td??=4=489~j43di3:1=vF;079J75?=9r;1qW?mf;3x644=ug>8<7<;a:m50ee290:wE:?6:K04<<6s;0vV9;H13=?7|:3wQ=oh51z026?{i<::1>9m4}o36ga<728qC8=84I22:>4}52tP:nk4>{331>xh3;9098i5rn07`a?6=9rB?<;5F31;95~4=uS;ij7?t2009yk24838?i6sa14ae>5<6sA>;:6`;31810c=zf8?o<7>51zJ743=i<::1>8>4}o36`4<628qC8=84n513>7363->9m7={i9{I632>h3;909995+43c9713h84>:0yK0502d??=4=579'07g=;=20qc?:d682>4}O<9<0b9=?:374?!25i39?56sa14f;>4<6sA>;:6`;31811==#<;k1?9o4}o36`<<628qC8=84n513>73>3->9m7=;b:m50bf280:wE:?6:l775<5=h1/8?o53248yk72lk0:6{i9{I632>h3;9099n5+43c976>hi4>:0yK0502d??=4=5d9'07g=;:k0qc?:dg82>4}O<9<0b9=?:37e?!25i398n6sa14g3>4<6sA>;:6`;318125=#<;k1?>m4}o36a4<628qC8=84n513>7063->9m7;=3:m50c5280:wE:?6:l775<5>;1/8?o55308yk72m:0:6{i9{I632>h3;909:95+43c9176i84>:0yK0502d??=4=679'07g==8o0qc?:e682>4}O<9<0b9=?:344?!25i3?:h6sa14g;>4<6sA>;:6`;31812==#<;k1970>3->9m7;>b:m50cf280:wE:?6:l775<5>h1/8?o58c78yk72mk0:6{i9{I632>h3;909:n5+43c9ii4>:0yK050el2we=8kj:082M27>2d??=4=6d9'07g=0ki0qc?:eg82>4}O<9<0b9=?:34e?!25i32in6sa14d3>4<6sA>;:6`;318135=#<;k14oo4}o36b4<628qC8=84n513>7163->9m76m9:m50`5280:wE:?6:l775<5?;1/8?o58c:8yk72n:0:6{i9{I632>h3;909;95+43c9<67j84>:0yK0504j2we=8h9:082M27>2d??=4=779'07g=0:k0qc?:f682>4}O<9<0b9=?:354?!25i32856sa14d;>4<6sA>;:6`;31813==#<;k14>64}o36b<<628qC8=84n513>71>3->9m76<7:m50`f280:wE:?6:l775<5?h1/8?o58248yk72nk0:6{i9{I632>h3;909;n5+43c9<62ji4>:0yK0504;2we=8hj:082M27>2d??=4=7d9'07g=?hn0qc?:fg82>4}O<9<0b9=?:35e?!25i3=i;6sa1723>4<6sA>;:6`;3181<5=#<;k1;o84}o3544<628qC8=84n513>7>63->9m79m5:m5365280:wE:?6:l775<50;1/8?o57c68yk718:0:6{i9?:?6<4>{I632>h3;909495+43c93g4:0yK0509:082M27>2d??=4=879'07g=?k:0qc?90682>4}O<9<0b9=?:3:4?!25i3=jj6sa172;>4<6sA>;:6`;3181<==#<;k1;?74}o354<<628qC8=84n513>7>>3->9m79<3:m536f280:wE:?6:l775<50h1/8?o57208yk718k0:6{i9?:h6<4>{I632>h3;9094n5+43c9366:0yK050j:082M27>2d??=4=8d9'07g=?;o0qc?90g82>4}O<9<0b9=?:3:e?!25i3=9h6sa1733>4<6sA>;:6`;3181=5=#<;k1;?m4}o3554<628qC8=84n513>7?63->9m79=b:m5375280:wE:?6:l775<51;1/8?o532f8yk719:0:6{i9?;?6<4>{I632>h3;909595+43c9:0yK0504:2we=;?9:082M27>2d??=4=979'07g=?ho0qc?91682>4}O<9<0b9=?:3;4?!25i3=9m6sa173;>5<6sA>;:6`;3181===zf8<:57>51zJ743=i<::1>474}o355d<728qC8=84n513>7?f3td::8<7<6b:m537d290:wE:?6:l775<51j1vb<8>d;295~N38?1e8>>528f8yk719l0;660d94?7|@=:=7c:<0;0:b>{i9?8;6=4>{I632>h3;909m=5rn0415?6=9rB?<;5a42296d7?4?:0yK0509;o604?4f;2we=;<;:182M27>2d??=4=a59~j405=3:1=vF;079m066=:h?0qc?92783>4}O<9<0b9=?:3c5?xh6>;=1<7?tH525?k24838j;6sa170;>5<6sA>;:6`;3181e==zf8<957>51zJ743=i<::1>l74}o356d<728qC8=84n513>7gf3td::?l50;3xL1613g>8<7>52`f8yk71:l0;663d94?7|@=:=7c:<0;0bb>{i9?9;6=4>{I632>h3;909n=5rn0405?6=9rB?<;5a42296g79;o604?4e;2we=;=;:182M27>2d??=4=b59~j404=3:1=vF;079m066=:k?0qc?93783>4}O<9<0b9=?:3`5?xh6>:=1<7?tH525?k24838i;6sa171;>5<6sA>;:6`;3181f==zf8<857>51zJ743=i<::1>o74}o357d<728qC8=84n513>7df3td::>l50;3xL1613g>8<7>52cf8yk71;l0;662d94?7|@=:=7c:<0;0ab>{i9?>;6=4>{I632>h3;909o=5rn0475?6=9rB?<;5a42296f79;o604?4d;2we=;:;:182M27>2d??=4=c59~j403=3:1=vF;079m066=:j?0qc?94783>4}O<9<0b9=?:3a5?xh6>==1<7?tH525?k24838h;6sa176;>5<6sA>;:6`;3181g==zf851zJ743=i<::1>n74}o350d<728qC8=84n513>7ef3td::9l50;3xL1613g>8<7>52bf8yk7165d94?7|@=:=7c:<0;0`b>{i9??;6=4>{I632>\40m0:w99::|X2fc<6s:2o6p`;3181`5=zf8<>=7>51zJ743=i<::1>i?4}o305g<728qC8=84n513>7b53Ak27p`>33`94?7|@=:=7c:<0;0g7>Nf12we=>2d??=4=d59Ke<=zf899j7>51zJ743=i<::1>i;4n2:1>5=zf898<7>51zJ743=i<::1>i84}o3074<728qC8=84n513>7b03td:?><50;3xL1613g>8<7>52ec8yk74;<0;632494?7|@=:=7c:<0;0gg>{i9:9<6=4>{I632>h3;909hi5rn0109;o604?4b82we=>=m:182M27>2d??=4=e09~j454k3:1=vF;079m066=:l80qc?<3e83>4}O<9<0b9=?:3g0?xh6;:o1<7?tH525?k24838n86sa121e>5<6sA>;:6`;3181a0=zf89?<7>51zJ743=i<::1>h84}o3004<728qC8=84n513>7c03td:?9<50;3xL1613g>8<7>52dc8yk74<<0;635494?7|@=:=7c:<0;0fg>{i9:><6=4>{I632>h3;909ii5rn0179;o604?4a82Bj56sa126a>5<6sA>;:6`;3181b4=zf89?o7>51zJ743=i<::1>k<4}o300a<728qC8=84n513>7`43td:?9k50;3xL1613g>8<7>52g48yk74=80;634094?7|@=:=7c:<0;0e<>{i9:?86=4>{I632>h3;909j45rn0160?6=9rB?<;5a42296cg9;o604?4ak2we=>;8:182M27>2d??=4=fe9~j45203:1=vF;079m066=:oo0qc?<5883>4}O<9<0b9=?:3de?xh6;5<6sA>;:6`;318044=zf89>o7>51zJ743=i<::1?=<4}o301a<728qC8=84n513>6643td:?8k50;3xL1613g>8<7=?4:m563a290:wE:?6:l775<48<1vb<=90;295~N38?1e8>>53148yk74>80;69;o604?5702we=>8<:182M27>2d??=4<089~j451<3:1=vF;079m066=;9k0Dl74}o3020<728qC8=84n513>66e3Ak27p`>37494?7|@=:=7c:<0;13g>{i9:<<6=4>{I632>h3;9084}O<9<0b9=?:22e?x{q\8h26=4>:081fc}Tkm0?h44=:01043742;3h=95+41c9745<,::j6>m4H0a2?M45:2B:o?5m8ga94??=:hn1>ojtZ8f95~552tB:nl5+39597f=i9ki1>ll4n275>7da3Sk=65=n<>>1<75f8da94?=n>1;1<75f8d594?=h>jk1<7*<1485g<=i;8>1<65`6b:94?"49<0=o45a30695>=h>j=1<7*<1485g<=i;8>1>65`6b494?"49<0=o45a30697>=h>>l1<75m38194?6=83:p(>76:2;0?!46833;<6F<8`9O067=77:232?!5>=39;i6sa42295>{e"41008>n5+3969=7=#9mh186T<95821142tB:n55a42296>oc>3:17dom:188mf1=831b?<4?::a0a1=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>53:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm4e:95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::186gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:lj51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066==2co:7>5;hca>5<>{e>ho1=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a42292>oc>3:17dom:188mf1=831b?<4?::a2d`=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>57:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6c295?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::146gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:o?51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=12co:7>5;hca>5<>{e>k81=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a4229e>oc>3:17dom:188mf1=831b?<4?::a2g5=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5b:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6c695?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1o6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:5j51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=l2co:7>5;hca>5<>{e>1o1=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a4229a>oc>3:17dom:188mf1=831b?<4?::a2=`=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5f:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm68295?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1==5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;7>:085>5<7s-;in7?6e:&02032080(5;h12e?6=3`k>6=44o202>5<7?56;294~"6jk0:5h5+37794}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd11:0:6;4?:1y'5gd=90o0(>8::9d`?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;31827>oc>3:17dom:188mf1=831b?<4?::a2<2=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5159j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><03jn5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k2483;=7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=5k4>:783>5}#9kh1=4k4$246>=`d3-9257==c:&0<1<>:2.:ho4;;[1:0?7|<>91qE?m8:l775<6?2co:7>5;hca>5<>{e>h:1=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a42295==nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f3g6280=6=4?{%3af?7>m2.8:847fb9'76;:808 4be2=1Q?4:51z647?{O9k20b9=?:0;8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??14km4$2;:>64d3-93877=;%3gf?28<7?n;hf5>5<>o49h0;66gn5;29?j5593:17pl9a282>3<729q/=ol518g8 60221lh7)=69;11g>"40=02>6*>dc87?_5><3;p8:=5}I3a<>h3;90:n6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:l:51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=9j1bh;4?::kbf?6=3`i<6=44i23b>5<<4<,8ni695U38695~20;3wC=o64n513>4b>od?3:17d=>a;29?lg22900c><>:188yg0em3;1:7>50z&2fg<61l1/?;;58ga8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y7365;|`5fc<62?0;6=u+1c`9565hl;%1:=?55k2.849462:&2`g<33S9287?t4619yM7e02d??=4>f:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6b295?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1>=5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;m>:085>5<7s-;in7?6e:&02032080(5;h12e?6=3`k>6=44o202>5<7?56;294~"6jk0:5h5+37794}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd1k:0:6;4?:1y'5gd=90o0(>8::9d`?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;31817>oc>3:17dom:188mf1=831b?<4?::a2f2=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5259j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><03jn5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k24838=7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3ik4>:783>5}#9kh1=4k4$246>=`d3-9257==c:&0<1<>:2.:ho4;;[1:0?7|<>91qE?m8:l775<5?2co:7>5;hca>5<>{e<>n1=7850;2x 4de28k>7)=95;:eg>"41008>n5+39697=5<,8ni695U38695~20;3wC=o64n513>7>>od?3:17d=>a;29?lg22900c><>:188yg20m3;1:7>50z&2fg<6i<1/?;;58ga8 6?>2:8h7)=74;1;7>"6lk0?7W=64;3x025=uA;i46`;3181=>oc>3:17dom:188mf1=831b?<4?::a02`=93<1<7>t$0`a>4g23-9=976ic:&0=<<4:j1/?5:53918 4be2=1Q?4:51z647?{O9k20b9=?:3c8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??14km4$2;:>64d3-9387=73:&2`g<33S9287?t4619yM7e02d??=4=b:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm49395?0=83:p(1?5=4$0fa>1=];0>1=v:83;K5g>a49'733=0oi0(>76:20`?!5?<393?6*>dc87?_5><3;p8:=5}I3a<>h3;909h6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi8n>51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:l1bh;4?::kbf?6=3`i<6=44i23b>5<<4<,8ni695U38695~20;3wC=o64n513>7`>od?3:17d=>a;29?lg22900c><>:188yg2di3;1:7>50z&2fg<61l1/?;;58ga8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y7365;|`7gg<62?0;6=u+1c`9565hl;%1:=?55k2.849462:&2`g<33S9287?t4619yM7e02d??=4<1:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm4ba95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1??5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn9mk:085>5<7s-;in7?6e:&02032080(5;h12e?6=3`k>6=44o202>5<hi7?56;294~"6jk0:5h5+37794}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd3ko0:6;4?:1y'5gd=90o0(>8::9d`?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;31801>oc>3:17dom:188mf1=831b?<4?::a0a6=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5379j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><03jn5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>94ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo:l2;392?6=8r.:no4>9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k2483937dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th?o>4>:783>5}#9kh1=4k4$246>=`d3-9257==c:&0<1<>:2.:ho4;;[1:0?7|<>91qE?m8:l775<412co:7>5;hca>5<>{e1=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a42297d=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f1e2280=6=4?{%3af?7>m2.8:847fb9'76;:808 4be2=1Q?4:51z647?{O9k20b9=?:2`8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??14km4$2;:>64d3-93877=;%3gf?28<7=l;hf5>5<>o49h0;66gn5;29?j5593:17pl;c682>3<729q/=ol518g8 60221lh7)=69;11g>"40=02>6*>dc87?_5><3;p8:=5}I3a<>h3;908h6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi8n651;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=;l1bh;4?::kbf?6=3`i<6=44i23b>5<<4<,8ni695U38695~20;3wC=o64n513>6`>od?3:17d=>a;29?lg22900c><>:188yg45m3;187>50z&0=<<5:<1/?;;58ga8L4d?3g>8<7:?;hfg>5<4<4290;w)=69;62g>"4><03jn5G1c:8j1572=;0e?>i:188md1=831d>ll50;9~f75c280?6=4?{%1:=?45=2.8:847fb9K5g>0;66g<4b83>>i5ik0;66sm24`95?5=83:p(>76:53`?!51=32mo6F>b99m066=<:1b>=h50;9je2<722e9mo4?::a66`=93>1<7>t$2;:>7423-9=976ic:J2f==i<::1895fde83>>of?3:17d=;c;29?j4fj3:17pl=5b82>6<729q/?47540a8 60221lh7E?m8:l775<3=2c95;|`104<62=0;6=u+38;9673<,:<>65hl;I3a<>h3;90?:6gkd;29?lg02900e>:l:188k7ge2900qo<:d;397?6=8r.8544;1b9'733=0oi0D5;hc4>5<37djk:188md1=831b?9m50;9l6dd=831vn?;j:080>5<7s-9257:>c:&0201?4<3290;w)=69;011>"4><03jn5G1c:8j1572=k0eij50;9je2<722c88n4?::m1eg<722wi>8h51;194?6|,:3269?l;%151?>ak2B:n55a42290g=n:9l1<75fa683>>i5ik0;66sm25595?2=83:p(>76:306?!51=32mo6F>b99m066=5;n0bf?6=3th9:=4>:283>5}#;0318=`d3A;i46`;3187`>o58o0;66gn7;29?j4fj3:17pl=4882>1<729q/?4752378 60221lh7E?m8:l775<3m2coh7>5;hc4>5<h6=44o3ca>5<13>:o6*<648;bf=O9k20b9=?:438m76a2900el950;9l6dd=831vn?:k:087>5<7s-9257<=5:&02004>o4>{e:?91=7=50;2x 6?>2=;h7)=95;:eg>N6j11e8>>5529j65`=831bm:4?::m1eg<722wi>?h51;694?6|,:326?<:;%151?>ak2B:n55a422911=nlm0;66gn7;29?l53k3:17b4?:1y'78::9d`?M7e02d??=4:5:k14c<722cj;7>5;n0bf?6=3th9?<4>:583>5}#;031>?;4$246>=`d3A;i46`;31862>ocl3:17do8:188m62d2900c?om:188yg42;3;1?7>50z&0=<<39j1/?;;58ga8L4d?3g>8<7;8;h03b?6=3`k<6=44o3ca>5<85+3779ll50;9~f73328086=4?{%1:=?26k2.8:847fb9K5g>5<6<4;:183!5>138996*<648;bf=O9k20b9=?:4c8mab=831bm:4?::k00f<722e9mo4?::a603=9391<7>t$2;:>17d3-9=976ic:J2f==i<::19o5f21d94?=ni>0;66a=ac83>>{e::=1=7:50;2x 6?>2;8>7)=95;:eg>N6j11e8>>55b9j`a<722cj;7>5;h17g?6=3f8jn7>5;|`113<62:0;6=u+38;904e<,:<>65hl;I3a<>h3;90>h6g=0g83>>of?3:17b8::9d`?M7e02d??=4:e:kg`?6=3`k<6=44i26`>5<50z&0=<<5:<1/?;;58ga8L4d?3g>8<78?;hfg>5<4<4290;w)=69;62g>"4><03jn5G1c:8j1572?;0e?>i:188md1=831d>ll50;9~f73>28086=4?{%1:=?26k2.8:847fb9K5g>5<13337)=95;65<>\41=0:w99<:|J2f==i<::1:>5f1b594?=h:hh1<75rb54f>4<5290;w)=69;3`a>"4><0?:55+a0823==#:9k1=i<4H0`;?k24836<729q/?47540a8 6022=nj7E?m8:l775<1=2c95;|`640<62:0;6=u+38;904e<,:<>69jn;I3a<>h3;90=:6g=0g83>>of?3:17b8::5fb?M7e02d??=497:kg`?6=3`k<6=44i26`>5<50z&0=<<5:<1/?;;54ec8L4d?3g>8<786;hfg>5<4<4290;w)=69;62g>"4><0?hl5G1c:8j1572?k0e?>i:188md1=831d>ll50;9~f1`5280?6=4?{%1:=?45=2.8:84;d`9K5g>0;66g<4b83>>i5ik0;66sm51095?5=83:p(>76:53`?!51=3>om6F>b99m066=>j1b>=h50;9je2<722e9mo4?::a0c6=93>1<7>t$2;:>7423-9=97:ka:J2f==i<::1:i5fde83>>of?3:17d=;c;29?j4fj3:17pl:0082>6<729q/?47540a8 6022=nj7E?m8:l775<1m2c95;|`7a`<62=0;6=u+38;9673<,:<>69jn;I3a<>h3;90=j6gkd;29?lg02900e>:l:188k7ge2900qo;?0;397?6=8r.8544;1b9'733=5;hc4>5<5<7s-9257:>c:&020<3lh1C=o64n513>244<3290;w)=69;011>"4><0?hl5G1c:8j1572>90eij50;9je2<722c88n4?::m1eg<722wi8kk51;194?6|,:3269?l;%151?2ci2B:n55a422931=n:9l1<75fa683>>i5ik0;66sm4d:95?2=83:p(>76:306?!51=3>om6F>b99m066=?<1bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th?ji4>:283>5}#;03181bf3A;i46`;31842>o58o0;66gn7;29?j4fj3:17pl;e782>1<729q/?4752378 6022=nj7E?m8:l775<0?2coh7>5;hc4>5<h6=44o3ca>5<mm7?53;294~"4100?=n5+37790ag<@8h37c:<0;5;?l47n3:17do8:188k7ge2900qo:j5;390?6=8r.8544=249'733=3`no6=44i`594?=n;=i1<75`2``94?=zj?:o6<49:183!7ej3;j96*<6487`d=#;031??m4$2:7>6>43-;on7:4Z2;7>7}?m>0?;>4rH0`;?k2483=j7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=:783>5}#9kh1=l;4$246>1bf3-9257==c:&0<1<40:1/=il54:X0=1<5s1o<699<:|J2f==i<::1;o5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;>m:085>5<7s-;in7?n5:&020<3lh1/?47533a8 6>32:287)?kb;68^6?32;q3i:4;728~L4d?3g>8<79l;hf5>5<>o49h0;66gn5;29?j5593:17pl90`82>3<729q/=ol51`78 6022=nj7)=69;11g>"40=084>5+1e`90>\41=09w5k8:550>xN6j11e8>>57e9j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><0?hl5+38;977e<,:2?6>6<;%3gf?25;|`603<62?0;6=u+1c`95d3<,:<>69jn;%1:=?55k2.8494<829'5ad=<2P8594={9g4>1142tB:n55a42293c=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f022280=6=4?{%3af?7f=2.8:84;d`9'76;:2:0?!7cj3>0V>7;:3y;a2<3?:0vD73`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd2<=0:6;4?:1y'5gd=9h?0(>8::5fb?!5>1399o6*<8580<6=#9mh186T<9581=c02==86pF>b99m066=081bh;4?::kbf?6=3`i<6=44i23b>5<86<49:183!7ej3;j96*<6487`d=#;031??m4$2:7>6>43-;on7:4Z2;7>7}?m>0?;>4rH0`;?k2483297dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th>8?4>:783>5}#9kh1=l;4$246>1bf3-9257==c:&0<1<40:1/=il54:X0=1<5s1o<699<:|J2f==i<::14>5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8:>:085>5<7s-;in7?n5:&020<3lh1/?47533a8 6>32:287)?kb;68^6?32;q3i:4;728~L4d?3g>8<76;;hf5>5<>o49h0;66gn5;29?j5593:17pl:4182>3<729q/=ol51`78 6022=nj7)=69;11g>"40=084>5+1e`90>\41=09w5k8:550>xN6j11e8>>5849j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><0?hl5+38;977e<,:2?6>6<;%3gf?25;|`6ad<62?0;6=u+1c`9569jn;%1:=?55k2.849462:&2`g<33S9287?t4619yM7e02d??=477:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm5d;95?0=83:p(om6*<98806f=#;1>15?5+1e`90>\41=0:w99<:|J2f==i<::1455fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8k7:085>5<7s-;in7?6e:&020<3lh1/?47533a8 6>32080(5;h12e?6=3`k>6=44o202>5<4}3?:0vDf3`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd2m?0:6;4?:1y'5gd=90o0(>8::5fb?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;318;f>oc>3:17dom:188mf1=831b?<4?::a1`3=93<1<7>t$0`a>4?b3-9=97:ka:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>58b9j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0?hl5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>9d9'733=76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k24832n7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th>io4>:783>5}#9kh1=4k4$246>1bf3-9257==c:&0<1<>:2.:ho4;;[1:0?7|<>91qE?m8:l7755;hca>5<>{e=m<1=7850;2x 4de283n7)=95;6ge>"41008>n5+3969=7=#9mh186T<95821142tB:n55a4229=5=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f0da280=6=4?{%3af?7>m2.8:84;d`9'76;:808 4be2=1Q?4:51z647?{O9k20b9=?:838ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??18io4$324>=`b3-9257==c:&0<1<>:2.:ho4>5:X0=1<5s1o<699<:|J2f==i<::15?5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8j::085>5<7s-;in7?6e:&020<3lh1/?47533a8 6>32080(5;h12e?6=3`k>6=44o202>5<4}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd1?m0:6;4?:1y'5gd=90o0(>8::5fb?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;318:1>oc>3:17dom:188mf1=831b?<4?::a26?=93<1<7>t$0`a>4?b3-9=978>0:&0=<<4:j1/?5:5939'5ad=<2P8594>{9g4>xN6j11e8>>5979j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0===5+38;977e<,:2?64<4$0fa>1=];0>1=v6j7;K5g>9d9'733=>8:0(>76:20`?!5?<3397)?kb;68^6?328q3i:4rH0`;?k2483337dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=?;4>:783>5}#9kh1=4k4$246>3773-9257==c:&0<1<>:2.:ho4;;[1:0?7|0l=1qE?m8:l775<>12co:7>5;hca>5<>{e>:?1=7850;2x 4de283n7)=95;424>"41008>n5+3969=7=#9mh186T<9582=c02tB:n55a4229=d=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f353280=6=4?{%3af?7>m2.8:849119'76;:808 4be2=1Q?4:51z:f3?{O9k20b9=?:8`8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??1:<>4$2;:>64d3-93877=;%3gf?28<77l;hf5>5<>o49h0;66gn5;29?j5593:17pl93382>3<729q/=ol518g8 6022?;;7)=69;11g>"40=02>6*>dc87?_5><3;p4h95}I3a<>h3;902h6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:;951;494?6|,8hi6<7j;%151?0682.8544<2b9'7=2=1;1/=il54:X0=1<6s1o<6pF>b99m066=1l1bh;4?::kbf?6=3`i<6=44i23b>5<<4<,8ni695U38695~>b?3wC=o64n513><`>od?3:17d=>a;29?lg22900c><>:188yg01=3;1:7>50z&2fg<61l1/?;;56028 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y;a25;|`521<62?0;6=u+1c`956;??;%1:=?55k2.849462:&2`g<33S9287?t8d59yM7e02d??=4n1:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm67195?0=83:p(15?5+1e`90>\41=0:w5k8:|J2f==i<::1m?5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;8=:085>5<7s-;in7?6e:&020<1991/?47533a8 6>32080(5;h12e?6=3`k>6=44o202>5<4}?m>0vD0;66g<1`83>>of=3:17b==1;29?xd1>90:6;4?:1y'5gd=90o0(>8::733?!5>1399o6*<858:6>"6lk0?7W=64;3x<`1=uA;i46`;318b1>oc>3:17dom:188mf1=831b?<4?::a224=93<1<7>t$0`a>4?b3-9=978>0:&0=<<4:j1/?5:5939'5ad=<2P8594>{9g4>xN6j11e8>>5a79j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0===5+38;977e<,:2?64<4$0fa>1=];0>1=v6j7;K5g>9d9'733=>8:0(>76:20`?!5?<3397)?kb;68^6?328q3i:4rH0`;?k2483k37dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=:k4>:783>5}#9kh1=4k4$246>3773-9257==c:&0<1<>:2.:ho4;;[1:0?7|0l=1qE?m8:l7755;hca>5<>{e>>=1=7850;2x 4de283n7)=95;424>"41008>n5+3969=7=#9mh186T<9582=c02tB:n55a4229ed=nl?0;66gnb;29L76432ch;7>5;h12e?6=3`k>6=44o202>5<4}?m>0vD0;66g<1`83>>of=3:17b==1;29?xd1;h0:6;4?:1y'5gd=90o0(>8::733?!47?32mi6*<98806f=#;1>15?5+1e`950=];0>1=v6j7;K5g>5;|`56<<62?0;6=u+1c`956;??;%1:=?55k2.849462:&2`g<33S9287?t4619yM7e02d??=4nd:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm63:95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1mh5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;<8:085>5<7s-;in7?6e:&020<1991/?47533a8 6>32080(5;h12e?6=3`k>6=44o202>5<4}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd1:<0:6;4?:1y'5gd=90o0(>8::733?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;318a5>oc>3:17dom:188mf1=831b?<4?::a272=93<1<7>t$0`a>4?b3-9=978>0:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>5b39j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0===5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>9d9'733=>8:0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k2483h?7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3;>4>:583>5}#;031>?;4$246>=3e3A;i46`;318a1>ocl3:17do8:188m62d2900c?om:188yg>013;197>50z&0=<<59?1/=ol52c18 60221?i7)=>8;:a7>N6j11e8>>5b79j5f>=831b=n750;9j5fg=831b=nl50;9l751=831vn59j:086>5<7s-9257<>6:&2fg<5kk1/?;;584`8 67?21h87E?m8:l7751<7>t$2;:>7423-9=976:b:J2f==i<::1n55fde83>>of?3:17d=;c;29?j4fj3:17pl77982>0<729q/?4752048 4de2;h87)=95;:6f>"49103n?5G1c:8j1572k30e2900e>8:188yg>0l3;197>50z&0=<<59?1/=ol52b`8 60221?i7)=>8;:a6>N6j11e8>>5b`9j5f>=831b=n750;9j5fg=831b=nl50;9l751=831vn59>:087>5<7s-9257<=5:&020gd>o4>{e0>=1=7;50;2x 6?>2;;=7)?mb;0a7>"4><039o5+30:90<729q/?4752048 4de2;ii7)=95;:6f>"49103n<5G1c:8j1572kn0e2900e>8:188yg>083;187>50z&0=<<5:<1/?;;584`8L4d?3g>8<7lj;hfg>5<4<2290;w)=69;022>"6jk09n?5+3779<0d<,:;365l?;I3a<>h3;90ij6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e0>h1=7;50;2x 6?>2;;=7)?mb;0`=>"4><039o5+30:91<729q/?4752378 60221?i7E?m8:l7755;hc4>5<h6=44o3ca>5<5;hc4>5<5<7s-9257:>c:&020f34<3290;w)=69;011>"4><039o5G1c:8j1572j<0eij50;9je2<722c88n4?::m1eg<722wi4l<51;194?6|,:3269?l;%151?>2j2B:n55a4229g2=n:9l1<75fa683>>i5ik0;66sm88395?2=83:p(>76:306?!51=32>n6F>b99m066=k11bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th3m<4>:283>5}#;0318=3e3A;i46`;318`=>o58o0;66gn7;29?j4fj3:17pl78g82>1<729q/?4752378 60221?i7E?m8:l7755;hc4>5<h6=44o3ca>5<13>:o6*<648;1g=O9k20b9=?:bf8m76a2900el950;9l6dd=831vn56m:087>5<7s-9257<=5:&020fc>o4>{e00o1=7=50;2x 6?>2=;h7)=95;:6f>N6j11e8>>5cg9j65`=831bm:4?::m1eg<722wi45751;694?6|,:326?<:;%151?>2j2B:n55a4229`5=nlm0;66gn7;29?l53k3:17b4?:1y'78::97a?M7e02d??=4k1:k14c<722cj;7>5;n0bf?6=3th34:4>:583>5}#;031>?;4$246>=3e3A;i46`;318g6>ocl3:17do8:188m62d2900c?om:188yg>>k3;1?7>50z&0=<<39j1/?;;584`8L4d?3g>8<7j<;h03b?6=3`k<6=44o3ca>5<85+3779<0d<@8h37c:<0;f7?lbc2900el950;9j71e=831d>ll50;9~f=?e28086=4?{%1:=?26k2.8:8475c9K5g>5<138996*<648;1g=O9k20b9=?:e48mab=831bm:4?::k00f<722e9mo4?::a<<1=9391<7>t$2;:>17d3-9=976:b:J2f==i<::1h:5f21d94?=ni>0;66a=ac83>>{e01:1=7:50;2x 6?>2;8>7)=95;:6f>N6j11e8>>5d99j`a<722cj;7>5;h17g?6=3f8jn7>5;|`;g0<62?0;6=u+1c`95d3<,:<>65;m;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6j11e8>>5d89j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><039o5+38;977e<,:2?6>6<;%3gf?28<7jn;hf5>5<>o49h0;66gn5;29?j5593:17pl7ce82>3<729q/=ol51`78 60221?i7)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2f==i<::1ho5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5ml:085>5<7s-;in7?n5:&02032:287)?kb;68^6?328q?;84rH0`;?k2483nh7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3oo4>:783>5}#9kh1=l;4$246>=3e3-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b99m066=lm1bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>4}3?<0vD0;66g<1`83>>of=3:17b==1;29?xd?k00:6;4?:1y'5gd=9h?0(>8::97a?!5>1399o6*<8580<6=#9mh186T<95821122tB:n55a4229`c=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f=e?280=6=4?{%3af?7f=2.8:8475c9'76;:2:0?!7cj3>0V>7;:0y7305;|`;g2<62?0;6=u+1c`95d3<,:<>65;m;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6j11e8>>5e09j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><039o5+38;977e<,:2?6>6<;%3gf?28<7k=;hf5>5<>o49h0;66gn5;29?j5593:17pl7c282>3<729q/=ol51`78 60221?i7)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2f==i<::1i>5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5m=:085>5<7s-;in7?n5:&02032:287)?kb;68^6?328q?;84rH0`;?k2483o?7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3hh4>:783>5}#9kh1=4k4$246>=3e3-9257==c:&0<1<>:2.:ho4;;[1:0?7|<>?1qE?m8:l7755;hca>5<>{e0mn1=7850;2x 4de283n7)=95;:6f>"41008>n5+3969=7=#9mh186T<95821122tB:n55a4229a3=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f=bd280=6=4?{%3af?7>m2.8:8475c9'76;:808 4be2=1Q?4:51z641?{O9k20b9=?:d58ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??148l4$2;:>64d3-93877=;%3gf?28<7k7;hf5>5<>o49h0;66gn5;29?j5593:17pl7d`82>3<729q/=ol518g8 60221?i7)=69;11g>"40=02>6*>dc87?_5><3;p8:;5}I3a<>h3;90n56gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi4i751;494?6|,8hi6<7j;%151?>2j2.8544<2b9'7=2=1;1/=il54:X0=1<6s==>6pF>b99m066=mh1bh;4?::kbf?6=3`i<6=44i23b>5<<4<,8ni695U38695~20=3wC=o64n513>`d>od?3:17d=>a;29?lg22900c><>:188yg>c?3;1:7>50z&2fg<61l1/?;;584`8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y7305;|`;g`<62?0;6=u+1c`9565;m;%033?>am2.8544<2b9'7=2=1;1/=il5149Y7<2=9r><97sG1c:8j1572ln0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<85+37793a1<@8h37c:<0;gf?lbc2900el950;9j71e=831d>ll50;9~f2`2280>6=4?{%1:=?46>2.:no4=b29'733=?m=0(>?7:90e?M7e02d??=4jf:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;ko51;794?6|,:326??9;%3af?4dj2.8:848d69'74>=0;l0D5;h3`=?6=3`;hm7>5;h3`f?6=3f9;;7>5;|`4a`<62=0;6=u+38;9673<,:<>6:j8;I3a<>h3;90m=6gkd;29?lg02900e>:l:188k7ge2900qo9i4;391?6=8r.8544=179'5gd=:k90(>8::6f4?!560329i6F>b99m066=n;1b=n650;9j5f?=831b=no50;9j5fd=831d?=950;9~f2`>280>6=4?{%1:=?46>2.:no4=cc9'733=?m=0(>?7:90f?M7e02d??=4i3:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;hj51;694?6|,:326?<:;%151?1c?2B:n55a4229b1=nlm0;66gn7;29?l53k3:17b8::6f4?!560329h6F>b99m066=n?1b=n650;9j5f?=831b=no50;9j5fd=831d?=950;9~f2cd280?6=4?{%1:=?45=2.8:848d69K5g>0;66g<4b83>>i5ik0;66sm7g095?3=83:p(>76:335?!7ej38i>6*<6484`2=#;8214?m4H0`;?k2483l37d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd0n>0:684?:1y'76<4<:183!5>13>:o6*<6484`2=O9k20b9=?:g`8m76a2900el950;9l6dd=831vn5?;:080>5<7s-9257:>c:&020<0l>1C=o64n513>ce4<3290;w)=69;011>"4><0>i5ik0;66sm81d95?2=83:p(>76:306?!51=3=o;6F>b99m066=no1bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th3=h4>:283>5}#;03182b03A;i46`;318245=n:9l1<75fa683>>i5ik0;66sm81f95?2=83:p(>76:306?!51=3=o;6F>b99m066=99;0eij50;9je2<722c88n4?::m1eg<722wi44<3290;w)=69;011>"4><05<7s-9257:>c:&020<0l>1C=o64n513>4633`8;j7>5;hc4>5<:l:188k7ge2900qo6>b;397?6=8r.8544;1b9'733=?m=0D2c95;|`;42<62=0;6=u+38;9673<,:<>6:j8;I3a<>h3;90:<:5fde83>>of?3:17d=;c;29?j4fj3:17pl71`82>6<729q/?47540a8 6022>n<7E?m8:l775<6811b>=h50;9je2<722e9mo4?::a<53=93>1<7>t$2;:>7423-9=979k7:J2f==i<::1==74ief94?=ni>0;66g<4b83>>i5ik0;66sm80;95?5=83:p(>76:53`?!51=3=o;6F>b99m066=99k0e?>i:188md1=831d>ll50;9~f=64280?6=4?{%1:=?45=2.8:848d69K5g>m;hfg>5<4<4290;w)=69;62g>"4><0793;187>50z&0=<<5:<1/?;;57e58L4d?3g>8<7??d:kg`?6=3`k<6=44i26`>5<>of?3:17b8::6f4?M7e02d??=4>0g9j`a<722cj;7>5;h17g?6=3f8jn7>5;|`;56<62:0;6=u+38;904e<,:<>6:j8;I3a<>h3;90:==5f21d94?=ni>0;66a=ac83>>{e?oi1=7:50;2x 6?>2;8>7)=95;5g3>N6j11e8>>51038mab=831bm:4?::k00f<722e9mo4?::a<17=93<1<7>t$0`a>4g23-9=979k7:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9k20b9=?:031?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`;05<62?0;6=u+1c`95d3<,:<>6:j8;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6j11e8>>51018ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??1;i94$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7e02d??=4>159j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><06<;%3gf?28<7?>5:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm85595?0=83:p(1?5=4$0fa>1=];0>1=v:85;K5g>5<>o49h0;66gn5;29?j5593:17pl74782>3<729q/=ol51`78 6022>n<7)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2f==i<::1=<94ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo6;5;392?6=8r.:no4>a49'733=?m=0(>76:20`?!5?<393?6*>dc87?_5><3;p8:;5}I3a<>h3;90:=55fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5:;:085>5<7s-;in7?n5:&020<0l>1/?47533a8 6>32:287)?kb;68^6?328q?;84rH0`;?k2483;:56gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi49=51;494?6|,8hi6<97sG1c:8j15728;j7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th38?4>:783>5}#9kh1=l;4$246>2b03-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b99m066=98h0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?m8:l775<69j1bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>4}3?<0vD5;hca>5<>{e0"41008>n5+3969=7=#9mh186T<95821122tB:n55a422954c>od?3:17d=>a;29?lg22900c><>:188yg>213;1:7>50z&2fg<61l1/?;;57e58 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a<0>=93<1<7>t$0`a>4?b3-9=979k7:&0=<<4:j1/?5:5939'5ad=<2P8594>{556>xN6j11e8>>51328ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??1;i94$2;:>64d3-93877=;%3gf?28<7?=1:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm84495?0=83:p(15?5+1e`90>\41=0:w99::|J2f==i<::1=?<4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo6:5;392?6=8r.:no4>9d9'733=?m=0(>76:20`?!5?<3397)?kb;68^6?328q?;84rH0`;?k2483;9?6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi48:51;494?6|,8hi6<7j;%151?1c?2.8544<2b9'7=2=1;1/=il54:X0=1<6s==>6pF>b99m066=9;>0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?7?56;294~"6jk0:5h5+37793a1<,:326>4}3?<0vD5;hca>5<>{e0=k1=7850;2x 4de283n7)=95;5g3>"58>03jh5+38;977e<,:2?64<4$0fa>438<7?=6:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm77`95?2=83:p(>76:306?!51=3=>?6F>b99m066=9;=0eij50;9je2<722c88n4?::m1eg<722wi;:?51;794?6|,:326??9;%3af?4e;2.8:848529'74>=?hh0Dt$2;:>7713-;in78<7?=9:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;;o51;694?6|,:326?<:;%151?12;2B:n55a422957g>o4>{e?>:1=7;50;2x 6?>2;;=7)?mb;0a7>"4><0<9>5+30:93dg<@8h37c:<0;31f>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm76795?3=83:p(>76:335?!7ej38hn6*<648416=#;821;lo4H0`;?k2483;9o6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e??31=7:50;2x 6?>2;8>7)=95;567>N6j11e8>>513f8mab=831bm:4?::k00f<722e9mo4?::a33`=93?1<7>t$2;:>7713-;in78<7?=e:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;::51;794?6|,:326??9;%3af?4dj2.8:848529'74>=?h30D=93>1<7>t$2;:>7423-9=979:3:J2f==i<::1=>>4ief94?=ni>0;66g<4b83>>i5ik0;66sm77g95?3=83:p(>76:335?!7ej38i>6*<648416=#;821;l64H0`;?k2483;8=6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e?>91=7;50;2x 6?>2;;=7)?mb;0`=>"4><0<9>5+30:93d><@8h37c:<0;306>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm77595?2=83:p(>76:306?!51=3=>?6F>b99m066=9:90eij50;9je2<722c88n4?::m1eg<722wi;4?51;194?6|,:3269?l;%151?12;2B:n55a42295624<4290;w)=69;62g>"4><0<9>5G1c:8j157289>7d50z&0=<<5:<1/?;;57418L4d?3g>8<7?<6:kg`?6=3`k<6=44i26`>5<>of?3:17b8::670?M7e02d??=4>399j`a<722cj;7>5;h17g?6=3f8jn7>5;|`4=d<62:0;6=u+38;904e<,:<>6:;<;I3a<>h3;90:?45f21d94?=ni>0;66a=ac83>>{e?131=7:50;2x 6?>2;8>7)=95;567>N6j11e8>>512c8mab=831bm:4?::k00f<722e9mo4?::a3t$2;:>17d3-9=979:3:J2f==i<::1=>l4i32e>5<2<6<4;:183!5>138996*<648416=O9k20b9=?:01`?lbc2900el950;9j71e=831d>ll50;9~f2??28086=4?{%1:=?26k2.8:848529K5g>5<85+3779305<@8h37c:<0;30a>ocl3:17do8:188m62d2900c?om:188yg1>?3;1?7>50z&0=<<39j1/?;;57418L4d?3g>8<7?5;n0bf?6=3th<4>4>:583>5}#;031>?;4$246>2343A;i46`;318205=nlm0;66gn7;29?l53k3:17b4?:1y'78::670?M7e02d??=4>409j65`=831bm:4?::m1eg<722wi;5?51;694?6|,:326?<:;%151?12;2B:n55a4229514>o4>{e?0?1=7=50;2x 6?>2=;h7)=95;567>N6j11e8>>51518m76a2900el950;9l6dd=831vn:9i:087>5<7s-9257<=5:&020<0=:1C=o64n513>4233`no6=44i`594?=n;=i1<75`2``94?=zj>3?6<4<:183!5>13>:o6*<648416=O9k20b9=?:066?l47n3:17do8:188k7ge2900qo98d;390?6=8r.8544=249'733=?<90D2coh7>5;hc4>5<h6=44o3ca>5<o58o0;66gn7;29?j4fj3:17pl87c82>1<729q/?4752378 6022>?87E?m8:l775<6<11bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th<4k4>:283>5}#;03182343A;i46`;31820<=n:9l1<75fa683>>i5ik0;66sm76:95?2=83:p(>76:306?!51=3=>?6F>b99m066=9=k0eij50;9je2<722c88n4?::m1eg<722wi;oj51;494?6|,8hi6<97sG1c:8j15728>i7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th:783>5}#9kh1=l;4$246>2343-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b99m066=9=i0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?m8:l775<65<i?6<49:183!7ej3;j96*<648416=#;031??m4$2:7>6>43-;on7:4Z2;7>4}3?<0vD5;hca>5<>{e?j91=7850;2x 4de28k>7)=95;567>"41008>n5+39697=5<,8ni695U38695~20=3wC=o64n513>42a3`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd0k;0:6;4?:1y'5gd=9h?0(>8::670?!5>1399o6*<8580<6=#9mh186T<95821122tB:n55a4229506>od?3:17d=>a;29?lg22900c><>:188yg1d93;1:7>50z&2fg<6i<1/?;;57418 6?>2:8h7)=74;1;7>"6lk0?7W=64;3x023=uA;i46`;318214=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f2e7280=6=4?{%3af?7f=2.8:848529'76;:2:0?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a3g`=93<1<7>t$0`a>4g23-9=979:3:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9k20b9=?:070?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`4f`<62?0;6=u+1c`95d3<,:<>6:;<;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6j11e8>>51468ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??1;8=4$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7e02d??=4>549j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><0<9>5+38;977e<,:2?6>6<;%3gf?28<7?:6:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm7e495?0=83:p(?6*<98806f=#;1>15?5+1e`90>\41=0:w99::|J2f==i<::1=894ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9k5;392?6=8r.:no4>9d9'733=?<90(>76:20`?!5?<3397)?kb;68^6?328q?;84rH0`;?k2483;>46gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;i:51;494?6|,8hi6<7j;%151?12;2.8544<2b9'7=2=1;1/=il54:X0=1<6s==>6pF>b99m066=9<30ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?<0vD5;hca>5<>{e?m81=7850;2x 4de283n7)=95;567>"41008>n5+3969=7=#9mh186T<95821122tB:n55a422950d>od?3:17d=>a;29?lg22900c><>:188yg1c93;1:7>50z&2fg<61l1/?;;57418 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a3a6=93<1<7>t$0`a>4?b3-9=979:3:&0=<<4:j1/?5:5939'5ad=<2P8594>{556>xN6j11e8>>514f8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??1;8=4$2;:>64d3-93877=;%3gf?28<7?:e:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm7b495?0=83:p(?6*=068;b`=#;031??m4$2:7><4<,8ni6<;4Z2;7>4}3?<0vD5;hca>5<>{e>l=1=7:50;2x 6?>2;8>7)=95;4`b>N6j11e8>>51728mab=831bm:4?::k00f<722e9mo4?::a2`b=93?1<7>t$2;:>7713-;in78<7?91:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi:k<51;794?6|,:326??9;%3af?4dj2.8:849cg9'74>=?;=0D1<7>t$2;:>7423-9=978lf:J2f==i<::1=;=4ief94?=ni>0;66g<4b83>>i5ik0;66sm6da95?3=83:p(>76:335?!7ej38i?6*<6485gc=#;821;?84H0`;?k2483;=86g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e>o;1=7;50;2x 6?>2;;=7)?mb;0`f>"4><0=ok5+30:9370<@8h37c:<0;351>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm6d795?2=83:p(>76:306?!51=3b99m066=9?<0eij50;9je2<722c88n4?::m1eg<722wi:hl51;794?6|,:326??9;%3af?4e;2.8:849cg9'74>=?;?0Dt$2;:>7713-;in78<7?98:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi:h:51;694?6|,:326?<:;%151?0dn2B:n55a422953?>o4>{e>lk1=7;50;2x 6?>2;;=7)?mb;0a6>"4><0=ok5+30:9372<@8h37c:<0;35e>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm6dd95?3=83:p(>76:335?!7ej38h56*<6485gc=#;821;?:4H0`;?k2483;=n6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e>l91=7:50;2x 6?>2;8>7)=95;4`b>N6j11e8>>517a8mab=831bm:4?::k00f<722e9mo4?::a35b=9391<7>t$2;:>17d3-9=978lf:J2f==i<::1=;j4i32e>5<:h6<4<:183!5>13>:o6*<6485gc=O9k20b9=?:04f?l47n3:17do8:188k7ge2900qo8i5;390?6=8r.8544=249'733=>jl0D5;hc4>5<h6=44o3ca>5<o58o0;66gn7;29?j4fj3:17pl80682>1<729q/?4752378 6022?im7E?m8:l775<6?81bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th<=;4>:283>5}#;03183ea3A;i46`;318237=n:9l1<75fa683>>i5ik0;66sm71795?2=83:p(>76:306?!51=3b99m066=9>90eij50;9je2<722c88n4?::m1eg<722wi;<;51;194?6|,:3269?l;%151?0dn2B:n55a42295224<3290;w)=69;011>"4><0=ok5G1c:8j15728=>7djk:188md1=831b?9m50;9l6dd=831vn:?;:080>5<7s-9257:>c:&020<1ko1C=o64n513>4113`8;j7>5;hc4>5<:l:188k7ge2900qo9>3;397?6=8r.8544;1b9'733=>jl0D5;|`5bc<62=0;6=u+38;9673<,:<>6;mi;I3a<>h3;90:;45fde83>>of?3:17d=;c;29?j4fj3:17pl81382>6<729q/?47540a8 6022?im7E?m8:l775<6?h1b>=h50;9je2<722e9mo4?::a2cb=93>1<7>t$2;:>7423-9=978lf:J2f==i<::1=:l4ief94?=ni>0;66g<4b83>>i5ik0;66sm70395?5=83:p(>76:53`?!51=3b99m066=9>i0e?>i:188md1=831d>ll50;9~f3`e280?6=4?{%1:=?45=2.8:849cg9K5g>5<4<4290;w)=69;62g>"4><0=ok5G1c:8j15728=n7d50z&0=<<5:<1/?;;56bd8L4d?3g>8<7?8f:kg`?6=3`k<6=44i26`>5<>of?3:17b0:694?:1y'78::7ae?M7e02d??=4>809j`a<722cj;7>5;h17g?6=3f8jn7>5;|`44g<62:0;6=u+38;904e<,:<>6;mi;I3a<>h3;90:4?5f21d94?=ni>0;66a=ac83>>{e>o>1=7:50;2x 6?>2;8>7)=95;4`b>N6j11e8>>51918mab=831bm:4?::k00f<722e9mo4?::a36?=93<1<7>t$0`a>4g23-9=978lf:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9k20b9=?:0:7?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`47=<62?0;6=u+1c`95d3<,:<>6;mi;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6j11e8>>51978ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??1:nh4$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7e02d??=4>879j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3b1>"4><0=ok5+38;977e<,:2?6>6<;%3gf?28<7?77:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm72d95?0=83:p(1?5=4$0fa>1=];0>1=v:85;K5g>5<>o49h0;66gn5;29?j5593:17pl83d82>3<729q/=ol51`78 6022?im7)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2f==i<::1=574ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9a49'733=>jl0(>76:20`?!5?<393?6*>dc87?_5><3;p8:;5}I3a<>h3;90:4l5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn:=l:085>5<7s-;in7?n5:&020<1ko1/?47533a8 6>32:287)?kb;68^6?328q?;84rH0`;?k2483;3n6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;>l51;494?6|,8hi6<97sG1c:8j157282h7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th:783>5}#9kh1=l;4$246>3ea3-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b99m066=91n0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?m8:l775<60l1bh;4?::kbf?6=3`i<6=44i23b>5<9=6<49:183!7ej3;j96*<6485gc=#;031??m4$2:7>6>43-;on7:4Z2;7>4}3?<0vD5;hca>5<>{e?<81=7850;2x 4de283n7)=95;4`b>"41008>n5+3969=7=#9mh186T<95821122tB:n55a42295<6>od?3:17d=>a;29?lg22900c><>:188yg1293;1:7>50z&2fg<61l1/?;;56bd8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a306=93<1<7>t$0`a>4?b3-9=978lf:&0=<<4:j1/?5:5939'5ad=<2P8594>{556>xN6j11e8>>51808ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??1:nh4$2;:>64d3-93877=;%3gf?28<7?63:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm75g95?0=83:p(15?5+1e`90>\41=0:w99::|J2f==i<::1=4:4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9;d;392?6=8r.:no4>9d9'733=>jl0(>76:20`?!5?<3397)?kb;68^6?328q?;84rH0`;?k2483;296gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;9m51;494?6|,8hi6<7j;%151?0dn2.8544<2b9'7=2=1;1/=il54:X0=1<6s==>6pF>b99m066=90<0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?<0vD?2co:7>5;hca>5<>{e?=81=7850;2x 4de283n7)=95;4`b>"58>03jh5+38;977e<,:2?64<4$0fa>438<7?68:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm33295?1=83:p(>76:335?!7ej38n:6*<648;bf=O9k20b9=?:0;:?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl;5d82>0<729q/?4752048 4de2;o37)=95;:eg>"4910?9;5G1c:8j157283j7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd3>;0:6>4?:1y'70<729q/?4752048 4de2;o;7)=95;:eg>"4910?9;5G1c:8j157283h7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd5:00:6>4?:1y'76<729q/?4752048 4de2;on7)=95;6ge>N6j11e8>>518g8m4e?2900e5;397?6=8r.8544=179'5gd=:lo0(>8::5fb?!5603>?o6F>b99m066=90l0e2900c>>8:188yg36>3;1?7>50z&0=<<59?1/=ol52dg8 6022=nj7)=>8;67g>N6j11e8>>51`28m4e?2900e7;397?6=8r.8544=179'5gd=:lo0(>8::5fb?!5603>?n6F>b99m066=9h;0e2900c>>8:188yg3603;1?7>50z&0=<<59?1/=ol52dg8 6022=nj7)=>8;67f>N6j11e8>>51`08m4e?2900e8::5fb?!5603>?:6F>b99m066=9h90e2900c>>8:188yg2ck3;1?7>50z&0=<<59?1/=ol52dd8 6022=nj7E?m8:l775<6i=1b=n650;9j5f?=831d?=950;9~f13>280?6=4?{%1:=?46>2.:no4=fc9'733=0oi0D51;794?6|,:326??9;%3af?4c:2.8:84;d`9'74>=<=<0D2c:o54?::k2g<<722c:ol4?::k2gg<722e8<:4?::a144=93<1<7>t$2;:>7713-;in74g03`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;n133?6=3th>=>4>:783>5}#;031><84$0`a>7ea3-9=97:ka:J2f==i<::1=l64i0a;>5<5<5<km4$246>1bf3A;i46`;3182e<=n9j21<75f1b;94?=n9jk1<75`31594?=zj138::6*>bc81b==#;??18io4$23;>1253A;i46`;3182ed=n9j21<75f1b;94?=n9jk1<75`31594?=zj1l?6<4;:183!5>138::6*>bc81ga=#;??14km4$23;>12c3A;i46`;3182eg=n9j21<75f1b;94?=n9jk1<75`31594?=zj;8j6<4;:183!5>138::6*>bc81ga=#;??14km4$23;>1243A;i46`;3182ef=n9j21<75f1b;94?=n9jk1<75`31594?=zj=<;6<4::183!5>138::6*>bc81a2=#;??14km4$23;>1323A;i46`;3182ea=n9j21<75f1b;94?=n9jk1<75f1b`94?=h;9=1<75rb57`>4<2290;w)=69;022>"6jk09ii5+3779h3;90:mh5f1b:94?=n9j31<75f1bc94?=n9jh1<75`31594?=zj;8i6<4::183!5>138::6*>bc81g==#;??14km4$23;>1333A;i46`;3182ec=n9j21<75f1b;94?=n9jk1<75f1b`94?=h;9=1<75rb30g>4<0290;w)=69;022>"6jk09o85+3779o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm23a95?0=83:p(>76:335?!7ej38h:6*<648;bf=#;82188:4H0`;?k2483;i=6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm51:95?0=83:p(>76:335?!7ej38in6*<6487`d=#;8218894H0`;?k2483;i>6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm53g95?1=83:p(>76:335?!7ej38n?6*<6487`d=O9k20b9=?:0`0?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl:2782>3<729q/?4752048 4de2;o>7)=95;6ge>"4910??l5G1c:8j15728h?7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?j57?3:17pl:0d82>3<729q/?4752048 4de2;ho7)=95;6ge>N6j11e8>>51c78m4e?2900e>8:188yg37n3;1;7>50z&0=<<59?1/=ol52cc8 6022=nj7E?m8:l775<6j?1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f06f280?6=4?{%1:=?46>2.:no4<009'733=?7:574?M7e02d??=4>b69j5f>=831b=n750;9j5fg=831d?=950;9~f076280=6=4?{%1:=?46>2.:no4=b59'733==<=;0D=<<80D5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th==>4>:683>5}#;031><84$0`a>7e43-9=978>0:J2f==i<::1=om4i0a;>5<5<5<5<7?55;294~"41009=;5+1c`96cg<,:<>6;??;%125<5<nm4$246>3773-9:47:;0:J2f==i<::1=ok4i0a;>5<5<5<6;??;%125<5<i84$246>3773-9:47:4i0a;>5<5<5<6;??;%125<5<65;m;%125<5<3:1i64$246>=3e3-9:47:5<5<5<n<4$246>=3e3A;i46`;3182g1=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb94f>4<3290;w)=69;022>"6jk09ho5+3779<0d<,:;369:n;I3a<>h3;90:o85f1b:94?=n9j31<75f1bc94?=h;9=1<75rb94g>4<4290;w)=69;022>"6jk09j>5+3779<0d<,:;369:n;I3a<>h3;90:o;5f1b:94?=n9j31<75`31594?=zj>nm6<4::183!5>138::6*>bc81`<=#;??1;i94$23;>15c3A;i46`;3182g2=n9j21<75f1b;94?=n9jk1<75f1b`94?=h;9=1<75rb6g2>4<1290;w)=69;022>"6jk09h55+37793a1<,:;369=k;I3a<>h3;90:o55f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=h;9=1<75rb6g0>4<0290;w)=69;022>"6jk09o?5+37793a1<@8h37c:<0;3`=>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm7dc95?2=83:p(>76:335?!7ej38on6*<6484`2=#;8218974H0`;?k2483;hm6g>c983>>o6k00;66g>c`83>>i48>0;66sm7d;95?5=83:p(>76:335?!7ej38m?6*<6484`2=#;8218974H0`;?k2483;hn6g>c983>>o6k00;66a<0683>>{e?2;;=7)?mb;0g=>"4><0<9>5+30:906e<@8h37c:<0;3`g>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm74f95?0=83:p(>76:335?!7ej38o46*<648416=#;8218>m4H0`;?k2483;hh6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm74d95?1=83:p(>76:335?!7ej38h>6*<648416=O9k20b9=?:0af?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl86782>1<729q/?4752048 4de2;ni7)=95;567>"4910?855G1c:8j15728im7d?l8;29?l7d13:17d?la;29?j57?3:17pl86482>6<729q/?4752048 4de2;l87)=95;567>"4910?855G1c:8j15728n;7d?l8;29?l7d13:17b=?7;29?xd1l>0:684?:1y'73<729q/?4752048 4de2;n37)=95;4`b>"4910??o5G1c:8j15728n97d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?j57?3:17pl9dc82>2<729q/?4752048 4de2;i97)=95;4`b>N6j11e8>>51e18m4e?2900e8::7ae?!5603>?;6F>b99m066=9m>0e2900e8::7ae?!5603>?;6F>b99m066=9m?0e2900c>>8:188yg>a?3;1;7>50z&0=<<59?1/=ol52d68 60221lh7E?m8:l775<6l?1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f=`?280<6=4?{%1:=?46>2.:no4=e59'733=0oi0Dt$2;:>7713-;in74b?3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`7`c<62:0;6=u+38;9640<,8hi6?h=;%151?2ci2.8=54;449K5g>5;n133?6=3th?hi4>:683>5}#;031><84$0`a>7`>3-9=97:ka:J2f==i<::1=io4i0a;>5<5<5<5<6;??;I3a<>h3;90:ho5f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj?>96<49:183!5>138::6*>bc81`2=#;??1:<>4H0`;?k2483;oo6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm65195?2=83:p(>76:335?!7ej38oh6*<648555=#;82188?4H0`;?k2483;oh6g>c983>>o6k00;66g>c`83>>i48>0;66sm65795?1=83:p(>76:335?!7ej38io6*<648555=O9k20b9=?:0ff?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl94782>3<729q/?4752048 4de2;n<7)=95;424>N6j11e8>>51ed8m4e?2900e>8:188yg03?3;187>50z&0=<<59?1/=ol52ef8 6022?;;7)=>8;665>N6j11e8>>51d28m4e?2900e>8:188yg0313;1;7>50z&0=<<59?1/=ol52ca8 6022?;;7E?m8:l775<6m81b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f32f280=6=4?{%1:=?46>2.:no4=d69'733=>8:0D=<<:0D5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th=8h4>:783>5}#;031><84$0`a>7b03-9=978>0:J2f==i<::1=h;4i0a;>5<5<5<ij4$246>3773-9:47::0:J2f==i<::1=h84i0a;>5<5<om4$246>3773A;i46`;3182a2=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb771>4<1290;w)=69;022>"6jk09h:5+3779246<@8h37c:<0;3f<>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e><91=7:50;2x 6?>2;;=7)?mb;0g`>"4><0===5+30:901c<@8h37c:<0;3f=>o6k10;66g>c883>>o6kh0;66a<0683>>{e>2;;=7)?mb;0ag>"4><0===5G1c:8j15728oj7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd1=?0:6;4?:1y'7b99m066=9lh0e2900e8::733?!5603>?i6F>b99m066=9li0e2900e8::733?M7e02d??=4>ee9j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn;9::085>5<7s-9257<>6:&2fg<5l>1/?;;56028L4d?3g>8<7?je:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722e8<:4?::a220=93>1<7>t$2;:>7713-;in78<7?jf:k2g=<722c:o44?::k2gd<722e8<:4?::a<3?=9391<7>t$2;:>7713-;in74`73`;h47>5;h3`=?6=3f9;;7>5;|`;2=<62>0;6=u+38;9640<,8hi6?m=;%151?>2j2B:n55a42295c75<5<5<h74$246>=3e3A;i46`;3182b7=n9j21<75f1b;94?=n9jk1<75`31594?=zj1?o6<48:183!5>138::6*>bc81a4=#;??148l4H0`;?k2483;m?6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e0?h1=7950;2x 6?>2;;=7)?mb;0e4>"4><039o5G1c:8j15728l?7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd0m<0:6>4?:1y'7b99m066=9o?0e2900c>>8:188yg1b<3;1;7>50z&0=<<59?1/=ol52b08 6022>n<7E?m8:l775<6n?1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f2bf280?6=4?{%1:=?46>2.:no4=e89'733=?m=0D5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th:683>5}#;031><84$0`a>7`73-9=979k7:J2f==i<::1=k74i0a;>5<5<5<5<6:;<;I3a<>h3;90:jl5f1b:94?=n9j31<75`31594?=zj><;6<48:183!5>138::6*>bc81g7=#;??1;8=4H0`;?k2483;mn6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e?<<1=7:50;2x 6?>2;;=7)?mb;0f=>"4><0<9>5G1c:8j15728lh7d?l8;29?l7d13:17d?la;29?j57?3:17pl85482>2<729q/?4752048 4de2;o:7)=95;567>N6j11e8>>51gf8m4e?2900e8::670?M7e02d??=4>fd9j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn;jk:080>5<7s-9257<>6:&2fg<5n;1/?;;56bd8L4d?3g>8<7?if:k2g=<722c:o44?::m042<722wi:im51;594?6|,:326??9;%3af?4d:2.8:849cg9K5g>?;h3`5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th=h?4>:583>5}#;031><84$0`a>7c>3-9=978lf:J2f==i<::1>=?4i0a;>5<5<h?4$246>3ea3A;i46`;318147=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb7fe>4<0290;w)=69;022>"6jk09j=5+37792f`<@8h37c:<0;037>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm8d:95?4=83:p(>76:0af?!51=32mo6*=0`82`7=O9k20b9=?:327?l7d?3:17b8::9d`?!47i3;o>6F>b99m066=:9?0ecd9'733=0oi0(?>n:0f1?M7e02d??=4=079j5f1=831d>ll50;9~f3eb28096=4?{%1:=?57;2.8:847fb9'65g=;9=0D><;%151?>ak2.97;h3`3?6=3f8jn7>5;|`5gf<62;0;6=u+38;9755<,:<>65hl;%03e?57?2B:n55a422965?5<5+3779>8;I3a<>h3;9094<4290;w)=69;1;<>"4><03jn5+21c9751<@8h37c:<0;03f>o6k>0;66g>8883>M47;21d>ll50;9~f0b0280=6=4?{%3af?7f=2.8:84;d`9'76;:2:0?!7cj3>0V>7;:3y;a2<3?:0vD5;hca>5<>{e=ki1=7850;2x 4de28k>7)=95;6ge>"41008>n5+39697=5<,8ni695U38696~>b?3>5;n115?6=3th3;84>:283>5}#;031><84$0`a>7`c3-9=976:b:J2f==i<::1>=k4i0a;>5<5<65;m;I3a<>h3;909l:6<4<:183!5>138::6*>bc81ba=#;??1;i94H0`;?k24838:<6g>c983>>o6k00;66a<0683>>{e?oh1=7=50;2x 6?>2;;=7)?mb;0e6>"4><0m0:6>4?:1y'7?6F>b99m066=:880e2900c>>8:188yg10?3;1?7>50z&0=<<59?1/=ol52g08 6022>?87E?m8:l775<59:1b=n650;9j5f?=831d?=950;9~f3c>28086=4?{%1:=?46>2.:no4=fe9'733=>jl0Dt$2;:>7713-;in77723`;h47>5;h3`=?6=3f9;;7>5;|`730<62?0;6=u+1c`9565hl;%1:=?55k2.849462:&2`g<33S9287?n:188md3=831d???50;9~f=c6280=6=4?{%3af?7>m2.8:847fb9'76;:808 4be2=1Q?4:51z647?{O9k20b9=?:334?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`53g<62?0;6=u+1c`956;??;%1:=?55k2.849462:&2`g<33S9287?t4619yM7e02d??=4=199j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0?hl5+38;977e<,:2?64<4$0fa>1=];0>1=v:83;K5g>5<>o49h0;66gn5;29?j5593:17pl<3482>3<729q/=ol518g8 60221lh7)=69;11g>"40=02>6*>dc821>\41=0:w99<:|J2f==i<::1>9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k24838:n6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi?9?51;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:8i0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?:0vD5;hca>5<>{e;=?1=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a422964c>od?3:17d=>a;29?lg22900c><>:188yg53?3;1:7>50z&2fg<61l1/?;;58ga8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a71>=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>52328ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??14km4$2;:>64d3-93877=;%3gf?28<7<=1:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm35c95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1>?<4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo=;b;392?6=8r.:no4>9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k248389?6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi?>851;494?6|,8hi6<7j;%151?>ak2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:;>0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?:0vD5;hca>5<>{e;:21=7850;2x 4de283n7)=95;:eg>"41008>n5+3969=7=#9mh186T<95821142tB:n55a4229670>od?3:17d=>a;29?lg22900c><>:188yg5413;1:7>50z&2fg<61l1/?;;58ga8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a76g=93<1<7>t$0`a>4?b3-9=976ic:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>523:8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??14km4$2;:>64d3-93877=;%3gf?28<7<=9:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm32a95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1>?o4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo=9d9'733=0oi0(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k248389n6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9<751;494?6|,8hi68<7<=c:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm50`95?0=83:p(om6*<98806f=#;1>1?5=4$0fa>1=];0>1>v6j7;647?{O9k20b9=?:30g?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`65f<62?0;6=u+1c`95d3<,:<>69jn;%1:=?55k2.8494<829'5ad=<2P8594={9g4>1142tB:n55a422967c>od?3:17d=>a;29?lg22900c><>:188yg36l3;1:7>50z&2fg<6i<1/?;;54ec8 6?>2:8h7)=74;1;7>"6lk0?7W=64;0x<`1=<>91qE?m8:l775<5:o1bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>7}?m>0?;>4rH0`;?k248388<6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9;hf5>5<>o49h0;66gn5;29?j5593:17pl:2182>3<729q/=ol51`78 6022=nj7)=69;11g>"40=084>5+1e`90>\41=09w5k8:550>xN6j11e8>>52208ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??18io4$2;:>64d3-9387=73:&2`g<33S9287?n:188md3=831d???50;9~f045280=6=4?{%3af?7f=2.8:84;d`9'76;:2:0?!7cj3>0V>7;:3y;a2<3?:0vD5;hca>5<>{e=;91=7850;2x 4de28k>7)=95;6ge>"41008>n5+39697=5<,8ni695U38696~>b?3>7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th>=l4>:783>5}#9kh1=l;4$246>1bf3-9257==c:&0<1<40:1/=il54:X0=1<5s1o<699<:|J2f==i<::1>>84ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo:ke;392?6=8r.:no4>a49'733=76:20`?!5?<393?6*>dc821>\41=09w5k8:550>xN6j11e8>>52258ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82e0=#;??18io4$2;:>64d3-9387=73:&2`g<33S9287?n:188md3=831d???50;9~f1c3280<6=4?{%1:=?46>2.:no4=fg9'733=t$2;:>7713-;in775f3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;n133?6=3th?i<4>:683>5}#;031><84$0`a>7`>3-9=97:ka:J2f==i<::1>>l4i0a;>5<5<5<5<69jn;I3a<>h3;909?n5f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj138::6*>bc81ba=#;??18io4$23;>1343A;i46`;31817a=n9j21<75f1b;94?=h;9=1<75rb4f;>4<0290;w)=69;022>"6jk09h>5+37790ag<@8h37c:<0;00a>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm53:95?0=83:p(om6*<98806f=#;1>1?5=4$0fa>1=];0>1>v6j7;647?{O9k20b9=?:31e?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`6ac<62=0;6=u+38;9640<,8hi6?km;%151?2ci2.8=54;439K5g>5;h3`e?6=3f9;;7>5;|`53f<62=0;6=u+38;9640<,8hi6?oj;%151?0682B:n55a42296175<5<69jn;%125<5<69jn;I3a<>h3;9098>5f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj<826<49:183!5>138::6*>bc81b4=#;??18io4$23;>1223A;i46`;318101=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75`31594?=zj<8<6<48:183!5>138::6*>bc81`1=#;??18io4H0`;?k24838?96g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e<191=7=50;2x 6?>2;;=7)?mb;0e7>"4><03jn5G1c:8j1572;>=7d?l8;29?l7d13:17b=?7;29?xd?nk0:6>4?:1y'7b99m066=:==0e2900c>>8:188yg>ai3;1;7>50z&0=<<59?1/=ol52b38 60221lh7E?m8:l775<5<11b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f060280<6=4?{%1:=?46>2.:no4=bg9'733=t$2;:>7713-;in78<7<;a:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722e8<:4?::a15d=93=1<7>t$2;:>7713-;in772e3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`;g4<62>0;6=u+38;9640<,8hi6?kn;%151?>2j2B:n55a422961e5<5<5<k84$246>=3e3A;i46`;31810a=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb91g>4<0290;w)=69;022>"6jk09il5+37793a1<@8h37c:<0;07a>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm82a95?1=83:p(>76:335?!7ej38m:6*<6484`2=O9k20b9=?:36e?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl8b882>2<729q/?4752048 4de2;oj7)=95;567>N6j11e8>>52428m4e?2900e8::670?M7e02d??=4=509j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn:=::084>5<7s-9257<>6:&2fg<5mh1/?;;56bd8L4d?3g>8<7<:2:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi;>:51;594?6|,:326??9;%3af?4a>2.8:849cg9K5g>5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th?9l4>:583>5}#;031><84$0`a>7`e3-9=976ic:J2f==i<::1>8:4i0a;>5<5<96g>c983>>o6k00;66g<4b83>>i5ik0;66sm8d195?1=83:p(>76:335?!7ej38h86*<648;bf=O9k20b9=?:375?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl7e582>3<729q/?4752048 4de2;l>7)=95;:eg>N6j11e8>>52458m4e?2900e>8:188yg04l3;187>50z&0=<<5:?1/?;;56028L4d?3g>8<7<:8:k2g=<722c:o44?::k00f<722e9mo4?::a26c=93=1<7>t$2;:>7713-;in773>3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`57c<62?0;6=u+38;9640<,8hi6?j8;%151?0682B:n55a422960g5<5<5<;5+37790ag<@8h37c:<0;06f>o6k10;66g>c883>>o4>{e=;h1=7950;2x 6?>2;;=7)?mb;0`e>"4><0?hl5G1c:8j1572;?h7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd2:j0:6:4?:1y'7om6F>b99m066=:2900e>8:188yg20>3;1>7>50z&0=<<>02.8:847fb9Y7<2=9r><97sG1c:8j1572;?n7d?l7;29?j4fj3:17pl7e882>7<729q/?475999'733=0oi0V>7;:0y;a2o6k>0;66a=ac83>>{e:<:1=7<50;2x 6?>2;;=7)?mb;136>"4><03jn5G2168L4d?3g>8<7<90:k2g=<722e8<:4?::a0c?=9381<7>t$2;:>7713-;in7=?2:&020<3lh1C>=:4H0`;?k24838==6g>c983>>i48>0;66sm88495?4=83:p(>76:335?!7ej39;>6*<648;1g=O:9>0Do6k10;66a<0683>>{e?1o1=7<50;2x 6?>2;;=7)?mb;136>"4><0<9>5G2168L4d?3g>8<7<94:k2g=<722e8<:4?::a35g=9381<7>t$2;:>7713-;in7=?2:&020<1ko1C>=:4H0`;?k24838=96g>c983>>i48>0;66sm23:95?4=83:p(>76:335?!7ej39;>6*<648;bf=O:9>0D2c:o54?::m042<722wi:=<51;4f>5<7s-9257==3:&020<1881eh546;of:><=i9<>1<6`>7683?!7?m392>6*>8g80=7=#;;>1?574$211>d3<,:986l;4$212>6>43->:47==5:&75<<39>1/=i956:&2`=<13-;o5784$0fb>3=#9mi1:6*>de85?!7cm3<0(2.:i<49;%3f6?0<,8o86;5+1d692>"6m<0=7)?j6;48 4c02?1/=h656:&2a<<13-;nm784$0ga>3=#9li1:6*>ee85?!7bm3<0(2.:j<49;%3e6?0<,8l86;5+1g692>"6n<0=7)?i6;48 4`02?1/=k656:&2b<<13-;mm784$0da>3=#9oi196*>fe86?!51?3?0(>87:49'5cc=:h30(>;8:838L4d?3S3o6nu>8;3:>7e=:m0957<7:3c96g<6m3;h61=65f5983>!56=3<=7c=>4;08?l05290/?<;5679m742=;21b:<4?:%121?013g9:87:4;h43>5<#;8?1:;5a30691>=n=o0;6)=>5;45?k56<3<07d;j:18'743=>?1e?<:57:9j1a<72-9:9789;o120?><3`?h6=4+307923=i;8>1565f5c83>>o2?3:17d;9:188m44f2900e<<6:188m46?2900e<>8:188m4612900e<>::188m4632900e<><:188m4772900e<>i:188m46b2900e<>k:188m46d2900e<>m:188m46f2900e<>6:188m4652900e<>>:188m`0=831bi84?::kf0?6=3`o86=44id094?=nm80;66gje;29?lcc2900ehm50;&0506k;4n237><=h49=0j76gj9;29 6722o?0b>?;:c98m`>=83.8=84i5:l0515$236>c3ocn3:1(>?::g78j6732o10e:850;&05065<4n237>4=>1<7*<148;6>h49=0976g8e;29 6722180b>?;:298m2b=83.8=8472:l051<332c5$236>=46`<1585?>o0i3:1(>?::908j6732>10e:750;&05065<4n237><==1<75f7283>>o0:3:17d?=4;29?l75;3:1(>?::005?k56<3;07b?4;:8?j71:3:1(>?::04`?k56<3307b?91;29 672284;c8?j7183:1(>?::04`?k56<3h07b?:f;29 672284;a8?j72m3:1(>?::04`?k56<3n07b?:7;29 672284;g8?j72>3:1(>?::04`?k56<3l07pl:b482>3<729q/=ol518g8 6022N>;2.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:?20ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<7=;I;0?!5>1399o6*<858:6>"6lk0?7W=64;3x025=uA;i46`;31812<=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f0d4280=6=4?{%3af?7>m2.8:84:a`9'675=;080D4=4$2;:>64d3-93877=;%3gf?723S9287?t4619yM7e02d??=4=6`9j`3<722cjn7>5;ha4>5<4<1290;w)?mb;3:a>"4><0>ml5+38;977e<,:2?64<4$0fa>438<7<9b:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm5`f95?0=83:p(15?5+1e`950=];0>1=v:83;K5g>5<>o49h0;66gn5;29?j5593:17pl:b382>3<729q/=ol518g8 6022"40=02>6*>dc821>\41=0:w99<:|J2f==i<::1>;j4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;m1;392?6=8r.:no4>9d9'733==hk0(>76:20`?!5?<3397)?kb;36?_5><3;p8:=5}I3a<>h3;909:h5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn88<:085>5<7s-;in7?6e:&020<2=81/?47533a8 6>32080(4rH0`;?k24838=j6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9;>51;494?6|,8hi6<7j;%151?3292.8544<2b9'7=2=1;1/=il5149Y7<2=9r>5;n115?6=3th>:?4>:783>5}#9kh1=4k4$246>0363-9257==c:&0<1<>:2.:ho4>5:X0=1<6s==86pF>b99m066=:>;0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<<,:326>4}3?:0vD5;hca>5<>{e=>n1=7850;2x 4de283n7)=95;75<>"41008>n5+3969=7=#9mh186T<95821142tB:n55a4229625>od?3:17d=>a;29?lg22900c><>:188yg30k3;1:7>50z&2fg<61l1/?;;557:8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a12d=93<1<7>t$0`a>4?b3-9=97;98:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>52678ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??19;64$2;:>64d3-93877=;%3gf?28<7<86:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm56795?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1>:94ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;84;392?6=8r.:no4>9d9'733==?20(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k24838<46gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9:>51;494?6|,8hi6<7j;%151?3102.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:>30ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<<,:326>4}3?:0vD5;hca>5<>{e=1<1=7850;2x 4de283n7)=95;7;4>"41008>n5+3969=7=#9mh186T<95821142tB:n55a422962d>od?3:17d=>a;29?lg22900c><>:188yg3>m3;1:7>50z&2fg<61l1/?;;559:8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a1t$0`a>4?b3-9=97;78:&0=<<4:j1/?5:5939'5ad=<2P8594>{550>xN6j11e8>>526f8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1bc82=`=#;??19564$2;:>64d3-93877=;%3gf?28<7<8e:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm58`95?0=83:p(15?5+1e`90>\41=0:w99<:|J2f==i<::1>:h4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;66;392?6=8r.:no4>9d9'733==120(>76:20`?!5?<3397)?kb;68^6?328q?;>4rH0`;?k248383<6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi94;51;494?6|,8hi6<7j;%151?3?02.8544<2b9'7=2=1;1/=il54:X0=1<6s==86pF>b99m066=:1;0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<<,:326>4}3?:0vD5;hca>5<>{e=0:1=7850;2x 4de283n7)=95;7;<>"41008>n5+3969=7=#9mh186T<95821142tB:n55a42296=5>od?3:17d=>a;29?lg22900c><>:188yg3?n3;1:7>50z&2fg<61l1/?;;559:8 6?>2:8h7)=74;;1?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a132=9391<7>t$2;:>7713-;in78<7<75:k2g=<722c:o44?::m042<722wi95951;194?6|,:326??9;%3af?4bn2.8:84:7g9K5g>5;n133?6=3th>m;4>:583>5}#;031><84$0`a>7ba3-9=97;n2:&05=<2jk1C=o64n513>7>03`;h47>5;h3`=?6=3`;hm7>5;n133?6=3th>n:4>:283>5}#;031><84$0`a>7ca3-9=97;na:J2f==i<::1>564i0a;>5<5<n7?57;294~"41009=;5+1c`96g1<,:<>68;>;I3a<>h3;909445f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj138::6*>bc81g`=#;??198?4H0`;?k248383m6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e=2;;=7)?mb;0ga>"4><0>9<5G1c:8j1572;2i7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd2=l0:6:4?:1y'7=6F>b99m066=:1i0e2900e>8:188yg32;3;1?7>50z&0=<<59?1/=ol52g68 60223280<6=4?{%1:=?46>2.:no4=e39'733==1:0Dt$2;:>7713-;in77>a3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`610<62>0;6=u+38;9640<,8hi6?l>;%151?3292B:n55a42296<65<5<5<lh4$246>0363A;i46`;3181=4=n9j21<75f1b;94?=n9jk1<75f1b`94?=h;9=1<75rb475>4<1290;w)=69;022>"6jk09n85+3779107<@8h37c:<0;0:6>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e=2;;=7)?mb;0a<>"4><0>9<5G1c:8j1572;387d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd2=00:6:4?:1y'7=6F>b99m066=:0>0e2900e>8:188yg3?;3;1;7>50z&0=<<59?1/=ol52c;8 6022<2;7E?m8:l775<51<1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f0>5280<6=4?{%1:=?46>2.:no4=b19'733==1:0D>2c:o54?::k2g<<722c:ol4?::k2gg<722c:on4?::k2ga<722e8<:4?::a13g=93?1<7>t$2;:>7713-;in711/?<655cc8L4d?3g>8<7<67:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi9;751;694?6|,:326??9;%3af?4ci2.8:84:699'74>==kk0D02c:o54?::k2g<<722c:ol4?::m042<722wi95o51;794?6|,:326??9;%3af?4c=2.8:84:899'74>==k30D12c:o54?::k2g<<722c:ol4?::k2gg<722e8<:4?::a1=?=93>1<7>t$2;:>7713-;in78<7<6a:k2g=<722c:o44?::k2gd<722e8<:4?::a1d6=9381<7>t$2;:>7713-;in7=?2:&020<2?o1C>=:4H0`;?k248382n6g>c983>>i48>0;66sm5c:95?4=83:p(>76:335?!7ej39;>6*<64860c=O:9>0Dk2c:o54?::m042<722wi9;851;094?6|,:326??9;%3af?57:2.8:84:519K652<@8h37c:<0;0:`>o6k10;66a<0683>>{e=h31=7<50;2x 6?>2;;=7)?mb;136>"4><0>8k5G2168L4d?3g>8<7<6e:k2g=<722e8<:4?::a1d2=937=;%110?53m2.8??4n5:&076=;;?0(9?6:206?!7c?3<0(2.:hl49;%3gg?0<,8no6;5+1eg92>"6lo0=7)?j0;48 4c62?1/=h<56:&2a6<13-;n8784$0g6>3=#9l<1:6*>e685?!7b03<0(2.:io49;%3fg?0<,8oo6;5+1dg92>"6mo0=7)?i0;48 4`62?1/=k<56:&2b6<13-;m8784$0d6>3=#9o<1:6*>f685?!7a03<0(2.:jo49;%3eg?3<,8lo685+37591>"4>10>7)?ie;0b=>"4=>02=6F>b99Y=a7?=:109m75Z2;7>4}3?:0v7d==7;29?l55n3:17d:?d;29 6722=:m7c=>4;28?l27k3:1(>?::52e?k56<3;07d:>3;29 6722=;>7c=>4;28?l26:3:1(>?::536?k56<3;07d;n:18'743=>?1e?<:50:9j1<<72-9:9789;o120?7<3`?36=4+307923=i;8>1>65f6383>!56=3<=7c=>4;18?l06290/?<;5679m742=<21b:=4?:%121?013g9:87;4;h7e>5<#;8?1:;5a30692>=n=l0;6)=>5;45?k56<3=07d;k:18'743=>?1e?<:58:9j1f<72-9:9789;o120??<3`?i6=4+307923=i;8>1m65f5683>!56=3<=7c=>4;`8?l31290/?<;5679m742=k21b=?o50;&050<6:j1e?<:50:9j57?=83.8=84>2b9m742=921b==650;&050<69>1e?<:50:9j551=83.8=84>169m742=921b==850;&050<69>1e?<:52:9j553=83.8=84>169m742=;21b==:50;&050<69>1e?<:54:9j555=83.8=84>169m742==21b=<>50;&050<69>1e?<:56:9j55`=83.8=84>169m742=?21b==k50;&050<69>1e?<:58:9j55b=83.8=84>169m742=121b==m50;&050<69>1e?<:5a:9j55d=83.8=84>169m742=j21b==o50;&050<69>1e?<:5c:9j55?=83.8=84>169m742=l21b==<50;&050<69>1e?<:5e:9j557=83.8=84>169m742=n21bi;4?:%121?`23g9:87>4;hg6>5<#;8?1j85a30695>=nm=0;6)=>5;d6?k56<3807dk<:18'743=n<1e?<:53:9ja7<72-9:97h:;o120?2<3`o:6=4+3079b0=i;8>1965fed83>!56=3l>7c=>4;48?lcc290/?<;5f49m742=?21bin4?:%121?`23g9:8764;hga>5<#;8?1j85a3069=>=nmh0;6)=>5;d6?k56<3k07dk6:18'743=n<1e?<:5b:9ja=<72-9:97h:;o120?e<3`o<6=4+3079b0=i;8>1h65fe183>!56=3l>7c=>4;g8?lba290/?<;5f49m742=n21b;;4?:%121?>53g9:87>4;h56>5<#;8?14?5a30695>=n?=0;6)=>5;:1?k56<3807d9j:18'743=0;1e?<:53:9j3a<72-9:976=;o120?2<3`=h6=4+3079<7=i;8>1965f7c83>!56=3297c=>4;48?l1f290/?<;5839m742=?21b;44?:%121?>53g9:8764;h5;>5<#;8?14?5a3069=>=n?>0;6)=>5;:1?k56<3k07d9<:18'743=0;1e?<:5b:9j37<72-9:976=;o120?e<3`;987>5$236>4413g9:87>4;h317?6=,:;>6<<9;o120?7<3f;8m7>5;n30=?6=3f;847>5;n303?6=3f;8:7>5$236>42>3g9:87:4;n301?6=,:;>6<:6;o120?3<3f;?>7>5$236>42>3g9:8784;n375?6=,:;>6<:6;o120?1<3f;?<7>5;n30b?6=3f;8i7>5;n30`?6=3f;8o7>5$236>42>3g9:87m4;n30f?6=,:;>6<:6;o120?b<3f;887>5$236>42>3g9:87k4;n307?6=,:;>6<:6;o120?`<3f;5;n34e?6=3f;3=7>5;n3;4?6=3f;>h7>5;n36g?6=3f;>n7>5;n36e?6=3f;>57>5$236>40d3g9:87:4;n366<8l;o120?3<3f;=97>5$236>40d3g9:8784;n350?6=,:;>6<8l;o120?1<3f;=?7>5;n356?6=3f;==7>5;n354?6=3f;>j7>5$236>40d3g9:87m4;n36a?6=,:;>6<8l;o120?b<3f;>;7>5$236>40d3g9:87k4;n362?6=,:;>6<8l;o120?`<3th?;94>:083>5}#;031>=l4$32b>4b53-8:>7:i;I3a<>h3;909m=5`37694?=zj?=m6<4>:183!5>139;:6*=0`8042=#:881>=j4H0`;?k24838j=6g<5d83>>{e0l=1=7?50;2x 6?>2;:i7)"59;09n:0f1?!46:3;h:6F>b99m066=:h90c>8;:188yg0?93;1=7>50z&0=<<58k1/>=o51e08 77528i>7E?m8:l775<5i=1d?;:50;9~f3ef280:6=4?{%1:=?57>2.97g23`9>i7>5;|`5g=<6280;6=u+38;9750<,;:j6>>8;%026??33A;i46`;3181e3=n;4<6290;w)=69;132>"58h08<:5+2009=0=O9k20b9=?:3c4?l52m3:17pl9c782>4<729q/?4753148 76f2::<7)<>2;6g?M7e02d??=4=a99j70c=831vn5ji:081>4<5s-9257?l4:J05<7s-925777;%151?2102B:n55a42296dg5<=57>52z\72<=::480>2}#;031=n:4H2:e?_5?m38p?;?534d9yk24838jn6g98582>>o3>m0:66g;6c82>>i3>j0:66a;6882>>d3?90;6?h50;2x 6?>2mk0(>8::54;?cdd2;1/=>?52078 ae=:8?0(n=518c8 f3=:h30(n85239'05?=90k0(>8n:0;b?!7??38j56*>8980=7=#lk08485ac082=>hd8390b>?=:19'g1<3<2.9<<4<939K5g>5;h16e?6=3`n?6=44ie394?=n;;o1<75f30g94?=nk;0;6W=64;3x022=u2cih7>5;hf1>5<5<o1<75f34;94?=nl:0;66g>bd83>>o4?m0;66g>8783>>ie83:17boi:188kgc=831dmi4?::mba?6=3f9?h7>5;n16f?6=3fkh6=44ocd94?=hk00;66amb;29?j56k3:17bl>:188k7742900c>9<:188k6152900c>9>:188k6172900c>8i:188k60b2900c>8k:188k60d2900qo=90;290?6=8r.85446a:l26c<63-;8<7=62:&14f<61h1/8=9518c8L4d?3g>8<7h4?:[1:0?7|<>>1q6a=0983>>i4=90;66sm37094?4=83:p(>76:8`8 4572:397)N6j11e8>>52`g8m4e02900c?om:188yv0?<3:19vP9859>026=;8h0199?:20f?820839:i63;71805a=z{=;4>90:o:5rs54a>5<5sW>=n63<6382g2=z{=;3?90ii6s|47;94?4|V=<270:80;c`?xu3?80;6?u24629g7=:;?:1=?k4}r646?6=:r7?;=4md:?027<5ik1vqoon:083>5<7sA>946*<988b=>N40h1C>lo4n513>7ga3thhn7?50;294~N3:01/?475c`9K7=g<@;kj7c:<0;0a4>{e;;31=7>50;2xL14e3-9257==8:J04ef342m=7?l9:?71<<6k0169<<51bc8907428ij706i4;3`e>;3>90:oo5244a95fd<51lj64>c89><`2=9ji0q~:n8;29ed}:ho1mo526`d9eg=:>k:1mo526c39eg=:>k81mo526c19eg=:>k>1mo5269f9eg=:>1o1mo5269d9eg=:>0:1mo526839eg=:>081mo526819eg=:>0>1mo5268f9eg=:>0o1mo5268d9eg=:>h:1mo526`39eg=:>h81mo526`19eg=:>h>1mo526cf9eg=:>ko1mo526cd9eg=:>j:1mo526b39eg=:>j81mo526b19eg=:>j>1mo528g79eg=:0o81mo528dd9eg=:<>n1mo5246g9eg=:<>l1mo524929eg=:<1;1mo524909eg=:1mo524b79eg=:ll4=72g>dd<5?:h6ll4=72a>dd<5?:j6ll4=72:>dd<5<>=6ll4=466>dd<5<>?6ll4=460>dd<5<>96ll4=462>dd<5<>;6ll4=41e>dd<5dd<5dd<5dd<5dd<5dd<5dd<56ll4=4fb>dd<5?=o6ll4=70:>dd<5?836ll4=704>dd<5?8=6ll4=706>dd<5?8?6ll4=700>dd<5?896ll4=4f4>dd<5dd<51o:6ll4=75a>dd<5dd<5:9m6ll4=262>dd<5:>86ll4=266>dd<5:><6ll4=26;>dd<5:>26ll4=26b>dd<5:>i6ll4=215>dd<5:9<6ll4=21;>dd<5:926ll4=21b>dd<5:9i6ll4=21`>dd<5:9o6ll4=43:>dd<5<;i6ll4=43`>dd<5<;o6ll4=43f>dd<5<;m6ll4=403>dd<5<8:6ll4=401>dd<5<886ll4=43b>dd<5=nn6ll4=5g1>dd<5<836ll4=721>g0<5?:96o64=4`6>dd<5dd<5dd<5dd<5<<86ll4=443>dd<5<<96ll4=45f>dd<5<=o6ll4=45`>dd<5<=i6ll4=455>dd<5<=>6ll4=457>dd<5<=;6ll4=44e>dd<5<2=6ll4=4;f>dd<5<3o6ll4=4;`>dd<5<3i6ll4=4;5>dd<5<3>6ll4=4;7>dd<5<3;6ll4=4:e>dd<5g>o?7>53z?7`3<4:8164h=51b`89=c328ij7p}7f383>a}:c99>144=9jh018?<:0aa?8>a<3;h463;6182g<=:<4e>34>>m7?l8:?;a7<41=nl4}r:fb?6=mr7?h54k6:?;ac<4:81688k51b`8910528i3706i1;3`f>;3=00:ol5250095f?<5<;86c`9>b;3;h4637e582g<=z{=n>6=4<{<6g4>c`9><`2=9j20q~;kb;291~;1im0o:6398e8g2>;11m0o:639be8g2>;2m:08><5rs57e>5<>s4=<7=?7:p2d3=838p1;ok:202?8>2;3n=7p}:db83>0}:>ho1h;5269g9`3=:>0o1h;526cg9`3=:=l>1???4}r4b2?6=:r7=mh4<209><02=l?1v8jk:18680fn3n=7087f;f5?80>n3n=708mf;f5?83b=399=6s|6`594?4|5?km6><>;<:61?b13ty>hh4?:4y>2g6=l?16:4>5d79>2d6=l?16:n>5d79>1`0=;;;0q~8n8;296~;1j908><528449`3=z{081h;526`09`3=:>j81h;525d:977752z?5f7<4:8164865d79~w0c6290>w08m3;f5?80>;3n=708n3;f5?80d;3n=70;j9;115>{t>hh1<7646342>57j9;|q6a7<722<2=l?16:l:5d79>2f2=l?169ho53338yv0fk3:1>v39b58064=:05<>s4<3h7o:;<4;a?g234<3j7o:;<4:4?g234<2=7o:;<4:6?g234<2?7o:;<4:0?g234>>o7=?7:p2=3=838p1;6k:202?813j3n=7p}98783>7}:>1o1???4=66`>a052z?5?2909w0860;115>;0<>;<57b?b13ty=4l4?:3y>2<4=;;;01:;?:e48yv0?j3:1>v39928064=:?<;1h;5rs7:`>5<5s4<287==1:?4172wx88j50;;x93?c2h?01;7j:`7893?a2h?01;o?:`7893g62h?01;o=:`7893g42h?01;o;:`78913b2::<7p}99483>7}:>0n1???4=6ae>a052z?5=`<4:816;i>5d79~w3?02909w086f;115>;0l80o:6s|68:94?4|5?k;6><>;<5g6?b13ty=544?:3y>2d7=;;;01:j<:e48yv0>i3:1>v39a38064=:?m>1h;5rs7;a>5<5s42wx:4m50;0x93g32:8:709k6;f5?xu3>80;64u26cf9e0=:>ko1m8526cd9e0=:>j:1m8526b39e0=:>j81m8526b19e0=:>j>1m852470975152z?5fa<4:8164i95d79~w3d12909w08me;115>;?l10o:6s|6c594?4|5?hm6><>;<:g=?b13ty=n54?:3y>2f6=;;;015jn:e48yv0e13:1>v39c08064=:0mh1h;5rs7`b>5<5s47==1:?;`f2wx:ol50;0x93e42:8:706kd;f5?xu1jj0;6?u26b69777<51nn6i84}r:e2?6=:r73j84k6:?;bd<48>1v5h<:1818>a:3n=706i4;133>{t0o:1<7a0<51l:6>>8;|q071<72;q68:j5d79>67>=;9=0q~=>f;29<~;3?m0h;63;7d8`3>;3?o0h;63;818`3>;3080h;63;838`3>;4:908<:5249195f><;7>58z?73a<4:816??>51bf8974>28i270<=a;3`=>;5:k0:o45223f95f?<5;8h6k50;0x911b2m<01?<6:224?xu3?10;6:u246g9777<5:8;6l4>c`9>67d=9jk01?6603ty?;44?:7y>02`=;;;01>4ee3ty88?4?:3y>0=6=l?16>?l53158yv20i3:19v3;818064=:;;:1=no4=30a>4e?3489h7?lc:?16f<6kj1v>:;:18182?93n=70<=c;133>{t<>h1<7:t=5:2>6463499<7?l9:?16a<6km16>?m51b:8yv53>3:1>v3;838g2>;5:m08<:5rs55`>5<4s4>3>7==1:?065<6k116>?j51b:8yv54=3:1?v3;c18g2>;4;<08><5224295f>>47>515y>0f6=i<168n?5a49>0fg=i<168nl5a49>0fe=i<168nj5a49>0fc=i<168nh5a49>0a6=i<168i?5a49>0f4=i<168n=5a49>0f2=i<168n;5a49>0f0=i<168n95a49>0f>=i<168n75a49>00?=;9=01>=::`78yv2fm3:1>v3;c18064=:0o=1=nm4}r10b?6=8l521d8965a2:8:7p};b683>7}:4ee3ty88<4?:5y>0fg=l?16>>h535a8973d2;:m70=;1;115>{t646342m47?l8:p715=83>p19mm:e4897262:>h70<:d;03b>;4<:08><5rs5`:>5<5s4>hn7==1:?;b=<6k01v>:::18782dk3n=70<;3;17g>;5=l09im7>52z?7gf<4:8164k751ba8yv53?3:18v3;ce8g2>;5<<088n5224d965`<5:><6><>;|q7fg<72;q68nj533389=`>28io7p}<4983>1}:i;<17{t;=31<7:t=5ae>a0<5;>26>:l;<055?47n278844<209~w1dc2909w0:lf;115>;?n00:oo5rs26b>5<3s4>o<7j9;<07f?53k279:?4=0g9>71g=;;;0q~:me;296~;3l908><528g;95f>54z?7`42798i4<4b9>635=:9l01>:m:202?xu3jo0;6?u24e39777<51l2667`=;=i01?;=:32e?854>399=6s|4`d94?4|5=i96><>;<:e3?7dl2wx?>950;6x91e42m<01?=>:26`?842;38;j63<368064=z{=h;6=4={<6`7?559273j:4>c`9~w65?290?w0:l4;f5?844;39?o63=55814c=:;:21???4}r6a5?6=:r7?o94<209>=h4=21:>6463ty?n?4?:3y>0f3=;;;015h8:0a;?xu4;h0;69u24b49`3=:::=1?9m4=375>76a3498m7==1:p0g5=838p19m9:202?8>a?3;h56s|32`94?2|5=i<6i84=31:>62d348>;7m53338yv2e=3:1>v3;c98064=:0o21=nj4}r10`?6=;r7?o44k6:?11<<58o16?>j53338yv2e>3:1>v3;c88064=:0o21=no4}r051?6=jr79>h4kd:?7a04n7:?5b18b9~w3>3290>ov3=2d8b3>;5=80j;63=3e8g`>;5;o0oh63=408g`>;5<:0oh63=448g`>;5<>0oh63=488g`>;5;5:o0oh63=308g`>;5;:0oh63=348g`>;5;>0oh63=388g`>;5;k0oh63;f78g`>;3n=0oh63;f38g`>;3n90oh63;ed8g`>;3mj0oh63;e`8g`>;3m10oh63;e78g`>;3nh0j;63;e48b3>;?080oh637928g`>;?180oh6378g8g`>;?0m0oh6378c8g`>;?000oh637868g`>;?0<0oh637828g`>;?1>0j;637818b3>;0nm0oh6370g8g`>;?8m0oh6370c8g`>;?800oh637068g`>;?8<0oh637028g`>;?880oh638fg8g`>;?9:0j;638fb8b3>;0?00oh6388c8g`>;0000oh638868g`>;00<0oh638828g`>;0080oh6387g8g`>;0?m0oh6387c8g`>;00o0j;638798b3>;1n<0oh638068g`>;08<0oh638028g`>;0880oh639fg8g`>;1nm0oh639fc8g`>;1n00oh639f68g`>;08k0j;639f58b3>;18;08?=526109770<5?:96><8;<436?55n27=254=<8801;>=:4c893652<301;>=:4:893652><01;>=:67893652>>01;>=:000?83f<3;;8637e185<1=z{;>m6=4<{<01a?53k2799<4=0g9>606=;9=0q~<=e;297~;5:l09mo5222f9e2=::5<5s48>=72wx>>j50;1x975c2;ki70<7}::

ll4=21e>a053z?17c<5ik16>9?5a69>60b=i>1v?9::181842k38jn63<408g2>{t:=;1<7=t=362>7ge348??7o8;<06a?g03ty9;;4?:3y>60b=:hh01>:<:e48yv43;3:1?v3=4281eg=::=?1m:5224d9e2=z{;=<6=4={<06a?4fj278884k6:p613=839p1?:::3ca?843?3k<70<90;c4?xu5?10;6?u224d96dd<5:><6i84}r073?6=;r798:4=ac9>61?=i>16>;?5a69~w71f2909w0<90;0bf>;4<10o:6s|25;94?5|5;>26?om;<07f?g0348=>7o8;|q13g<72;q6>;?52``8962>2m<0q~<;b;297~;55<5s48=>72wx>9j50;1x972c2;ki70<=f;c4?842:3k<7p}=7e83>7}::?91>ll4=26a>a053z?16c<5ik16>>?5a69>605=i>1v?9j:181842:38jn63<378g2>{t::;1<7=t=312>7ge3488?7o8;<060?g03ty9;k4?:3y>605=:hh01>=8:e48yv44;3:1?v3=3281eg=:::?1m:522479e2=z{;2;6=4={<060?4fj278?54k6:p663=839p1?=::3ca?844?3k<70<:6;c4?xu5080;6?u224796dd<5:926i84}r003?6=;r79?:4=ac9>66?=i>16>895a69~w7>52909w0<:6;0bf>;4;h0o:6s|22;94?5|5;926?om;<00f?g0348>47o8;|q1<6<72;q6>8952``8965e2m<0q~<76;296~;5;k09mo5224;9e2=z{;2>6=4={<067}:10d3ty?;94?:2y>03c=9j=0199;:247?[20<2wx8;j50;0x910b2;ki706j0;65`>{t=8k1<7;t=5da>76a34>oh7?l9:?65d<4:8168h>51b`8904028ih7p}=9283>7}:52z?7bg<5ik169;3n?088n5261f9`3=:64634>n=7?l9:p0c2=839p18>::`5891`12h=019h;:3ca?xu51;0;6?u251796dd<5<886i84}r716?6=>r7><94=0g9>0c2=;=i019jk:0aa?835:399=63;e082gd=:=;31=nm4}r6e6?6=;r7><94n7:?7b1;2:;0o:6s|53394?0|5<:86?>i;<6e6?53k27?hi4>c`9>177=;;;019k>:0aa?83513;hn6s|4g294?5|5<:86l94=5d1>d1<5=l;6?om;|q1=5<72;q69==52``890462m<0q~;=0;291~;28;09>44>c`9~w1cb2908w0;?2;c4?82a83k<70:je;0bf>{t:1o1<77ge34?9<7j9;|q65c<72?q69=?521d891cb2:>h70:kd;3`g>;29o08><524d395fe<5<8260`c=i>168hm52``8yv4?l3:1>v3:0081eg=:=8l1h;5rs43f>5<2s4?;<7{td1<5=oh6l94=5gb>7ge3ty94n4?:3y>156=:hh018?j:e48yv36l3:1:v3;fg814c=:a0<5<;o6><>;<6f4?7dk27>>:4>c99~w1c?2908w0:if;c4?82bi3k<70:j8;0bf>{t:1h1<77ge34?:h7j9;|q65f<72?q68kk521d891c?2:>h708?b;f5?836k399=63;e182gd=:=;=1=nl4}r6f2?6=;r7?jh4n7:?7a=f2909w0:ie;0bf>;29j0o:6s|50`94?0|5=lo6?>i;<6f2?53k27=51b;8904028ij7p};e483>6}:ll4}r0;=?6=:r7?ji4=ac9>14d=l?1v9h7:18082ai38;j63;e4800f=:14?=l?1v5kk:1811~;18m0h;6390b8`3>;18k0h;6390`8`3>;1800h;63:478`3>;2<<0h;63:458`3>;2<:0h;63:438`3>;2<80h;63:418`3>;2;o0h;639738`3>;1?80h;639718`3>;1>o0h;6393`8g2>;1;h08=l528df96dd<5?2;6<66;<7g3?e034?io7m8;<72=?56i27>=o4l7:?65f=i4l7:?65`=k4l7:?665><4l7:?667>>4l7:?65d0`4=k>169?65c69~w1be290:8v390e8b1>;18j0j96390c8b1>;18h0j9639088b1>;3lj08<:5250;9e0=:=8h1m85250a9e0=:=8n1m85250g9e0=:=8l1m8525329e0=:=;;1m8525309e0=:=;91m85250c9e0=:5<5s4<;h7==1:?66g<6kj1v;>8:181807k399=63:2d82g<=z{?:=6=4:{<43f?55927>>h4>c`9>170=9jk018m>:0ab?835k3;ho6s|61794?3|5?:j6><>;<71a?7dk27>>;4>cc9>1f7=9j30184e?34?9;7?l9:?7b<<6k11v;>;:1868071399=63:2d82gg=:=;<1=n74=4a2>4e?34?9o7?ld:p16c=838p18:9:e4890762::<7p}:2583><}:==<1m8525579e0=:==>1m8525519e0=:==81m8525539e0=:==:1m85252d9e0=:=;k1>ll4}r702?6=>r7>8;4<209>1gc=9j301;>?:0a:?83693;hm63:d882g==:=;k1?9m4}r70`?6=:r7>884k6:?655<48>1v8=::186833=399=63:1982g<=:=8;1=n74=433>4ee34?j87??3:p16e=838p18:;:e48906a2::<7p}:3583>0}:==>1???4=434>4e>34?;j7?l9:?7a1<6k1169l:51028yv34j3:1>v3:428g2>;28l08<:5rs410>5<1s4???7==1:?653<6k0169=k51b;8906a28ij70:j4;3`g>;2i=0:5<5s4??>7j9;<73f?57?2wx9><50;5x90252:8:70;>5;3`=>;28l0:ol5251d95fd<5=o?6m94>0`9~w05>2909w0;;1;f5?837039;;6s|52394?0|5<>:6><>;<720?7d127><54>c89>15g=9j2019k;:0a:?83f<3;;56s|52:94?4|5<>;6i84=424>6603ty>?=4?:6y>116=;;;018?<:0a`?83703;hm63:0`82gd=:1=nl4=424>4ed34?j87??2:p161=838p18=i:e4890612::<7p}:2g83>=}:=:l1???4=431>4ed34?;47?lb:?64d<6k0168h:51bc8906028ii70;?6;3`<>;2i=0:<<5rs4df>5<4s4?nm7j9;<41=?b134<;>7?93:p1ag=83kp18kn:`7890c>2h?018k7:`7890c02h?018k9:`7890c22h?018k;:`7890c42h?018km:e4890bf2:8:7p}:fe83>6}:=l31h;5263:9`3=:>981=;<4}r7eg?6=;r7>i54k6:?56227=609~w0`e2908w0;j7;f5?805>3n=708?2;354>{t=ok1<7=t=4g5>a0<5?8>6i84=721>43a3ty>j44?:2y>1`3=l?16:?:5d79>254=9;18;0:9:5rs4d4>5<4s4?n?7j9;<416?b134<;>7?:6:p1`d=832p18km:202?822m3;h463;6382g<=:0o;1=n64=9d7>4e>34>=<7?l8:?71f<6k1164ko51b:8yv3c=3:1:v3:d78g2>;2l<08><525b795fg<5<4>4>c99~w0b12908w0;k6;115>;18;0?5ez?6fc27>=94>c99>143=9j2018?9:0a;?836?3;h463:1982g==:=ko1=n64=723>4e?34?:>7?l8:?656<6k1169hj51b:890dd2:8:70;jf;3`<>;2k90:ol5rs4`e>5<4s4?ij7==1:?66`<6km169?l51b:8yv>b03:1iv390d8g2>;3lj0:o55253495f><5<:o6==4>c89>1a>=9jh018m?:0a:?837?3;h563:0782gg=:=9h1=n74=40a>4e>34?9o7?l8:?;a<<6k>1v;>j:18`807m399=63;db82g<=:=;<1=nm4=42g>4ef34?:<7?l8:?6`=<6kh169n>51b:8906028i370;?6;3`=>;28k0:o55253`95fg<5<8h61f3=;9=0q~;jc;296~;2lh0o:63:ee8042=z{708=8;c6?805?3k>708=6;c6?805=3k>708=4;c6?805;3k>708=2;c6?800k3;hm6s|64694?5|5?926i84=744>a0<5??<6>>8;|q;a<<7282p1;=6:``8935?2hh01;=8:``893512hh01;=::``893532hh01;=<:``893552hh01;88:``893012hh01;8::``893032hh01;8<:``893052hh01;8>:``893072hh01;9=:``893162hh01;9?:``8930a2hh01;98:``8931f2hh01;=n:``89=c>2;ki7p}91083><}:>:31m85262:9e0=:>:=1m8526249e0=:>:?1m8526269e0=:>:91m8526209e0=:>881?=94}r405?6=;r7=?44<209>147=9j2018o;:d08yv0283:1?v39398g2>;1>?0o:639528042=z{?9;6=4<{<40==4>ce9>1d2=m81v;:l:180804?3n=70895;f5?803n39;;6s|63d94?5|5?9<6><>;<73b?7d027>m94je:p21>=839p1;=9:e4893032m<01;:m:224?xu1:l0;6>u26249777<5<:n6263=l?16:;=5d79>211=;9=0q~8=d;297~;1;<08><5251`95fb<527=8>4<069~w34d2908w08<4;115>;2810:o5525`69a2=z{?9h6=4<{<407?b134<==7j9;<40`?4fj2wx:?l50;1x93542:8:70;?7;3``>;2i=0n<6s|62`94?5|5?996i84=743>a0<5?;86>>8;|q56d<72:q6:><53338906128ih70;n4;fe?xu1=o0;69u26759777<5??=6cb9~w33b290?w0896;115>;1=;0:o55264795fb<5??=6;1=;0:on5rs77`>5<3s4<=87==1:?50d<6k116:9j51bf8932b28ih7p}95c83>1}:>?91???4=765>4e?34=?1=nj4=765>4ed3ty=944?:5y>237=;;;01;:>:0ag?803:3;ho6393g82g==z{??36=4;{<454?55927==>4>c99>26c=9jn01;=i:0a`?xu19=0;6?u26609`3=:>8?1?=94}r45a?6=99q6::<53338937228i370889;3`<>;19:0:o45260095f?<5?;26c`9>215=9j201;:8:0a;?803j3;h46394g82g==:><91=n64=774>4e?34<<:7?l8:?57a<49;133>{t>?n1<7?7{<445?55927==84>c`9>22?=9ji01;?<:0a`?806:3;hm6391882g==:>8=1=no4=73;>4ee34;1<5?>n6c`9>203=9j201;;9:0ab?800<3;h46397482gd=:>:o1=n64=71e>4ef3ty=:44?:3y>226=l?16:<653158yv01k3:1=5u26629777<5?;>64>cc9>244=9jh01;?6:0ab?806?3;hn6391982g<=:>=;1=n74=761>4e>34;1c89>222=9j301;9::0a:?804m3;h56393g82g<=z{?<36=4={<45b?b134<:;7=?7:p23d=83;;1?00:oo5260195fg<5?;96c99>24>=9j201;:>:0a`?803:3;hn6394482gf=:>=<1=nl4=76:>4ed34;1=<0:on5264495fd<5?=?6cb9>26`=9jh0q~883;296~;1?>0o:639778042=z{?=<6=4<{<443?55927==;4>c89>223=9j20q~888;296~;1?h0o:639788042=z{?=j6=4<{<44e?55927=;44>c89>22e=9j30q~8<5260495f>53z?56<<4:816:<851bc8931328ij7p}92183>7}:>;21???4=776>4ef3ty==k4?:3y>271=;;;01;;>:0ab?xu19l0;6?u26349777<5?>o628ij7p}91b83>7}:>;>1???4=766>4ef3ty==o4?:3y>275=;;;01;:>:0ab?xu19h0;6?u26309777<5?9n6<2c=;9=0q~682;296~;??:0j;6377381eg=z{1=26=4={<:47?53k273;44<069~w=142909w0683;0bf>;?k90:o45rs945>5<4s42<57?l8:?;3`<6kh164;953158yv>em3:1;v377882g<=:0>o1=n74=9c1>76a3422=7=;c:?;gf<4:81648k51b;89=e728ih7p}76983>6}:0>31=no4=95f>4ee342=47=?7:p0m3;h4637a2814c=:0091?9m4=9ag>646342>i7?l8:?;g4<6k11v59k:1818>0:3no7068d;133>{t0>;1<7d1<51=:6?om;|q;3=<72;q64:<535a89=1?2::<7p}76383>6}:0>21=n64=95g>4ef342=?7=?7:p0l3;h5637a1814c=:01n1?9m4=9ab>646342>h7?l9:?;g4<6kj1v58;:1808>003;hm6377e82gg=:0??1?=94}r:a`?6=?r73;54>cc9><2b=9j2015o>:32e?8>?n39?o637cc8064=:04ec3ty3;n4?:3y><27=lm164:m53158yv>083:1>v37708b3>;??909mo5rs954>5<5s42<=7=;c:?;32<48>1v58?:1808>0?3;h46377b82gd=:0?n1?=94}r:ae?6=?r73;:4>c89><2e=9j30157j:32e?8>?139?o637c98064=:04ef3ty3:<4?:2y><21=9jk0159l:0aa?8>1m39;;6s|8c`94?1|51=<6<=d=;=i015m6:202?8>2l3;hn637c082gg=z{1=i6=4={<:44?bc3427}:0>:1?9m4=955>6603ty3ok4?:2y><20=9j20159m:0ab?8>c?399=6s|8c:94?3|51==6<=3=;=i015m9:202?xu?l90;6lu286495fg<51=i64>c`9><33=9jh01588:0a`?8>1m3;hm6376e82g<=:0?21=nm4=94a>4ec3ty3n44?:7y><20=9jh0159m:0a;?8>>l38;j63786800f=:0j=1???4=9a2>4e>3ty3;l4?:3y><3`=lm164:h53158yv>0<3:1>v376g800f=:0>?1?=94}r:a2?6=d83;h46s|89394?4|51326l94=9:2>7ge3ty3m;4?:3y><e=3:19v3799814c=:01;1?9m4=9a7>646342>i7?la:?;g5<6km1v57<:1808>>03k<70671;c4?8>>;38jn6s|8`794?4|51336?om;<:`0?b13ty35<4?:2y>1644=5a69><<7=:hh0q~6nf;296~;?i:09mo528bf9`3=z{12m6=4<{<:b6?g03422=7o8;<:;b?4fj2wx4lk50;0x9=g52;ki706lc;f5?xu?0m0;6>u28`39e2=:01l1m:5289f96dd52z?;e4<5ik164nl5d79~w=>e2908w06n0;c4?8>?l3k<7067b;0bf>{t0hi1<77ge342hm7j9;|q;<<<72:q644h5a69><=d=i>1645752``8yv>fj3:1>v379g81eg=:0j31h;5rs9:4>5<4s422i7o8;<:;=?g03423;7d03n=7p}78483>6}:00n1m:528959e2=:01?1>ll4}r:b=?6=:r735i4=ac9>>k3k<70675;c4?8>?;38jn6s|8`:94?4|513h6?om;<:`2?b13ty3n:4?:4y><d;399=6377482g<=:0>l1=n64}r:;4?6=;r735o4n7:?;<6;?k:0o:6s|88794?5|513<6?>i;<:;4?53k2735;4<069~w=g32909w0667;0bf>;?k;0o:6s|46494?32s42h97om;<:`0?ge342hh7om;<:`g?ge342hn7om;<:`e?ge342h57om;<:`7om;<:0b?ge3428i7om;<:6e?ge342>57om;<:6;7om;<:62?ge342>97om;<:60?ge342>?7om;<:7e?ge34=ih7om;<5ag?ge34=h97om;<5`0?ge34=h?7om;<5`6?ge34=h=7om;<5`4?ge34=ij7om;<5aa?ge34=in7om;<5ae?ge34=o:7om;<5g1?ge34=o87om;<5g7?ge34=o>7om;<5g5?ge34=o<7om;<5`b?ge34=h:7om;<50=?ge34=847om;<575?ge34=?<7om;<50b?ge34=8i7om;<50`?ge34=8o7om;<50f?ge34=8m7om;<503?ge34=8:7om;<566?ge34=>=7om;<564?ge34=?j7om;<57a?ge34=?h7om;<57g?ge34=?n7om;<576?ge34><:7w06l5;a4?8>d<3i<706ld;a4?8>dk3i<706lb;a4?8>di3i<706l9;a4?8>d03i<706l7;a4?8>d>3i<706l3;a4?8>d:3i<706ke;c6?8>cl3k>706kc;c6?8>cj3k>706ka;c6?8>c13k>706k8;c6?8>c?3k>706:d;133>{t0k>1<7:t=9a1>646342<97?l8:?;3c<6k01644851b:8yv>c>3:1?v37dd8064=:0?21=n74=94a>4ef3ty3h84?:5y>103;hm6376c82g==z{1n?6=4;{<:gg?559273:84>c99><31=9j201586:0a:?xu?l:0;68u28e`9777<51<86c`9><3?=9j20q~6k2;293~;?lh08><5287195f?<51<>6c99><3>=9j20158m:0aa?xu?l80;65u28e;9777<51<86ce9><3c=9j30158k:0a;?8>103;hh6376c82gf=z{1i;6=4={<:`a?b1342h<7=?7:pom6ij4=6db>6603ty3``=i>16;hk52``8yv1a=3:1>v38eg800f=:?o?1?=94}r5fb?6=:r7<6e=9j30q~9j2;297~;0n<0:o5527gc95fg<5>o86>>8;|q;7d<72>q6;k;51b;892`f28i2706>e;03b>;?8m088n5285:9777<5>nj6;0m=08<:5rs91a>5<0s4=m97?lb:?4bd<6k1164h706;9;115>;0lh0:o55282f95f>52z?4a`on6>:l;<5e0?57?2wx;ik50;1x92`328i3709i9;3`e>;0lo08<:5rs91;>5<0s4=m87?l9:?4b<<6k01642:>h706;6;115>;0l00:o45282f95fe53z?4b1<6kh16;k751b`892c62::<7p}73883>2}:?o>1=nl4=6d:>4e?342:h728ij706{t?o21<7ab<5>l36>>8;|q4af<72;q6;hj5a69>3`e=:hh0q~9i3;296~;0mm088n527g1975153z?4b6<6k116;k651bc892c>2::<7p}73783>2}:?o91=n74=6d;>4e>342:m728ih706{t?mn1<7=t=6d0>4ef34=m47?lb:?4ad<48>1v5=8:18481a;3;hn638f982g==:08h1>=h4=924>62d342?97==1:?4`<<6kk164>j51b`8yv1a?3:1>v38eb8g`>;0n>08<:5rs6ga>5<5s4=no7o8;<5ff?4fj2wx;k<50;0x92cd2:>h709i2;133>{t0=h1<7=t=6d1>4e?34=m;7?la:?;16<4:81v5=;:18681a:3;h5638f682g<=:0821>=h4=922>62d342?>7==1:p<1e=83kp1:h=:0ab?81a?3;hn637558064=:?ml1=no4=6g2>4ee34=n?7?lc:?4ad<6kh16;h751b;892c328ih709j7;3``>{t0:?1<78t=6d1>4ee34=m;7?l8:?;5<<58o164==535a89=242:8:706{t?o<1<7ab<5>li6>>8;|q4b5<72;q6;hl535a892`62::<7p}73383>1}:08?1>=h4=962>64634=o57?ld:?;7f<6k11v:hk:1818>6=3k<709id;0bf>{t0;81<77ge342?=7j9;|q;74<72h706;0;115>;0lh0:ol5282a95fb53z?;51:1818>6<38jn637418g2>{t09n1<7=t=93e>d1<51:m6l94=92g>7ge3ty3>o4?:3y><4`=:hh015:6:e48yv>7j3:1?v371d8b3>;?8m0j;6370c81eg=z{18j6=4={<:2a?4fj273854k6:p<5?=839p15?k:`589=6e2h=015>6:3ca?xu?:00;6?u280f96dd<51><6i84}r:33?6=;r73=n4n7:?;4<c;0bf>;?d1<51:>6?om;|q;62<72;q64;?8:09mo5rs905>5<5s42:m72wx4=?50;1x9=7>2h=015><:`589=662;ki7p}72483>7}:0831>ll4=960>a053z?;5=6038jn637438g2>{t0:91<7;t=934>76a34=mj7=;c:?;7c<4:816;k?51b;892`e28i37p}8fb83>6}:08=1m:527gd9e2=:?oi1>ll4}r:17?6=:r73=:4=ac9><6`=l?1v5?>:1808>6;38;j638fb800f=:0881?=94}r:14?6=:r73=>4=ac9><6c=l?1v:j7:1821~;?<80h;637418`3>;?<00h;637498`3>;?<>0h;637478`3>;?<<0h;637458`3>;?<:0h;637438`3>;?;o0h;6373d8`3>;?=h0j9637588b1>;?=10j9637568b1>;?=?0j9637548b1>;?==0j9637528b1>;0l008<:5rs913>5<3s428i7==1:?4b4<6k116;kl51b;89=7528i37p}75383>6}:04e>34=n;7?la:p<07=83>p15;6:202?81b;3;h5638e582gd=:?l=1=n64}r:64?6=3`7=9j201:k<:0a;?81b=3;h56s|85d94?3|51?<6><>;<5gb?7d027c89>3`5=9jk01:k::0a;?xu?nm64>cc9>3`g=9j201:k;:0a;?81b?3;hn6s|85f94?>|51?>6><>;<5gb?7dj27cb9>3`5=9jn01:kn:0a:?81b13;h4638e582ga=:?l=1=nm4}r:0g?6=:r738l4k6:?;7f<48>1v5:n:1818>3i399=639ce82g2=z{>==6=4={<55f?bc34=<:7=?7:p33g=838p1:8m:`58920f2;ki7p}87083>7}:??h1?9m4=652>6603ty<:o4?:3y>33d=:hh01:l7:0a:?xu0=l0;6>u276395f><5>==6;01h09i?6><>;<562?7d127cb9~w2072908w0981;3`e>;0??0:oo52772975157z?434<6kk16;:851b:892?e2;:m7097b;17g>;0k<08><5274495f><5>h26323=;9=0q~999;296~;0>h0j;6386881eg=z{>=;6=4={<55e?53k27<;=4<069~w23f2908w0980;3`<>;0?<0:ol5274`975157z?435<6k016;:;51b;892??2;:m70975;17g>;0k;08><5274795f?<5>h2651bc8921228ii709:d;133>{t?k?1<79t=653>4ee34=<97?l8:?4=<<58o16;59535a892e42:8:709:5;3`e>;0j00:oi5rs657>5<5s4==57jk;<540?57?2wx;;650;0x920>2h=01:87:3ca?xu0>o0;6?u277;971e<5>>8;|q41=<72:q6;;h51b:8921328ij70995;133>{t?k81<79t=64e>4e>34=<87?l9:?4=3<58o16;5?535a892e72:8:709:5;3`g>;0j00:ol5rs67:>5<4s4==j7?la:?431<6kk16;;853158yv1e;3:1;v386g82gg=:?>>1=n64=6;4>76a34=3?7=;c:?4g4<4:816;8;51b`892d>28ii7p}87283>7}:??21hi52761975152z?42=;0>l08<:5rs6a4>5<4s4==i7?l8:?436<6kh16;nh53338yv1e83:19v386d82g<=:?>91=n74=6;7>76a34=4ef34=>h7?lb:?41c<6kj16;;851bc8920228i270990;3`g>;0>:0:oi5rs6`2>5<1s4==i7?lb:?436<6k116;4;521d8921a2:>h709mf;115>;0j00:o45rs651>5<5s4==;7jk;<543?57?2wx;;m50;0x92002:>h7099d;133>{t?ho1<7:t=6;2>76a34=ih7==1:?410<6km16;o651b:8yv1013:1>v38908b3>;0?009mo5rs6;f>5<5s4=2=72wx;lj50;7x92?72;:m70989;17g>;0jj08><5274495fg<5>h365a69>32?=i>16;5l52``8yv1>l3:1>v389181eg=:?ki1h;5rs6::>5<4s4=2n7o8;<5;f?g034=3576}:?0k1m:5279;9e2=:?1=1>ll4}r5b2?6=:r7<5l4=ac9>3f2=l?1v:6::18081>13k<70977;c4?81?=38jn6s|7`794?4|5>326?om;<5`7?b13ty<4>4?:2y>3<>=i>16;5;5a69>3=5=:hh0q~9n4;296~;01109mo527b09`3=z{>2:6=4<{<5:3?g034=3?7o8;<5;5?4fj2wx;l=50;0x92?02;ki709l1;f5?xu0?o0;6>u27849e2=:?1;1m:5276d96dd7>52z?4=3<5ik16;n>5d79~w21c2908w0965;c4?810n3k<7098d;0bf>{t?h;1<77ge34=ij7j9;|q43g<72:q6;4:5a69>32b=i>16;:l52``8yv1f83:1>v389581eg=:?ko1h;5rs6ce>5<2s4=2?7{t?>21<7=t=6;0>d1<5>=i6l94=65;>7ge3ty<5k4?:3y>3<5=:hh01:lm:e48yv1?l3:1?v388g814c=:?>21?9m4=6:f>6603ty<5n4?:3y>3=`=:hh01:ln:e48yv12<3:1=8u27cf9g2=:?ki1o:527b79g2=:?j>1o:527b19g2=:?j81o:527b39g2=:?j:1o:527cd9g2=:?ko1o:527c`9g2=:?kk1o:527e49e0=:?m?1m8527e69e0=:?m91m8527e09e0=:?m;1m8527e29e0=:?jl1m852747975154z?4fd<4:816;;j51b:8921028i27097e;3`<>{t?jo1<7=t=6f5>64634==<7?l9:?426<6kh1v:mk:18781c=399=6385g82g<=:??:1=no4=640>4e?3ty3a2=;;;01:;k:0a;?812n3;h46386082g<=z{>ii6=4:{<5g7?55927<9o4>c99>30b=9j301:;i:0ab?81193;h46s|7bc94?1|5>n96><>;<56f?7d127<9i4>c`9>30`=9jh01:89:0a;?81183;h46386282gg=z{>i26=47{<5g5?55927<9o4>cc9>30b=9ji01:;i:0ag?811>3;h56386482g==:??:1=nj4=640>4ed3ty3f0=l?16;o653158yv1d>3:1>v38c78064=:>ji1=n94}r4e6?6=:r7=i:4kd:?5b7<48>1v;k9:18180b?3k<708j6;0bf>{t>ln1<762d34;1n;0:o452704965`<5>:>6>:l;<574?55927=h?4>c89>362=9ji0q~8kc;297~;1mm0:ol526g095fd<5?nh6>>8;|q476<72>q6:hj51b`893`528i3709>7;03b>;08>088n527539777<5?n96:224?xu1m<0;6?u26d49e2=:>l?1>ll4}r4fg?6=:r7=i;4<4b9>2`e=;9=0q~8k6;297~;1mj0:o5526g395fg<5?n<6>>8;|q475<72>q6:hm51b;893`628i2709>4;03b>;088088n5272g9777<5?n:6;1l008<:5rs612>5<0s4h709;1l80:ol5272795fb52z?5a06>:l;<4ff?57?2wx:i:50;1x93ce28i3708i0;3`e>;1m808<:5rs60f>5<0s4h709;1l80:on5272795fg53z?5ag<6kh16:k>51b`893c52::<7p}82g83>2}:>lh1=nl4=7d3>4e?34=:?7j5333893b628ii709<5;3`f>{t>ll1<7ab<5?om6>>8;|q5a6<72;q6:h:5a69>2`5=:hh0q~8ja;296~;1m=088n526dc975153z?5ad<6k116:hh51bc8922e2:8:7p}82b83>0}:>lk1=n74=7ge>4e>34=:<7o53338yv13<3:1mv39e`82gd=:>ll1=nl4=66`>64634;1lj0:on526ed95fb56z?5ad<6kk16:hh51b:892762;:m708ib;17g>;0;k08><5272795f?52z?5a64<069~w3c?2909w08j3;17g>;1m008<:5rs60b>5<3s4=;h77}:?9n1m:526g796dd52z?44a<5ik16;>75d79~w24>290>w09?c;03b>;1n<088n5272:9777<5?n967}:?9i1>ll4=61;>a053z?452{t?991<7=t=635>d1<5>:>6l94=620>7ge3ty<>?4?:3y>340=:hh01::?:e48yv1793:1?v38148b3>;08:0j;6380081eg=z{>8:6=4={<521?4fj279n6i84}r4e`?6=;r7<=>4n7:?5bc3;0bf>;0;m0o:6s|6g`94?5|5>;96l94=7dg>d1<5?li6?om;|q45`<72;q6;<<52``8925d2m<0q~8i9;297~;0980j;639fc8b3>;1n009mo5rs63g>5<5s4=:=72wx:k950;1x92772h=01;h6:`5893`02;ki7p}81b83>7}:?8:1>ll4=61b>a055z?44c<58o16:k9535a892502:8:708j9;3`=>;1n:0:o55rs7d7>5<4s4=;j7o8;<4e3?g034i:3ca?814?3n=7p}80883>6}:?9h1>=h4=7d7>62d34=;m7=?7:p34>=838p1:>m:3ca?814>3n=7p}9d183>43|5>926n94=61;>f1<5>>:6n94=663>f1<5>9m6n94=61f>f1<5>9o6n94=61`>f1<5>9i6n94=61b>f1<5>9<6n94=615>f1<5>?96l;4=672>d3<5>?;6l;4=66e>d3<5>>n6l;4=66g>d3<5>>h6l;4=66a>d3<5?n:6>>8;|q46=<72=q6;>85333893c>28i3708i3;3`=>;08h0:o55rs66b>5<4s4=>>7==1:?5`f<6k016:ih51bc8yv1313:18v38508064=:>mh1=n74=7f`>4ef34=83>p1:;?:202?80c13;h4639dc82g==:>mn1=n74}r573?6==r7<8k4<209>2a1=9j201;j6:0a:?80cj3;hm639de82g==z{>>=6=48{<57a?55927=h:4>c89>2a?=9jk01;jm:0aa?80b:3;h4639db82g==:>ml1=nl4}r571?6=0r7<8i4<209>2a1=9jh01;j6:0a`?80cj3;hh639e382g<=:>l;1=n64=7f`>4ec347}:?=81???4=7aa>4e03ty>j?4?:3y>142=;9=01;>=:6c8yv3a;3:1>v3:148042=:>981;o5rs4d7>5<5s4?::7=?7:?547<0k2wx9k;50;0x90702::<708?2;5g?xu2n?0;6?u250:9751<5?:96:k4}r7fa?6=c`9>1`b=9j3018kj:202?83bn3;hm6s|8d394?3|5?:;61``=9j3015k<:0ag?xu2no0;6?u26129751<5?:96<6k;|q6b5<72;q69<<5315893652>20q~;i1;296~;29:08<:5261093<=z{:>4<209~w0e52908w0;l5;3`=>;2>908><5254695f>55z?64=<6kj169=k51ba8906a28io70;>1;3`f>;28m08<:5rs5ff>5<5s4?9i7?l8:?7``<4:81v8>;4<069>1a>=9jn0q~;?9;290~;28l0:oo5251d95fe<5<:j6>>8;<73f?7dk2wx8h=50;1x907628ih70:j4;133>;2990:on5rs5g1>551bc890b>28i270;l0;3`g>;28>0:ol5251495fg<5<:i6>n4>c`9~w31e290iw0889;3``>;1<80:oo5265795fd<5?>26cc9>203=9jh01;9;:0aa?800j399=6397b82g==:>:o1=nl4}r4;6?6=:r7==>4>ce9>2=4=:hh0q~8?f;296~;19?08<:5269295f152z?;b2<48>164ko51ba8yv>a03:1>v37f98042=:0oh1=n64}r:e=?6=:r73j44<069>7>52z?507<48>16:9=51bc8yv03=3:1>v39448042=:>==1=n74}r472?6=:r7=8;4<069>211=9jk0q~8;9;296~;1<008<:5265`95f?52z?50d<48>16:9l51bc8yv03l3:1>v394e8042=:>=l1=n74}r47a?6=:r7=8h4<069>21`=9jk0q~8:1;296~;1=808<:5264195f?>7>52z?517<48>16:8=51bc8yv02=3:1>v39548042=:><=1=n74}r462?6=:r7=9;4<069>201=9jk0q~884;296~;1?=08<:5266495f?52z?530<48>16::851bc8yv41m3:1?v37688042=:0?21=nl4=94a>4e>3ty9;44?:3y><0c=;9=015;k:0a;?xu?>h0;6?u287`9751<51i;6{t:?h1<766034=o57?l8:p3`0=838p1:k8:224?8>4k3;hm6s|27f94?5|5><:6>>8;<554?7dj27<:>4>c89~w70a2909w09:6;133>;0=<0:o55rs641>5<5s4==?7=?7:?4f=<6kh1v?9?:18080cl39;;639db82gg=:>ml1=n74}r045?6=:r7=h?4<069>2a7=9j20q~8ke;296~;1lo08<:5272695fg53z?;a=<6k>164h953768Z=c03ty3in4?:2y><`b=9j=015kl:247?[>bk2wx:5?50;1x93>528i<70871;150>X1081v;mn:18080dm38jn639c`801`=Y>jk0q~8l8;297~;1km09mo526b:970c6}:>ji1>ll4=7a4>63b3W5<4s4<3<7h:4k6:?6`=<48>1v8j8:18783c?399=63:d982g==:=1=1=n64=47b>4e?3ty>o=4?:3y>1ge=l?169n>53158yv2?;3:1>v3;748g2>;30:08<:5rs556>5<4s4><97==1:?7<6<6k0168:851b58yv>b:3:1>v37e08g2>;?m;09mo5rs75`>5<5s4<d3<5:>:6l;4=260>d3<5:>>6l;4=264>d3<5:>36l;4=26:>d3<5:>j6l;4=26a>d3<5:9=6l;4=214>d3<5:936l;4=21:>d3<5:9j6l;4=21a>d3<5:9h6l;4=21g>d3<5=?j6>>8;|q1=2<72;q68h<5d79>0`7=;9=0q~<68;296~;3m908<:524d395fb52z?6`<<48>169i651ba8yv4>j3:1>v3:298g2>;2:>08<:5rs40;>5<5s4?947==1:?66g<6kk1v?7l:18183d939;;63:c182gg=z{;3o6=4={<71=?57?27>>:4>ce9~w7?b2909w06ib;133>;?nh0:oi5rs3;e>5<5s42h=7=?7:?;g5<6kk1v?o?:1818>4l39;;6373b82gg=z{;k:6=4={<5a=?57?27cc9~w7g52909w09<5;133>;0;=0:oo5rs3c0>5<5s42n>7?l8:?;a6<48>1v?o;:1818>b:3;h5637e58042=z{;k>6=4={<40`?7d027=?h4<069~w70?2909w08;1;o08<:5rs34:>5<5s4?9m7?l8:?66g<48>1v?8n:181835i3;h563:2b8042=z{=:73890g328<>7p}:c883>7}:>981:=525`6953252z?547<2n27>m94>5g9~w0e02909w08?2;7f?83f<3;>i6s|5e694?4|5?:968j4=4c7>4303ty>h>4?:3y>254==j169l:51448yv3c:3:1>v39038fg>;2i=0:?;5rs4f2>5<5s4<;>7km;<7b0?74=2wx9i>50;0x93652lk018o;:061?xu2ko0;6?u26109a<=:=h>1=9?4}r7`a?6=:r7={t=ji1<7`6<51d2=9:90q~;m4;297~;2j<0o:63:b58064=:=k91m85rs4`6>5<5s4?i97==1:?6f2<6k01v8l<:18083e<3n=70;m3;115>;2j>0:o55rs46:>5<5mr7>n>4k6:?6e`27>mi4k6:?6f727>n<4k6:?6e1<4:?169l:5335890g32:8m70;n4;7b?83f<3?270;n4;7;?83f<3<970;n4;7g?83f<3?h70;n4;7a?83f<3?<70;n4;75?83f<3;9m63:a5826<=:=h>1==64=4c7>46034?j87??6:?6e1<68<169l:511g890g328:o70;n4;33g>;2i=0:1i8525`69a1=:=h>1i>525`69af=:=h>1io525`69ad=:=h>1i4525`6933=:=h>1;8525`6931=:=h>1;h525`693<=:=h>1;5525`6932=:=h>1;>525`6937=:=h>1=?:4=4c7>4443ty>n;4?:4y>1dc=;8k018ok:23b?83e:39:m63:b0805d=:=k=1?=94}r7bg?6=lr7>mh4<209>135=;8k0188?:23b?831:39:m63:7d8`3>;2?m0h;63:7b8`3>;2?k0h;63:778`3>;2?<0h;63:758`3>;2?90h;63:6g8`3>{t=hh1<7=t=4cg>64634?j:7?la:?6e1<4;91v8l?:18b83e:399=63:9d8`3>;21m0h;63:9b8`3>;21k0h;63:978`3>;21<0h;63:958`3>;2190h;63:8g8`3>{t=hl1<764634?3:7m8;|q624<72:q69;=5d79>134=l?1698k53158yv32n3:1>v3:618g2>;2==08<:5rs441>5<2s4?=>7==1:?621<6k0169l851b:8903b28i370;73;3`f>{t=>91<7:t=45f>a0<5<==6><>;<762?7d027>944>c99~w0g0290ow0;8e;c6?830l3k>70;8c;c6?830j3k>70;86;c6?830=3k>70;84;c6?83083k>70;9f;c6?831<39;;63:8582gg=:=<>1=no4=47:>4ed3ty>;l4?:4y>12c=;;;018;m:0aa?832k3;hn63:8382g<=:=h>1;i5rs451>5<3s4?9;4>c89>10?=9j30q~;89;291~;2?m08><5254`95f?<5m948c:p127=83>p189l:e4890132:8:70;:7;3`=>;2=h0:ol5rs45;>5<>s4?;2>h0:o45257;95f?<5:84?:3y>12d=l?169;853158yv30?3:1nv3:7c8064=:=4ee34?>97?ld:?612<6kk1698o51bf890>528ij70;9a;3`e>;2>00:ol5257495f><5;;4k6:?635<4:81698;51b:8900f28i37p}:6c83>0}:=>?1h;5257d9777<5:44>c99~w0002909w0;84;f5?83f139;;6s|57g94?4|5<=;6i84=44b>6603ty>:i4?:3y>13`=l?169;753158yv3?=3:1>v3:878g2>;20=08<:5rs4:5>5<3s4?3:7==1:?6<2<6k01695:51b:8903f28i27p}:9283>6}:=0o1h;525849777<5<29602::<70;:e;3`f>;2==0:oo5259095fb<5m94;0b9>1d2=<89018o;:531?xu21h0;6:u258g9777<5984>c89>100=9jk018;6:0ab?83f<3<:7p}:9383>6}:=0n1h;525879777<5<286q694j53338903e28i370;:c;3`<>;2=:0:o45254495fd<55<4?:2y>1428i27p}:9983>d}:=0i1???4=47a>4ed34?>h7?l8:?610<6kk1698951b:8903f28ii70;7a;3`=>;2000:o4525c:95f><55o4k6:?6e5<48>1v878:18a83>j399=63:5b82ga=:=4ed34?>;7?la:?61d<6kj1695<51b`890>f28ij70;79;3`e>;2i90:o5525`691`=z{<2h6=4<{<7:2?b134?2<7==1:?6=3n=70;7f;115>;20h0:oo5259;95f>52z?6=127>n54<069~w0>b2909w0;60;f5?83?i39;;6s|59f94?4|5<2m6i84=4::>6603ty>m84?:3y>1d0=;9=018o;:0:g?xu2=00;6>u254`9751<5;20=0:o45rs47`>5<4s4?>h7=?7:?61`<6kh1695:51bc8yv3203:1>v3:5d82ga=:=<31?=94}r766?6=:r7>9>4<069>102=9j30q~;71;296~;20=0:oi52590975152z?611<6kj1698;53158yv33l3:1>v3:5582ga=:=<<1?=94}r77a?6=:r7>9:4<069>100=9ji0q~;;a;296~;2=h08<:5254;95fb52z?6<6<48>1695<51ba8yv2113:1>v37dg872<=:0l:18;74}r65f?6=:r73hk4;6c9><`6=;|l1e33=83;pb9=?:39~j7g1>3:1=v`;3180?xh5i?=1<7?tn513>1=zf;k=47>51zl775<23td9m;750;3xj1572?1vb?o9a;295~h3;90<7p`=a7`94?7|f=9;655rn3c5g?6=9rd??=46;|l1e3b=83;pb9=?:`9~j7g1m3:1=v`;318a?xh5i?l1<7?tn513>f=zf;k<<7>51zl7754}o0b31<728qe8>>5109~j7g0=3:1=v`;31826>{i:h==6=4>{o604?743td9m:950;3xj15728>0qc4}i<::1=85rn3c4=?6=9rd??=4>6:m6d1f290:wc:<0;34?xh5i>h1<7?tn513>4>5<6sg>8<7?m;|l1e2`=83;pb9=?:0a8yk4f090;651zl775<6m2we>l6=:182k2483;m7p`=a9194?7|f=9;6?>4}o0b<1<728qe8>>5209~j7g?=3:1=v`;31816>{i:h2=6=4>{o604?443td9m5950;3xj1572;>0qc4}i<::1>85rn3c;=?6=9rd??=4=6:m6d>f290:wc:<0;04?xh5i1h1<7?tn513>7>5<6sg>8<751zl775<5m2we>l7=:182k24838m7p`=a8194?7|f=9;6>>4}o0b=1<728qe8>>5309~j7g>=3:1=v`;31806>{i:h3=6=4>{o604?543td9m4950;3xj1572:>0qc4}i<::1?85rn3c:=?6=9rd??=4<6:m6d?f290:wc:<0;14?xh5i0h1<7?tn513>6>5<6sg>8<7=m;|l1e<`=83;pb9=?:2a8yk4fi90;651zl775<4m2we>lo=:182k24839m7p`=a`194?7|f=9;69>4}o0be1<728qe8>>5409~j7gf=3:1=v`;31876>{i:hk=6=4>{o604?243td9ml950;3xj1572=>0qc4}i<::1885rn3cb=?6=9rd??=4;6:m6dgf290:wc:<0;64?xh5ihh1<7?tn513>1>5<6sg>8<7:m;|l1ed`=83;pb9=?:5a8yk4fj90;651zl775<3m2we>ll=:182k2483>m7p`=ac194?7|f=9;68>4}o0bf1<728qe8>>5509~j7ge=3:1=v`;31866>{i:hh=6=4>{o604?343td9mo950;3xj1572<>0qc4}i<::1985rn3ca=?6=9rd??=4:6:m6ddf290:wc:<0;74?xh5ikh1<7?tn513>0>m6sa2``f>5<6sg>8<7;m;|l1eg`=83;pb9=?:4a8yk4fk90;651zl775<2m2we>lm=:182k2483?m7p`=ab194?7|f=9;6;>4}o0bg1<728qe8>>5609~j7gd=3:1=v`;31856>{i:hi=6=4>{o604?043td9mn950;3xj1572?>0qc4}i<::1:85rn3c`=?6=9rd??=496:m6def290:wc:<0;44?xh5ijh1<7?tn23:>4=i<::1:55rn3c`g?6=:rd8=44?;o604?0>3td9mnj50;3xj1572?k0qc4}i<::1:o5rn3c`b?6=9rd??=49c:m6db7290:wc:<0;4g?xh5im;1<7?tn513>3co1vb?ok3;295~h3;90<<6sa2`f7>5<6sg>8<79>;|l1ea3=83;pb9=?:608yk4fl?0;651zl775<0<2we>lj7:182k2483=>7p`=ae;94?7|f=9;6:84}o0b`d<728qe8>>5769~j7gcj3:1=v`;3184<>{i:hnh6=4>{o604?1>3td9mij50;3xj1572>k0qc4}i<::1;o5rn3cgb?6=9rd??=48c:m6dc7290:wc:<0;5g?xh5il;1<7?tn513>2c5<6sg>8<76>;|l1e`3=83;pb9=?:908yk4fm?0;651zl775lk7:182k24832>7p`=ad;94?7|f=9;6584}o0bad<728qe8>>5869~j7gbj3:1=v`;318;<>{i:hoh6=4>{o604?>>3td9mhj50;3xj15721k0qc4}i<::14o5rn3cfb?6=9rd??=47c:m6d`7290:wc:<0;:g?xh5io;1<7=c5<6sg>8<77>;|l1ec3=83;pb9=?:808yk4fn?0;651zl775<><2we>lh7:182k24833>7p`=ag;94?7|f=9;6484}o0bbd<728qe8>>5969~j7gaj3:1=v`;318:<>{i:hlh6=4>{o604??>3td9mkj50;3xj15720k0qc4}i<::15o5rn3ceb?6=9rd??=46c:m6g67290:wc:<0;;g?xh5j9;1<7?tn513>5<6sg>8<7o>;|l1f53=83;pb9=?:`08yk4e8?0;651zl775o>7:182k2483k>7p`=b1;94?7|f=9;6l84}o0a4d<728qe8>>5a69~j7d7j3:1=v`;318b<>{i:k:h6=4>{o604?g>3td9n=j50;3xj1572hk0qc4}i<::1mo5rn3`3b?6=9rd??=4nc:m6g77290:wc:<0;cg?xh5j8;1<7?tn513>dc3;295~h3;90i<6sa2c37>5<6sg>8<7l>;|l1f43=83;pb9=?:c08yk4e9?0;651zl775o?7:182k2483h>7p`=b0;94?7|f=9;6o84}o0a5d<728qe8>>5b69~j7d6j3:1=v`;318a<>{i:k;h6=4>{o604?d>3td9n4}i<::1no5rn3`2b?6=9rd??=4mc:m6g47290:wc:<0;`g?xh5j;;1<7?tn513>gc?4?:0ym066=jo1vb?l=3;295~h3;90h<6sa2c07>5<6sg>8<7m>;|l1f73=83;pb9=?:b08yk4e:?0;651zl775o<7:182k2483i>7p`=b3;94?7|f=9;6n84}o0a6d<728qe8>>5c69~j7d5j3:1=v`;318`<>{i:k8h6=4>{o604?e>3td9n?j50;3xj1572jk0qc4}i<::1oo5rn3`1b?6=9rd??=4lc:m6g57290:wc:<0;ag?xh5j:;1<7?tn513>fc5<6sg>8<7j>;|l1f63=83;pb9=?:e08yk4e;?0;651zl775o=7:182k2483n>7p`=b2;94?7|f=9;6i84}o0a7d<728qe8>>5d69~j7d4j3:1=v`;318g<>{i:k9h6=4>{o604?b>3td9n>j50;3xj1572mk0qc4}i<::1ho5rn3`0b?6=9rd??=4kc:m6g27290:wc:<0;fg?xh5j=;1<7?tn513>ac5<6sg>8<7k>;|l1f13=83;pb9=?:d08yk4e51zl775o:7:182k2483o>7p`=b5;94?7|f=9;6h84}o0a0d<728qe8>>5e69~j7d3j3:1=v`;318f<>{i:k>h6=4>{o604?c>3td9n9j50;3xj1572lk0qc4}i<::1io5rn3`7b?6=9rd??=4jc:m6g37290:wc:<0;gg?xh5j<;1<7?tn513>`c5<6sg>8<7h>;|l1f03=83;pb9=?:g08yk4e=?0;6;7>51zl775o;7:182k2483l>7p`=b4;94?7|f=9;6k84}o0a1d<728qe8>>5f69~j7d2j3:1=v`;318e<>{i:k?h6=4>{o604?`>3td9n8j50;3xj1572ok0qc4}i<::1jo5rn3`6b?6=9rd??=4ic:m6g07290:wc:<0;dg?xh5j?;1<7?tn513>cc009~j7d1=3:1=v`;318247=zf;h=:7>51zl775<68:1vb?l97;295~h3;90:<95rn3`5049~j7d113:1=v`;318243=zf;h=m7>51zl775<68>1vb?l9b;295~h3;90:<55rn3`5g?6=9rd??=4>089~j7d1l3:1=v`;31824d=zf;h=i7>51zl775<68k1vb?l9f;295~h3;90:0e9~j7d093:1=v`;31824`=zf;h<>7>51zl775<68o1vb?l83;295~h3;90:==5rn3`40?6=9rd??=4>109~j7d0=3:1=v`;318257=zf;h<:7>51zl775<69:1vb?l87;295~h3;90:=95rn3`4149~j7d013:1=v`;318253=zf;h51zl775<69>1vb?l8b;295~h3;90:=55rn3`4g?6=9rd??=4>189~j7d0l3:1=v`;31825d=zf;h51zl775<69k1vb?l8f;295~h3;90:=n5rn3`;4?6=9rd??=4>1e9~j7d?93:1=v`;31825`=zf;h3>7>51zl775<69o1vb?l73;295~h3;90:>=5rn3`;0?6=9rd??=4>209~j7d?=3:1=v`;318267=zf;h3:7>51zl775<6::1vb?l77;295~h3;90:>95rn3`;249~j7d?13:1=v`;318263=zf;h3m7>51zl775<6:>1vb?l7b;295~h3;90:>55rn3`;g?6=9rd??=4>289~j7d?l3:1=v`;31826d=zf;h3i7>51zl775<6:k1vb?l7f;295~h3;90:>n5rn3`:4?6=9rd??=4>2e9~j7d>93:1=v`;31826`=zf;h2>7>51zl775<6:o1vb?l63;295~h3;90:?=5rn3`:0?6=9rd??=4>309~j7d>=3:1=v`;318277=zf;h2:7>51zl775<6;:1vb?l67;295~h3;90:?95rn3`:349~j7d>13:1=v`;318273=zf;h2m7>51zl775<6;>1vb?l6b;295~h3;90:?55rn3`:g?6=9rd??=4>389~j7d>l3:1=v`;31827d=zf;h2i7>51zl775<6;k1vb?l6f;295~h3;90:?n5rn3`b4?6=9rd??=4>3e9~j7df93:1=v`;31827`=zf;hj>7>51zl775<6;o1vb?ln3;295~h3;90:8=5rn3`b0?6=9rd??=4>409~j7df=3:1=v`;318207=zf;hj:7>51zl775<6<:1vb?ln7;295~h3;90:895rn3`b449~j7df13:1=v`;318203=zf;hjm7>51zl775<6<>1vb?lnb;295~h3;90:855rn3`bg?6=9rd??=4>489~j7dfl3:1=v`;31820d=zf;hji7>51zl775<64e9~j7de93:1=v`;31820`=zf;hi>7>51zl775<6509~j7de=3:1=v`;318217=zf;hi:7>51zl775<6=:1vb?lm7;295~h3;90:995rn3`a549~j7de13:1=v`;318213=zf;him7>51zl775<6=>1vb?lmb;295~h3;90:955rn3`ag?6=9rd??=4>589~j7del3:1=v`;31821d=zf;hii7>51zl775<6=k1vb?lmf;295~h3;90:9n5rn3``4?6=9rd??=4>5e9~j7dd93:1=v`;31821`=zf;hh>7>51zl775<6=o1vb?ll3;295~h3;90::=5rn3``0?6=9rd??=4>609~j7dd=3:1=v`;318227=zf;hh:7>51zl775<6>:1vb?ll7;295~h3;90::95rn3``649~j7dd13:1=v`;318223=zf;hhm7>51zl775<6>>1vb?llb;295~h3;90::55rn3``g?6=9rd??=4>689~j7ddl3:1=v`;31822d=zf;hhi7>51zl775<6>k1vb?llf;295~h3;90::n5rn3`g4?6=9rd??=4>6e9~j7dc93:1=v`;31822`=zf;ho>7>51zl775<6>o1vb?lk3;295~h3;90:;=5rn3`g0?6=9rd??=4>709~j7dc=3:1=v`;318237=zf;ho:7>51zl775<6?:1vb?lk7;295~h3;90:;95rn3`g749~j7dc13:1=v`;318233=zf;hom7>51zl775<6?>1vb?lkb;295~h3;90:;55rn3`gg?6=9rd??=4>789~j7dcl3:1=v`;31823d=zf;hoi7>51zl775<6?k1vb?lkf;295~h3;90:;n5rn3`f4?6=9rd??=4>7e9~j7db93:1=v`;31823`=zf;hn>7>51zl775<6?o1vb?lj3;295~h3;90:4=5rn3`f0?6=9rd??=4>809~j7db=3:1=v`;3182<7=zf;hn:7>51zl775<60:1vb?lj7;295~h3;90:495rn3`f849~j7db13:1=v`;3182<3=zf;hnm7>51zl775<60>1vb?ljb;295~h3;90:455rn3`fg?6=9rd??=4>889~j7dbl3:1=v`;318251zl775<60k1vb?ljf;295~h3;90:4n5rn3`e4?6=9rd??=4>8e9~j7da93:1=v`;3182<`=zf;hm>7>51zl775<60o1vb?li3;295~h3;90:5=5rn3`e0?6=9rd??=4>909~j7da=3:1=v`;3182=7=zf;hm:7>51zl775<61:1vb?li7;295~h3;90:595rn3`e949~j7da13:1=v`;3182=3=zf;hmm7>51zl775<61>1vb?lib;295~h3;90:555rn3`eg?6=9rd??=4>989~j7dal3:1=v`;3182=d=zf;hmi7>51zl775<61k1vb?lif;295~h3;90:5n5rn3a34?6=9rd??=4>9e9~j7e793:1=v`;3182=`=zf;i;>7>51zl775<61o1vb?m?3;295~h3;90:m=5rn3a30?6=9rd??=4>a09~j7e7=3:1=v`;3182e7=zf;i;:7>51zl775<6i:1vb?m?7;295~h3;90:m95rn3a3a49~j7e713:1=v`;3182e3=zf;i;m7>51zl775<6i>1vb?m?b;295~h3;90:m55rn3a3g?6=9rd??=4>a89~j7e7l3:1=v`;3182ed=zf;i;i7>51zl775<6ik1vb?m?f;295~h3;90:mn5rn3a24?6=9rd??=4>ae9~j7e693:1=v`;3182e`=zf;i:>7>51zl775<6io1vb?m>3;295~h3;90:n=5rn3a20?6=9rd??=4>b09~j7e6=3:1=v`;3182f7=zf;i::7>51zl775<6j:1vb?m>7;295~h3;90:n95rn3a2b49~j7e613:1=v`;3182f3=zf;i:m7>51zl775<6j>1vb?m>b;295~h3;90:n55rn3a2g?6=9rd??=4>b89~j7e6l3:1=v`;3182fd=zf;i:i7>51zl775<6jk1vb?m>f;295~h3;90:nn5rn3a14?6=9rd??=4>be9~j7e593:1=v`;3182f`=zf;i9>7>51zl775<6jo1vb?m=3;295~h3;90:o=5rn3a10?6=9rd??=4>c09~j7e5=3:1=v`;3182g7=zf;i9:7>51zl775<6k:1vb?m=7;295~h3;90:o95rn3a1c49~j7e513:1=v`;3182g3=zf;i9m7>51zl775<6k>1vb?m=b;295~h3;90:o55rn3a1g?6=9rd??=4>c89~j7e5l3:1=v`;3182gd=zf;i9i7>51zl775<6kk1vb?m=f;295~h3;90:on5rn3a04?6=9rd??=4>ce9~j7e493:1=v`;3182g`=zf;i8>7>51zl775<6ko1vb?m<3;295~h3;90:h=5rn3a00?6=9rd??=4>d09~j7e4=3:1=v`;3182`7=zf;i8:7>51zl775<6l:1vb?m<7;295~h3;90:h95rn3a0d49~j7e413:1=v`;3182`3=zf;i8m7>51zl775<6l>1vb?md89~j7e4l3:1=v`;3182`d=zf;i8i7>51zl775<6lk1vb?mde9~j7e393:1=v`;3182``=zf;i?>7>51zl775<6lo1vb?m;3;295~h3;90:i=5rn3a70?6=9rd??=4>e09~j7e3=3:1=v`;3182a7=zf;i?:7>51zl775<6m:1vb?m;7;295~h3;90:i95rn3a7e49~j7e313:1=v`;3182a3=zf;i?m7>51zl775<6m>1vb?m;b;295~h3;90:i55rn3a7g?6=9rd??=4>e89~j7e3l3:1=v`;3182ad=zf;i?i7>51zl775<6mk1vb?m;f;295~h3;90:in5rn3a64?6=9rd??=4>ee9~j7e293:1=v`;3182a`=zf;i>>7>51zl775<6mo1vb?m:3;295~h3;90:j=5rn3a60?6=9rd??=4>f09~j7e2=3:1=v`;3182b7=zf;i>:7>51zl775<6n:1vb?m:7;295~h3;90:j95rn3a6f49~j7e213:1=v`;3182b3=zf;i>m7>51zl775<6n>1vb?m:b;295~h3;90:j55rn3a6g?6=9rd??=4>f89~j7e2l3:1=v`;3182bd=zf;i>i7>51zl775<6nk1vb?m:f;295~h3;90:jn5rn3a54?6=9rd??=4>fe9~j7e193:1=v`;3182b`=zf;i=>7>51zl775<6no1vb?m93;295~h3;909<=5rn3a50?6=9rd??=4=009~j7e1=3:1=v`;318147=zf;i=:7>51zl775<58:1vb?m97;295~h3;909<95rn3a551zl775<58>1vb?m9b;295~h3;909<55rn3a5g?6=9rd??=4=089~j7e1l3:1=v`;31814d=zf;i=i7>51zl775<58k1vb?m9f;295~h3;9097>51zl775<58o1vb?m83;295~h3;909==5rn3a40?6=9rd??=4=109~j7e0=3:1=v`;318157=zf;i<:7>51zl775<59:1vb?m87;295~h3;909=95rn3a451zl775<59>1vb?m8b;295~h3;909=55rn3a4g?6=9rd??=4=189~j7e0l3:1=v`;31815d=zf;i51zl775<59k1vb?m8f;295~h3;909=n5rn3a;4?6=9rd??=4=1e9~j7e?93:1=v`;31815`=zf;i3>7>51zl775<59o1vb?m73;295~h3;909>=5rn3a;0?6=9rd??=4=209~j7e?=3:1=v`;318167=zf;i3:7>51zl775<5::1vb?m77;295~h3;909>95rn3a;51zl775<5:>1vb?m7b;295~h3;909>55rn3a;g?6=9rd??=4=289~j7e?l3:1=v`;31816d=zf;i3i7>51zl775<5:k1vb?m7f;295~h3;909>n5rn3a:4?6=9rd??=4=2e9~j7e>93:1=v`;31816`=zf;i2>7>51zl775<5:o1vb?m63;295~h3;909?=5rn3a:0?6=9rd??=4=309~j7e>=3:1=v`;318177=zf;i2:7>51zl775<5;:1vb?m67;295~h3;909?95rn3a:13:1=v`;318173=zf;i2m7>51zl775<5;>1vb?m6b;295~h3;909?55rn3a:g?6=9rd??=4=389~j7e>l3:1=v`;31817d=zf;i2i7>51zl775<5;k1vb?m6f;295~h3;909?n5rn3ab4?6=9rd??=4=3e9~j7ef93:1=v`;31817`=zf;ij>7>51zl775<5;o1vb?mn3;295~h3;9098=5rn3ab0?6=9rd??=4=409~j7ef=3:1=v`;318107=zf;ij:7>51zl775<5<:1vb?mn7;295~h3;909895rn3ab51zl775<5<>1vb?mnb;295~h3;909855rn3abg?6=9rd??=4=489~j7efl3:1=v`;31810d=zf;iji7>51zl775<57>51zl775<551zl775<5=:1vb?mm7;295~h3;909995rn3aa51zl775<5=>1vb?mmb;295~h3;909955rn3aag?6=9rd??=4=589~j7eel3:1=v`;31811d=zf;iii7>51zl775<5=k1vb?mmf;295~h3;9099n5rn3a`4?6=9rd??=4=5e9~j7ed93:1=v`;31811`=zf;ih>7>51zl775<5=o1vb?ml3;295~h3;909:=5rn3a`0?6=9rd??=4=609~j7ed=3:1=v`;318127=zf;ih:7>51zl775<5>:1vb?ml7;295~h3;909:95rn3a`51zl775<5>>1vb?mlb;295~h3;909:55rn3a`g?6=9rd??=4=689~j7edl3:1=v`;31812d=zf;ihi7>51zl775<5>k1vb?mlf;295~h3;909:n5rn3ag4?6=9rd??=4=6e9~j7ec93:1=v`;31812`=zf;io>7>51zl775<5>o1vb?mk3;295~h3;909;=5rn3ag0?6=9rd??=4=709~j7ec=3:1=v`;318137=zf;io:7>51zl775<5?:1vb?mk7;295~h3;909;95rn3ag51zl775<5?>1vb?mkb;295~h3;909;55rn3agg?6=9rd??=4=789~j7ecl3:1=v`;31813d=zf;ioi7>51zl775<5?k1vb?mkf;295~h3;909;n5rn3af4?6=9rd??=4=7e9~j7eb93:1=v`;31813`=zf;in>7>51zl775<5?o1vb?mj3;295~h3;9094=5rn3af0?6=9rd??=4=809~j7eb=3:1=v`;3181<7=zf;in:7>51zl775<50:1vb?mj7;295~h3;909495rn3af51zl775<50>1vb?mjb;295~h4900;7c:<0;0;<>{i:joh6=4>{o604?4?12we>nkk:182k248383m6sa2bgf>5<6sg>8<7<7b:m6fca290:wc:<0;0;g>{i:jl;6=4>{o604?4?l2we>nh>:182k248383i6sa2bd1>5<6sg>8<7<7f:m6f`4290:wc:<0;0:4>{i:jl?6=4>{o604?4>92we>nh::182k248382>6sa2bd5>5<6sg>8<7<63:m6f`0290:wc:<0;0:0>{i:jl36=4>{o604?4>=2we>nh6:182k248382:6sa2bdb>5<6sg>8<7<67:m6f`e290:wc:<0;0:<>{i:jlh6=4>{o604?4>12we>nhk:182k248382m6sa2bdf>5<6sg>8<7<6b:m6f`a290:wc:<0;0:g>{i:m:;6=4>{o604?4>l2we>i>>:182k248382i6sa2e21>5<6sg>8<7<6f:m6a64290:wc:<0;0b4>{i:m:?6=4>{o604?4f92we>i>::182k24838j>6sa2e25>5<6sg>8<7{i:m:36=4>{o604?4f=2we>i>6:182k24838j:6sa2e2b>5<6sg>8<7{i:m:h6=4>{o604?4f12we>i>k:182k24838jm6sa2e2f>5<6sg>8<7{i:m;;6=4>{o604?4fl2we>i?>:182k24838ji6sa2e31>5<6sg>8<7{i:m;?6=4>{o604?4e92we>i?::182k24838i>6sa2e35>5<6sg>8<7{i:m;36=4>{o604?4e=2we>i?6:182k24838i:6sa2e3b>5<6sg>8<7{i:m;h6=4>{o604?4e12we>i?k:182k24838im6sa2e3f>5<4sg>8<7{i:h?n6=4>{o604?4el2we>l;i:182k24838ii6sr}|BCG~4alo0>i8m?5ec~DED|:oop8?850;296?71j<0:4l=4}z612?6=8381=;l9:0:b0>{|<;<1<7>52;35f2<60h?0qv:=6;294?4=9?h36<6n6:x070=83:1>7?9b8829:7>50;0953df282j46st43494?6=:3;=no4>8`;8y~25>3:1<7<517``>4>fi2wp8?850;296?71jm0:4ll4}z612?6=8381=;lj:0:bg>{|<;<1<7>52;35fc<60hn0qv:=6;294?4=9?i;6<6ne:x070=83:1>7?9c0829:7>50;0953e5282i<6st43494?6=:3;=o>4>8c38y~25>3:1<7<517a7>4>e:2wp8?850;296?71n90:4o=4}z612?6=8381=;h>:0:a0>{|<;<1<7>52;35b7<60k?0qv:=6;294?4=9?l86<6m6:x070=83:1>7?9f5829:7>50;0953`2282i46st43494?6=:3;=j;4>8c;8y~25>3:1<7<517d4>4>ei2wp8?850;296?71n10:4ol4}z612?6=8381=:l?:0:ag>{|<;<1<7>52;34ec<60kn0qv:=6;294?4=9>kn6<6me:x070=83:1>7?8ae829:7>50;0952gd282h<6st43494?6=:3;8b38y~25>3:1<7<516cb>4>d:2wp8?850;296?70i00:4n=4}z612?6=8381=:o7:0:`0>{|<;<1<7>52;34e2<60j?0qv:=6;294?4=9>l>6<6l6:x070=83:1>7?8f5829:7>50;0952`4282h46st43494?6=:3;8b;8y~25>3:1<7<516d2>4>di2wp8?850;296?70n90:4nl4}z612?6=8381=:ki:0:`g>{|<;<1<7>52;34a`<60jn0qv:=6;294?4=9>oo6<6le:x070=83:1>7?8eb829:7>50;095=5f282o<6st43494?6=:3;3?44>8e38y~25>3:1<7<5191;>4>c:2wp8?850;296?7?;>0:4i=4}z612?6=8381=5=9:0:g0>{|<;<1<7>52;3;70<60m?0qv:=6;294?4=919?6<6k6:x070=83:1>7?732829:7>50;095=55282o46st43494?6=:3;3?<4>8e;8y~25>3:1<7<5195e>4>ci2wp8?850;296?7??l0:4il4}z612?6=8381=59k:0:gg>{|<;<1<7>52;3;3f<60mn0qv:=6;294?4=91=i6<6ke:x070=83:1>7?77`829:7>50;095=1>282n<6st43494?6=:3;3;54>8d38y~25>3:1<7<51954>4>b:2wp8?850;296?7???0:4h=4}z612?6=8381=;m::0:f0>{|<;<1<7>52;35ac<60l?0qv:=6;294?4=9>h:6<6j6:x070=83:1>7?8f782<`19:7>50;095=5e282n46st43494?6=:3;34=4>8d;8y~25>3:1<7<516:b>41>02wp8?850;296?70ko0:;ij4}z612?6=8381=5?;:0:16>{|<;<1<7>52;3;1<<60?=0qv:=5;294?4=90=;67?997>50;095<>22::0qv:=5;294?4=902h6>94}z611?6=8381=47<:2g8y~25=3:1<7<518;b>13997>50;09537997>50;0952b997>50;09521>0qv:=5;294?4=90o;65l4}z611?6=8381=4k8:808y~25=3:1<7<518gf>997>50;095<`22h:0qv:=5;294?4=90lh6l94}z611?6=8381=l><:`g8y~25=3:1<7<51`2b>g3997>50;095d762ki0qv:=5;294?4=9h;36n=4}z611?6=8381=l?i:bc8y~25=3:1<7<51`05>a7997>50;095d4c2m20qv:=5;294?4=9h9?6ih4}z611?6=8381=l=m:d48y~25=3:1<7<51`61>`b997>50;095d2>2o>0qv:=5;294?4=9h?;6kl4}z611?6=8381=l;8:021?x}3:<0;6=4=:0c6a?7712wp8?;50;296?7f><0:==5r{506>5<72;0:m;m51058y~25=3:1<7<51`50>47b3tq?>84?:181>4g0i3;996st43794?6=:3;j4<4>2d9~142290;6?4>a9:9561997>50;095d>a28>;7pu;2483>5<528k2:7?;9:x073=83:1>7?n9e8217=zs=8>6=4?:382ed2=9{|<;?1<7>52;3bf<<6?91vw9<::183>7<6ij:1=:94}z611?6=8381=lm8:05f?x}3:<0;6=4=:0c`a?7?=2wp8?;50;296?7fl<0:4n5r{506>5<72;0:mim51818y~25=3:1<7<51`g0>4?f3tq?>84?:181>4gbi3;j=6st43794?6=:3;jj<4>a99~142290;6?4>ag:95d`997>50;095d`a28h=7pu;2483>5<528h;:7?md:x073=83:1>7?m0e82g1=zs=8>6=4?:382f42=9jh0qv:=5;294?4=9k;i6{|<;?1<7>52;3a62<6ll1vw9<::183>7<6j;h1=h<4}z611?6=8381=o=?:0g4?x}3:<0;6=4=:0`00?7bj2wp8?;50;296?7e;00:j=5r{506>5<72;0:n>j51g68y~25=3:1<7<51c61>4`>3tq?>84?:181>4d3>3;mh6st43794?6=:3;i8o4=039~142290;6?4>b5d9650997>50;095g332;:i7pu;2483>5<528h>477?m5e8151=zs=8>6=4?:382f37=:820qv:=5;294?4=9k<=6??k;|y760<729096{|<;?1<7>52;3a2c<5:?1vw9<::183>7<6j>91>?o4}z611?6=8381=o97:30e?x}3:<0;6=4=:0`4g?44;2wp8?;50;296?7e0809?55r{506>5<72;0:n5;522a8y~25=3:1<7<51c:b>7263tq?>84?:181>4d?m38?96st43794?6=:3;i5>4=4`9~142290;6?4>b85961c997>50;095g?d2;?87pu;2483>5<528hj<7<:7:x073=83:1>7?ma4811f=zs=8>6=4?:382fd?=:?:0qv:=5;294?4=9kkn6?8:;|y760<729096{|<;?1<7>52;3af2<5>l1vw9<::183>7<6jkh1>:<4}z611?6=8381=oli:355?x}3:<0;6=4=:0``6?4fk2wp8?;50;296?7ek<09mk5r{506>5<72;0:nn752c18y~25=3:1<7<51cag>7d03tq?>84?:181>4dc:38io6st43794?6=:3;ih;4=c19~142290;6?4>be`96f3997>50;095gba2;i27pu;2483>5<528hn877?me981`7=zs=8>6=4?:382f`b=:m=0qv:=5;294?4=9kl:6?jm;|y760<729096{|<;?1<7>52;3abd<5m=1vw9<::183>7<6jol1>h74}z611?6=8381=n><:3gg?x}3:<0;6=4=:0a35<72;0:o7`a3tq?>84?:181>4e6i39;86st43794?6=:3;h=h4<099~142290;6?4>c31975b997>50;095f4f2:;=7pu;2483>5<528i8=7=>f:x073=83:1>7?l39806==zs=8>6=4?:382g6`=;:;0qv:=5;294?4=9j>=6>=n;|y760<729096{|<;?1<7>52;3`11<47<6k5<72;0:o:9536;8y~25=3:1<7<51b5f>6>53tq?>84?:181>4e?=39356st43794?6=:3;h4n4<919~142290;6?4>c8197<1997>50;095f?f2:3n7pu;2483>5<528ij=7=n5:x073=83:1>7?la980ef=zs=8>6=4?:382gd`=;k90qv:=5;294?4=9jh=6>ln;|y760<729096{|<;?1<7>52;3`g1<4k11vw9<::183>7<6kjh1?nh4}z611?6=8381=nj=:2f5?x}3:<0;6=4=:0ag=?5cl2wp8?;50;296?7dm908i95r{506>5<72;0:oh953d`8y~25=3:1<7<51bgf>6`53tq?>84?:181>4ea=39m56st43794?6=:3;hjn4;019~142290;6?4>d119051997>50;095a6f2=:n7pu;2483>5<528n:=7:>5:x073=83:1>7?k19875f=zs=8>6=4?:382`4`=<;90qv:=5;294?4=9m8=69{|<;?1<7>52;3g71<3;11vw9<::183>7<6l:h18>h4}z611?6=8381=i:=:565?x}3:<0;6=4=:0f7=?23l2wp8?;50;296?7c=90?995r{506>5<72;0:h89544`8y~25=3:1<7<51e7f>1053tq?>84?:181>4b1=3>=56st43794?6=:3;o:n4;719~142290;6?4>d619021997>50;095a1f2==n7pu;2483>5<528n3=7:75:x073=83:1>7?k89876=4?:382`=`=<090qv:=5;294?4=9m3=697n;|y760<729096{|<;?1<7>52;3ge1<3i11vw9<::183>7<6lhh18lh4}z611?6=8381=il=:5`5?x}3:<0;6=4=:0fa=?2el2wp8?;50;296?7ck90?o95r{506>5<72;0:hn;54b;8y~25=3:1<7<51eaa>1ea3tq?>84?:181>4bc93>o96st43794?6=:3;oh;4;d`9~142290;6?4>dea90`6997>50;095ac52=o=7pu;2483>5<528nn;7:jb:x073=83:1>7?kee87b4=zs=8>6=4?:382`c5={|<;?1<7>52;3f41<2811vw9<::183>7<6m9319=j4}z611?6=8381=h>k:432?x}3:<0;6=4=:0g25?36=2wp8?;50;296?7b9?0>=l5r{506>5<72;0:i0443tq?>84?:181>4c5;3?9;6st43794?6=:3;n>54:2b9~142290;6?4>e3a9166997>50;095`562<9>7pu;2483>5<528o897;<9:x073=83:1>7?j3`867`=zs=8>6=4?:382a6c===80qv:=5;294?4=9l>868:8;|y760<729096{|<;?1<7>52;3f0f<2=91vw9<::183>7<6m<:198:4}z611?6=8381=h;::47:?x}3:<0;6=4=:0g6=?32l2wp8?;50;296?7b=l0>:?5r{506>5<72;0:i;<55748y~25=3:1<7<51d44>00e3tq?>84?:181>4c1j3?=j6st43794?6=:3;n;=4:759~142290;6?4>e65912b997>50;095`1b2<2=7pu;2483>5<528o397;7f:x073=83:1>7?j8b86===zs=8>6=4?:382a<5==h;0qv:=5;294?4=9l3j68on;|y760<729096{|<;?1<7>52;3fe=<2jj1vw9<::183>7<6mhl19n;4}z611?6=8381=hl9:4af?x}3:<0;6=4=:0ga`?3c?2wp8?;50;296?7bk=0>i=5r{506>5<72;0:inl55d58y~25=3:1<7<51df1>0cb3tq?>84?:181>4cc13?m96st43794?6=:3;ni=4:fb9~142290;6?4>ed59255997>50;095`cb2?:j7pu;2483>5<528om978>1:x073=83:1>7?jfb855==zs=8>6=4?:382b55=>8l0qv:=5;294?4=9o:36;<;;|y760<729096{|<;?1<7>52;3e51<1;91vw9<::183>7<6n831:>;4}z611?6=8381=k?i:71a?x}3:<0;6=4=:0d11?0392wp8?;50;296?7a:h0=8;5r{506>5<72;0:j>>565a8y~25=3:1<7<51g15>3353tq?>84?:181>4`4j3<>;6st43794?6=:3;m8<495e9~142290;6?4>f559235997>50;095c2d2?<37pu;2483>5<528l><789c:x073=83:1>7?i558535=zs=8>6=4?:382b0?=>>?0qv:=5;294?4=9o?o6;96;|y760<729096{|<;?1<7>52;3e23<10;1vw9<::183>7<6n?h1:594}z611?6=8381=k8i:7:a?x}3:<0;6=4=:0d40?0>82wp8?;50;296?7a?10=595r{506>5<72;0:j:j568;8y~25=3:1<7<51g:2>3?c3tq?>84?:181>4`?>36st43794?6=:3;m4l49a79~142290;6?4>f9d92dd997>50;095c?42?km7pu;2483>5<528l2478m4:x073=83:1>7?i9b85f==zs=8>6=4?:382bd7=>kn0qv:=5;294?4=9ok>6;m>;|y760<729096{|<;?1<7>52;3ee`<1kh1vw9<::183>7<6nk91:nh4}z611?6=8381=kln:7f;?x}3:<0;6=4=:0d`5?0b92wp8?;50;296?7ak10=il5r{506>5<72;0:jnh56g18y~25=3:1<7<51gf5>3`d3tq?>84?:181>4`cl3=;96st43794?6=:3;mi9480d9~142290;6?4>fd`9341997>50;095c`52>8;7pu;2483>5<528lm579=9:x073=83:1>76=4?:381451=?:h0qv:=5;294?4=:9:n6::=;|y760<729096?>>5;57=>{|<;?1<7>52;035f<0=91vw9<::183>7<58;91;894}z611?6=8381>=5<72;09<>h57618y~25=3:1<7<52165>21f3tq?>84?:181>763j3=997>50;09653d2>3;7pu;2483>5<52;:=>7966:x073=83:1>76=4?:38143b=?h;0qv:=5;294?4=:9=86:o8;|y760<729096?>89;5b`>{|<;?1<7>52;033`<0j;1vw9<::183>7<581>1;o64}z611?6=8381>=6n:6`f?x}3:<0;6=4=:32;b?1d;2wp8?;50;296?471:05<72;09<4957b`8y~25=3:1<7<521;`>2b73tq?>84?:181>76f83=o86st43794?6=:38;m848d89~142290;6?4=0`;93ab997>50;0965gb2>o97pu;2483>5<52;:i>79j6:x073=83:1>76=4?:3814gd=?ll0qv:=5;294?4=:9i;6:h;;|y760<729096?>l4;5e<>{|<;?1<7>52;03g<<0nm1vw9<::183>7<58jn14=?4}z611?6=8381>=j=:925?x}3:<0;6=4=:32g2?>7i2wp8?;50;296?47lk035<72;09=7?3tq?>84?:181>76b032:o6st43794?6=:38;ii47209~142290;6?4=0g39<73997>50;0965`1218j7pu;2483>5<52;:mh76<3:x073=83:1>7<>058;7f=zs=8>6=4?:38155d=0=?0qv:=5;294?4=:8;965:j;|y760<729096??>9;:63>{|<;?1<7>52;026591vw9<::183>7<59;=14;74}z611?6=8381><0j2wp8?;50;296?46;j03495r{506>5<72;09=9=589f8y~25=3:1<7<5206b>=?13tq?>84?:181>7729322h6st43794?6=:38:9547a59~142290;6?4=14d9997>50;09640121h97pu;2483>5<52;;=h76m9:x073=83:1>7<>758;g5=zs=8>6=4?:38152d=0j=0qv:=5;294?4=:82965mj;|y760<729096??79;:g1>{|<;?1<7>52;02<`7<590>14h>4}z611?6=8381><7n:9g5?x}3:<0;6=4=:33:b?>bj2wp8?;50;296?46i<03j<5r{506>5<72;09=ll58g58y~25=3:1<7<520`3>=`d3tq?>84?:181>77e>33;>6st43794?6=:38:nn46099~142290;6?4=1b39=5b997>50;0964e020;87pu;2483>5<52;;hh77>9:x073=83:1>7<>d38:5`=zs=8>6=4?:3815a0=1;80qv:=5;294?4=:8nj64<9;|y760<729096??kf;;1f>{|<;?1<7>52;02a6<>:o1vw9<::183>7<59l215>:4}z611?6=8381>5<72;09=ko59548y~25=3:1<7<520df><2f3tq?>84?:181>747;33?j6st43794?6=:389<:46529~142290;6?4=21a9=0>997>50;09677720?h7pu;2483>5<52;8:97791:x073=83:1>7<=188:20=zs=8>6=4?:38164c=1?k0qv:=5;294?4=:;89648j;|y760<729096?<=7;;47>{|<;?1<7>52;016g<>?>1vw9<::183>7<5:::15:m4}z611?6=8381>?=;:8:3?x}3:<0;6=4=:300=???=2wp8?;50;296?45<9024h5r{506>5<72;09>9959858y~25=3:1<7<5236f>84?:181>742=33j56st43794?6=:3899n46b39~142290;6?4=2719=gd997>50;09670f20i?7pu;2483>5<52;8<=77ld:x073=83:1>7<=798:`3=zs=8>6=4?:38162`=1ml0qv:=5;294?4=:;2=64k7;|y760<729096?<7d;;e5>{|<;?1<7>52;01=1<>n11vw9<::183>7<5:0h15kh4}z611?6=8381>?o=:`25?x}3:<0;6=4=:30b=?g7l2wp8?;50;296?45j90j=95r{506>5<72;09>o95a0`8y~25=3:1<7<523`f>d453tq?>84?:181>74d=3k956st43794?6=:389on4n319~142290;6?4=2e69e6>997>50;0967bf2h9n7pu;2483>5<52;8oi7o;2:x073=83:1>7<=e58b0==zs=8>6=4?:3816`>=i=i0qv:=5;294?4=:;oh6l;?;|y760<729096?{|<;?1<7>52;01b17<5:o21m8m4}z611?6=8381>?hl:`43?x}3:<0;6=4=:3134?g1<2wp8?;50;296?448=0j:55r{506>5<72;09?=75a7f8y~25=3:1<7<5222e>d143tq?>84?:181>756>3k997>50;0966402h2i7pu;2483>5<52;99o7o60:x073=83:1>7<<308b=0=zs=8>6=4?:381761=i0h0qv:=5;294?4=::9o6lo>;|y760<729096?=;3;cb3>{|<;?1<7>52;000g7<5;<81mo84}z611?6=8381>>;6:``g?x}3:<0;6=4=:3155?gd=2wp8?;50;296?44>10jon5r{506>5<72;09?;h5ae18y~25=3:1<7<52254>dbe3tq?>84?:181>750k3kn<6st43794?6=:3884>4ne69~142290;6?4=39:9e`e997>50;0966>c2hl:7pu;2483>5<52;9297oi9:x073=83:1>7<<9e8a44=zs=8>6=4?:3817d5=j9=0qv:=5;294?4=::k26o>k;|y760<729096?=nf;`27>{|<;?1<7>52;00f07<5;kk1n>m?:c07?x}3:<0;6=4=:31`3?d5j2wp8?;50;296?44ko0i?>5r{506>5<72;09?i:5b2:8y~25=3:1<7<522f;>g5d3tq?>84?:181>75cm3h?>6st43794?6=:388i84m489~142290;6?4=3df9f07997>50;0966`52k?=7pu;2483>5<52;9m:7l:a:x073=83:1>7<6=4?:381055=j?=0qv:=5;294?4=:=:i6o8i;|y760<729096?:>0;`40>{|<;?1<7>52;07517<5<8k1n:k4}z611?6=8381>9<>:c:6?x}3:<0;6=4=:361=?d?l2wp8?;50;296?43:l0i5?5r{506>5<72;098><5b848y~25=3:1<7<5251b>g?b3tq?>84?:181>723:3hj:6st43794?6=:38?8l4mad9~142290;6?4=45g9fg4997>50;0961312khj7pu;2483>5<52;>>i7ll2:x073=83:1>7<;648ag<=zs=8>6=4?:38103g=jjo0qv:=5;294?4=:==96oj9;|y760<729096?:89;`g`>{|<;?1<7>52;073`7<5<1<1nho4}z611?6=8381>96k:cd2?x}3:<0;6=4=:36:6?da>2wp8?;50;296?431h0ijh5r{506>5<72;098l?5c178y~25=3:1<7<525c5>f6f3tq?>84?:181>72fm3i:>6st43794?6=:38?n84l189~142290;6?4=4cc9g4c997>50;0961e52j8=7pu;2483>5<52;>h57m=d:x073=83:1>7<;cd8`77=zs=8>6=4?:3810a0=k:k0qv:=5;294?4=:=no6n:>;|y760<729096?:j2;a72>{|<;?1<7>52;07a37<59h<:b74?x}3:<0;6=4=:36ef?e2n2wp8?;50;296?428:0h::5r{506>5<72;099=95c7`8y~25=3:1<7<5242e>f143tq?>84?:181>736<3i<46st43794?6=:38>=n4l819~142290;6?4=5369g=>997>50;09604?2j2h7pu;2483>5<52;?8<7m64:x073=83:1>7<:348`=<=zs=8>6=4?:38116b=kh;0qv:=5;294?4=:<>>6no6;|y760<729096?;;9;ab`>{|<;?1<7>52;06147<5=<<1ooo4}z611?6=8381>8;j:ba1?x}3:<0;6=4=:3752?edi2wp8?;50;296?42>00hoi5r{506>5<72;099;m5ce28y~25=3:1<7<5244e>fb43tq?>84?:181>730:3io:6st43794?6=:38>;84ld89~142290;6?4=56:9gae997>50;09601e2jnm7pu;2483>5<52;?7<:878`af=zs=8>6=4?:3811=b=ko?0qv:=5;294?4=:<3:6nh6;|y760<729096?;65;ae`>{|<;?1<7>52;06=<7<5=0n1h=;4}z611?6=8381>8o>:e2:?x}3:<0;6=4=:37b1?b7l2wp8?;50;296?42i00o=<5r{506>5<72;099lj5e238y~25=3:1<7<524`7>`5?3tq?>84?:181>73ej3o8j6st43794?6=:38>o?4j479~142290;6?4=5b;9a1b997>50;0960b72l??7pu;2483>5<52;?o;7k:b:x073=83:1>7<:dd8f27=zs=8>6=4?:3811`3=m?30qv:=5;294?4=:{|<;?1<7>52;06bd7<5>9;1i5;4}z611?6=8381>;>7:d:`?x}3:<0;6=4=:343b?c>;2wp8?;50;296?419?0n5l5r{506>5<72;09:`g?3tq?>84?:181>705j3ojj6st43794?6=:38=??4jb79~142290;6?4=62;9agb997>50;0963272li?7pu;2483>5<52;7<94d8f`1=zs=8>6=4?:381203=mmn0qv:=5;294?4=:??h6hk9;|y760<729096?893;gfb>{|<;?1<7>52;052d7<5>>;1j=?4}z611?6=8381>;97:g2b?x}3:<0;6=4=:344b?`6;2wp8?;50;296?410?0m=n5r{506>5<72;09:5j5f378y~25=3:1<7<527;7>c4b3tq?>84?:181>70>j3l8;6st43794?6=:38=m?4i419~142290;6?4=6`c9b1>997>50;0963d62o>m7pu;2483>5<52;7<9c08e1c=zs=8>6=4?:3812f3=n?90qv:=5;294?4=:?io6k8m;|y760<729096?8k4;d40>{|<;?1<7>52;05`<7<5>mo1j:k4}z611?6=8381>;k<:g:0?x}3:<0;6=4=:34ff?`?j2wp8?;50;296?41n;0m5?5r{506>5<72;09:ko5f8c8y~25=3:1<7<527df>c?b3tq?>84?:181>717:3lj>6st43794?6=:38<997>50;09627>2oh27pu;2483>5<52;=9=7hl1:x073=83:1>7<8288eg<=zs=8>6=4?:381367=nm;0qv:=5;294?4=:>926kj6;|y760<729096?9;1;df5>{|<;?1<7>52;040<7<5?<;1jk?4}z611?6=8381>:;6:gd:?x}3:<0;6=4=:3555?77881vw9<::183>7<5??<1==>9;|y760<729096?99b;334g=zs=8>6=4?:381325=99;87pu;2483>5<52;=84?:181>71??3;;>:5r{506>5<72;09;5k5110f?x}3:<0;6=4=:35:7?77;:1vw9<::183>7<5?0h1===m;|y760<729096?9n3;3306=zs=8>6=4?:3813d0=99>=7pu;2483>5<52;=j57??489~142290;6?4=7`a9552d3tq?>84?:181>71fn3;;8k5r{506>5<72;09;o<51171?x}3:<0;6=4=:35a1?77=<1vw9<::183>7<5?k21==;7;|y760<729096?9mb;331g=zs=8>6=4?:3813gc=995<52;29h7?>059~142290;6?4=8269546e3tq?>84?:181>7>4j3;:=?5r{506>5<72;0949<5103:?x}3:<0;6=4=:3:7=?76:91vw9<::183>7<50<:1=<<8;|y760<729096?6:7;326`=zs=8>6=4?:381<0c=989>7pu;2483>5<52;2=97?>3b9~142290;6?4=87a954243tq?>84?:181>7>0;3;:8l5r{506>5<72;094:o51072?x}3:<0;6=4=:3:;5?76=11vw9<::183>7<50121=<;i;|y760<729096?67f;3223=zs=8>6=4?:381<<0=985<52;22h7?>759~142290;6?4=8`69541e3tq?>84?:181>7>fj3;:4?5r{506>5<72;094o<510;4?x}3:<0;6=4=:3:a=?761l1vw9<::183>7<50j:1=6=4?:3815<52;2o97?>b`9~142290;6?4=8ea954e63tq?>84?:181>7>b;3;:o55r{506>5<72;094ho510ae?x}3:<0;6=4=:3:e5?76l?1vw9<::183>7<50o21=6=4?:381=56=98o>7pu;2483>5<52;3;97?>e`9~142290;6?4=91;954cb3tq?>84?:181>7?693;:j;5r{506>5<72;095<7510df?x}3:<0;6=4=:3;2b?758=1vw9<::183>7<51;=1=?>l;|y760<729096?7=b;3155=zs=8>6=4?:381=65=9;;h7pu;2483>5<52;38n7?=2b9~142290;6?4=9519575d3tq?>84?:181>7?313;98?5r{506>5<72;0958>51375?x}3:<0;6=4=:3;67<51?:1=?89;|y760<729096?798;312`=zs=8>6=4?:381=26=9;==7pu;2483>5<52;3<:7?=7b9~142290;6?4=96`957>63tq?>84?:181>7??93;94:5r{506>5<72;09558513:`?x}3:<0;6=4=:3;;=?750o1vw9<::183>7<511i1=?7=;|y760<729096?77f;31=0=zs=8>6=4?:381=<4=9;337pu;2483>5<52;k;=7?<6`9~142290;6?4=a119560d3tq?>84?:181>7g7=3;8:h5r{506>5<72;09m=951253?x}3:<0;6=4=:3c3=?74?;1vw9<::183>7<5i9h1=>9;;|y760<729096?o?d;3033=zs=8>6=4?:381e5`=9:=37pu;2483>5<52;k:=7?<7`9~142290;6?4=a01962?9;7>50;096d732;=i7pu;2683>5<52;k:97<8a:x073=83:1>7o1>5m529g96<29;7>50;096d7a2;287pu;2683>5<52;k9<7<68:x071=83:1>7>:510;3>47?12wp8?;50;290?4f>80:57?8:0336>{|<;?1<7>54b81e34=l8?1h<75d0f9`77=l;?1h?75d3f9`67=l:?1h>75d2f9`17=l=?1h975d5f9`07=l?1h:75d6f9`=7=l1?1h575d9f9`<7=l0?1h475d8f9`d7=lh?1hl75d`f9`g7=lk?1ho75dcf9`f7=lj?1hn75dbf9`a7=lm?1hi75def9``7=ll?1hh75ddf9`c7=lo?1hk75dgf9a57=m9?1i=75e1f9a47=m8?1i<75e0f9a77=m;?1i?75e3f9553b28:==7??65824316239:;<=:?;123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0123456789:;<=>?0028456789:;<=>?012255=789:;<=>74333456682:;<=>?092345678920<=>?81230>6781;;7=>>002240729<;>=?5?15935563398;<95?8127?5>FM=1;5<6>0:2:=56789:;<=>?0133?5?>89:;<=>?01;:44=6991:==>?0122456789:?740c8564:35>LHW]]0OELJF<0794;7338<1EC^ZT;FJFAO;9<0;2<<4178JJUSS2mce0<;50?36?40=G\^[YY4KO@FL843=87;>7<85OTVSQQ10364D6789:?>6?W1:024>45:98;>=?<=AGDEBC@AN=19>?:>0:01B745N;LMJK<=F337?70>7GAPTV9@LVEL@Z7=7>11291>LHW]]0\ILYJL=394;743;0BB][[:VGAS@S;93:5=85=:NWWTPR=LFZJHB^31;2=50=52F__\XZ5DNRA@JV;93:546=LCBCBED773=:MJKH;012ABG@7811?==>;00224>23<=>?8=H;F5D7B5723=0DYY^ZT;r`iZir|591<3:44G6755=3N=>MJKH;F567B1253<>:<6;:8C76<=3201?>45?46395E1=1IHK37;ONA@CBE46<>HKJMLONA@CBEDGFm298::54083C773>LMJKHIFGDEBC@AN813>66?119;456789:;<=>?0127?=439m13?#=<3210765499134567D97;<=>?01?:8665OTVSQQ893974;=;8C7?6O72:C:0>G>1020MLO6A8C:55=FIHKJMLONA@CBED>?3HKJNLON8028EDCFIHKJM=KNA1CBf>GCL[K\^LJKRb9B@ATF_[KOH_>l;@FGVDQUIMNY=i5NDEPBSWGCL[;;h6OKDSCTVDBCZ8;o7LJKR@UQEABU9;i0MIJ]AVPB@AT5k2KOH_OXR@FGV6eGCL[K\^LJKR4a8EABUI^XJHI\9c:CG@WGPZHNO^:m4AEFQERTFLMX3o6OKDSCTVDBCZ0o0MIJ]AVPB@AT;87l0MIJ]AVPB@AT;994m7LJKR@UQEABU48;5=<5NDEPBSWGCL[6:>7>1f:CG@WGPZHNO^1?=>d9B@ATF_[KOH_2>>d9B@ATF_[KOH_2=>d9B@ATF_[KOH_2<>d9B@ATF_[KOH_2;>d9B@ATF_[KOH_2:>d9B@ATF_[KOH_29>d9B@ATF_[KOH_28>d9B@ATF_[KOH_27>d9B@ATF_[KOH_26>c9B@ATEZMKOH_m4AEFQFWBFLMX;o6OKDS@Q@DBCZ8n0MIJ]BSFB@AT68m1JHI\MRECG@W76l2KOH_L]D@FGV44d3HNO^O\KAEFQ6f=FLMXI^IOKDS1`?DBCZKXOMIJ]4b9B@ATEZMKOH_;l;@FGVGTCIMNY:n5NDEPAVAGCL[=h7LJKRCPGEABU0j1JHI\MRECG@W?b3HNO^O\KAEFQ858a3HNO^O\KAEFQ8469n2KOH_L]D@FGV97668;0MIJ]BSFB@AT;9;0;2k5NDEPAVAGCL[6:>3k4AEFQFWBFLMX7=3k4AEFQFWBFLMX7>3k4AEFQFWBFLMX7?3k4AEFQFWBFLMX783k4AEFQFWBFLMX793k4AEFQFWBFLMX7:3k4AEFQFWBFLMX7;3k4AEFQFWBFLMX743k4AEFQFWBFLMX753k4AEF\CIIEIEU[EC=4AEP24>GBIHKJMLOJA@2B4D773HOJILK?C@0B6D478:1J@A;4AR[MG<=F[PDHS_KH3:@216=E9?;;7OLMBC@AB=>?0123<>5MUG68FP@@n2H^JJQCIOIP[AEJ[?1IYKG@299AQCOH:OH:<6LZIMF\PDT@M]KYOE64BTQ\MK@H92I:<6M?412@415799:8<<=4C070?F71j2IJ\LMFT@L@A==DK:IJMLO>0:A@GF5DKJKJMLONA@33?FEDKJIH5NML9BA@=7=DM880OEKLK^NJG@HTMV^R\H<4CH78GNDRN>1H@D^_EE33?FJNXYOOSY\NSIABJ6=DDB>0OAE?6:AOO4>7>2IGG?9?5:AOO7^?3JF@>U?7049@HN?7=2IGGL?8;BNHE4B5?2IGGL?K469@HNG6L130OAENWSAOO0=DDBH:56MCKCPGGIM23JF@O<;4CMIG50=DDBN]o6MCKET\@LPNLL?0OAEIB49@HN@_02IGGKV>81a8GIMAPVNBZDJJe:AOOC^XE\F_E]BV5:AOOLH692IGGD@PDHTJ@@YEQV8h7NBDIO]SAWOHL880OAE@UU]SLDUBWZBBJYm4CMI\B@CCJHI@?6MCR89@KHKN\]OO:6M@NBWQ<>EHFZ^JBY?>;BMMWQGI\V^YM^FLAO37?FIUMVMNBH\NTHMM[LHAG>1H^HO[EE18GQJ23J^GKB94CUNNKAC03J^GC^M>7:AWHJUD:>1HXA]JL158GQJTME;<7NZCSDN15>B33M?32NBMIG>7:FJEAO68>1OELJF1058@LGCA88<7IGNDH303>BNIMC:8:5KI@FJ50019:FJEAO;99427IGNDH>25;?89GMDBN489556JFAEK?518e3MCJHD2>5;2==>BNIMC7=807;EKB@L:6611OELJF<3<;?AOFL@68255KI@FJ818?3MCJHD2:>99GMDBN4?437IGNDH>4:==CAHNB0507;EKB@L:>6<1OEOJF6:FJFAO7>2NBNIG>7:FJFAO68>1OEOJF1058@LDCA88<7IGMDH303>BNJMC:8:5KICFJ50019:FJFAO;99427IGMDH>25;?89GMGBN489556JFBEK?518e3MCIHD2>5;2==>BNJMC7=807;EKA@L:6611OEOJF<3<;?AOEL@68255KICFJ818?3MCIHD2:>99GMGBN4?437IGMDH>4:==CAKNB0507;EKA@L:>6>1OE]OKIQ:8@LVFL@Z;46JFP@FJT4g1a:FJTDBNX5;5;6JFPCFJT==CAYHOE]>7;EKSFAOW9h1OE]LKIQ>3:f=CAYHOE]2>:194DNCGK4203MEJHB?:6:FLEAI5>2NDMIA<6:FLEAI3>2NDMIA:6:FLEAI1>2NDMIA86:FLEAI?>2NDMIA68:FLEAI;8730HBOKO=33:<=CGHND027;?c9GKDBH48?1<374DNCGK972611OCLJ@<0<;?AIFLF69255KO@FL868?3MEJHB2;>99GKDBH4<437IANDN>5:==CGHND0:07;EMB@J:?611OCLJ@<8<4?AIFW[OL96J@BEM5?AIELF:=7IAMDN34?AIELF;;;6J@BEM252=CGKND=?94DN@GK4503MEIHB?;7:FLFAI6=?1OCOJ@279GKGBH;?1OCOJ@479GKGBH=?1OCOJ@679GKGBH??1OCOJ@879GKGBH111OCOJ@<1<:?AIELF6:<374DN@GK976601OCOJ@<00==>BHJME7=>06;EMA@J:6<7h0HBLKO=36>58>3MEIHB2>5?:8@JDCG5;546J@BEM?6;>BHJME7:364DN@GK91902NDNIA38?:8@JDCG535;6J@B^PFC<=CGG^TOL\J7:FLTDBHX11OC]OKOQ2;?AIWIME[=l5KOQCGKU:76j1OC]OKOQ>2>58f3ME[MIA_<0<4?AIWJME[46J@PCFLT5>a:FLTGBHX5:5o6J@PCFLT97=87k0HB^MDNR?5;c;D08A1>1NBO\KEO`8AKYU[]XJAROm;DL\VVRUIDUI=<5JXQCM@@BXJJNTJDBJ159F\UGILLNTOB@\TSCJJQU692OS\L@KEE]JW@YAAEO37K>NA2ABE6=A9<90J<8=;G01?C233O<=J55I67DEBC@53O=j7KOLTNPZ[O@23OKG_H<4FE18BAE33ONHI85IDBRF7>@CM:1MH]:4FERF1>@CXV;87KJ]4:DGV@3@BMLLNJH??0133554@ANO8J>?4FGDEBC@AIHKJM5ON119EBC@ANOLMJKHNA@:;?COKMGKFIi5IIMGMEHCXZFE_86H]AF`8BWG@KF\N^HJn;GPBCZDF[LNi7K\NG^ALJCO@i2LYMJQLOTLW=>@UMLPNHK]6;GPFA_CCDE20J_AB_TAE5>A6<2MNBH\NTDF#TJUS%OFBBY?=;FGMAWGSMM*[C^Z"G220?BHC=2MYCX^>;H08M540EO[I5:KAQCA682CIYKQKEMCZ[SGK]Li0EO[I_MMT[UQU:2CE?6GAA29JJG>97:KMMQY7?>1BBDZP0958MKOSW93<7D@FT^2B3>OIA]U;N:5FNHV\4F11BBDZP1958MKOSW83<7D@FT^3B3>OIA]U:N:5FNHV\5F1F79JJLRXI?1BBDZPB89JJLRXN@FNj6GASDPWAAYE]OCD>OI^LX_II64IOTFVQCUl2CEZH\[_HLSQQUb3@DTBJJPAOF\FFB43@EI96G@CMI5?LIDGDZ=7DA[YQG7?LVFL11BSD@YESV0?O73;2@::?5CI69OMGTFZP<0@BOKEE18HJE13EEHGHJ8;MMDMFGK;2FD[>5CUU68HPR6<2F^X?:4LTV00>JR\=>0@XZ:4:NVP3dKKWNOEI_O[EE]SKVR*O[KEEYK:;LQDKK57@[WCX78IP^A?j1Fmga}Vdppmjh5:2Ghcx`{_bnh[4korViexRv`r=2=54YNF_U;=n5BcnwmpZekcV;fdwQlnu]{kw:668;:o6Clotlw[fjlW8gcvRmat^zlv94998;h7@m`uov\gimX9dbqSn`{_ymq868698i0Anaznu]`hnY6eapToczPxnp?0;769j1Fob{at^aooZ7j`sUhbyQwos>6:47612Ghcx`{_hfoaZeh}g~n~Rmv<1<2e>Kdg|dSdjce^alqkrbzVir0<>11e9Ngjsi|Vco`hQlotlwawYdq5;;2R|{1`9Ngjsi|Vco`hQlotlwawYdq5;:2^pw5d=JkfexRgkld]`kphsm{Uhu1?:>0f8Ifirf}UbhakPcnwmp`tXkp6:93Q}t0c8Ifirf}UbhakPcnwmp`tXkp6::3?k;LalqkrXamfnSnaznugq[f;9?4T~y?6;LalqkrXamfnSnaznugq[f;97;h7@m`uov\majbWje~byk}_b{?5;Yu|830Anaznu]j`icXkfexh|Pcx>1:4e^pw5<=JkfexRgkld]`kphsm{Uhu1=11b9Ngjsi|Vco`hQlotlwawYdq595Sz>9:O`kphsW`ngiRm`uovfvZe~4=4:o6Clotlw[lbkmVidyczjr^az818Xz};27@m`uov\majbWje~byk}_b{?1;7d3DidyczPienf[firf}oySnw35?]qp4?0a8Ifirf}UbhakPcnwmp`tXkp6=2R|{189Ngjsi|Vco`hQlotlwawYdq5=5=n5BcnwmpZocdlUhcx`{es]`}919W{~:56Clotlw[lbkmVidyczjr^az8=86k2Ghcx`{_hfoaZeh}g~n~Rmv<9<\vq7>3DidyczPienf[firf}oySnw39?3`?Heh}g~Teibj_bmvjqcuWjs753Q}t0c8Ifirf}UbhakPcnwmp`tXd|~7<327;7e3DidyczPienf[firf}oySua}<06=5g=JkfexRgkld]`kphsm{Usc2>5?3a?Heh}g~Teibj_bmvjqcuWqey0<811c9Ngjsi|Vco`hQlotlwawYg{6:;3?j;LalqkrXamfnSnaznugq[}iu48=5Sz>a:O`kphsW`ngiRm`uovfvZ~hz5;5=l5BcnwmpZocdlUhcx`{es]{kw:568k0Anaznu]j`icXkfexh|Pxnp?7;7f3DidyczPienf[firf}oySua}<5<2e>Kdg|dSdjce^alqkrbzVrd~1;11`9Ngjsi|Vco`hQlotlwawYg{6=2Knffx]i}foo08J54;;O3341=I99;?7C??229M57587C?72:L17>H58:1E>;=4N3:1?K543G9??6@<529M7355A4518J1343G>=?6@;729M0=5H29:1E9?=4N410?K33;2D>9>5A5718J0143G?3?6@:939M26=I>990B;?<;O417>H1;:1E:9=4N770?K01;2D=4>5A7318J2153G287C6=3:L;76=I0<90B59<;O::7>H>9:1E5>=4N870?K?0;2D24>5A98;8JGYE]ZCOTl5AB^@VWKGJM>1EIYY@RJ68JJHB02DDXR^GYR38K3=H&?;sh55@.73{`ZJa3F7#$D>%~H3*/L4.9=1D1%&F0AK2-..N:ItB?$'>e:M>,-O7HwC:%'&'I3BJ7,,/A= B9$'&1g9L9-.N8ItB=$$'(H0CM6/- @>KrD;&)(37?J;/ @:KrD?&*)K1DL5.!8?0C0&'I1B}M4/- @8KrD=&)5`8K8./v@:!$%&qI0(J6,-/A8 #E?NqI5+*-/O4! !#E=$'()|J5/O5!""B='&F2A|J0,/.#wC8%$'<3:M>,-xN8#"#E%()K2D{O4!#"uE?$'I5(}M0/.! !#E=$'(H3CzL5."!C9&%G;*K6-,/.!:i0C0&'~H2),-O5"wC8%&&F4+|J1,/.#!C;&%pF1**+M7,yA:# $D:%~H7*-,/.;h1D1%&qI1(+,L4GA:# $D:O~H7*-,-/A9 #rD?$()K1DL5.#!C?LsG:)(+*-3e%()|J5/./A;JuE9'%~H7*-..N9#"#E?NqI5+)M0/.! !#E=$'(K2.-.N:ItB8$$'I2(}M0/.!""B='&'I3B}M1/- @9!E8'&)(+*<7=H5!"uE=$'(K2.-O5"!C8&%pF4+K6-,/.#!C:&%&qI3)+M7,/v@9 $D=%I5+*-,,N= #"%&&F0+*+zL7- !C9&%G<*K7-,-yA<#"'%G>*)|J6..yA:!#E9%qI4+*-,/.!?=0C0&'~H2),-xN9#"B>'&F3+K7-,/, @;!$%pF2**J6/xN; #!E9'&)()+M5,/ wC:&%&F2+K0-.xN< # $D?%(K1/-xN;"tB8$'&)(+1b>I: !tB<'&'~H3),L4- @9 rD:&)()+M4,N= #"'%G?*H7*-31%()|J5/.N:#"uE>$F4(+*/-O6"!"uE?%'I3(J7,/-A=#"%$%'I1(+,{O6"!"B>'pF3()}M1/.#!C:&%pF2**J7.xN< #"%$',-xN8#"#rD?%(H0)zL3.!""B='&F2**J7.O3! #"%&&F0+*J6/xN= #"8>5@=)*}M5,/ wC:&%G<@H6*-..N9#"#rD<%(H1CM1/.#!C9&D;&)(+*/-O7"!C8LD:&)(65?J;/ wC;&%&qI0(+M6FyA=#"'%G>*)*}M7,/A:JuE9'&+)K1.L3.! #"'%G?*)K0D{O3! #?o6A2()|J4/./v@;!$sG=*)K7.L3.! !B?$'$(H2),-xN9#"uE?$'~H1),L2-A<#"%$%'I0(}M6/.! >=7B3'(K3.-.yA8 #rD<%(K0.-xN<"tB9$'&)**J5/.N:""B?&&F4+K6-,/.! !#E=$F1(+61>I: !tB<'&'~H3)M0/, @;!$sG=*)|J7/.yA= B9$'&)(+(,L6- !tB='G:)**J5/.N:""B?&&F4*K6-,/.! #8o6A2()|J4/./v@;!E8'$(H3),{O5"!tB?'pF4(+*-,-/A9 #rD<%(H1)M1/.! >:7B3'(K3.-O6"!C9&%G<*)K7.L3.! #"'%G?*)|J5..yA;!#rD=$(K7/{O2! #"%$=9;N?+,{O7"!C:&%G=*)K0.L2.! # $D>%(K2/-xN:""uE>%qI5+*-,/5n2E6$%pF0+*J5/.N:#"uE>$F4(+*-..N8#"B>&&qI2)J1,/.!;h0C0&'~H2),L7- @8!E>'&)**J4/.yA8!#rD<$~H1*-,/5i2E6$%pF0+*J5/.yA; B?$'&+)K3.-.N9#tB>$%F3(+*0<=H5!"uE=$'I0(+zL4-A<#"%&&F0+*+zL7- @9 E9'&+)K2.-.yA; B9$%'I3(+M6-N< #"%$'&2b9L9-.yA9 #E<$'~H0)zL5.! !#E=$'(H3)zL4.#wC8%$'=b:M>,-xN8#"B='&qI3(}M6/.!""B<'&qI0(+M7-N; #"%?>4O<*+zL6- @;!E?'&+)K3.-xN9"tB>$'&3c9L9-.yA9 #E<%'(K1.L5.#!tB8'G:)(+*/-O7"!"uE?$F3()+zL2-A<#"%$?j;N?+,{O7"!C:'%G=*H1*-,-/A9 B8$'>f:M>,-xN8#"B=&&qI3(J7,/.#!C;&D:&)278K8./v@:!$D?$(K1/-xN;"C?%$'&+)K3.-xN9#"B>'&F3+K7-,/.!;90C0&'~H2),L4,A:#"'%G?*)K2/-O5#@9"%$'=f:M>,-xN8#"B8'G:)()+M5,/v@; $%pF2+K0-..N<#C>%$'&)3f8K8./v@:!$D:$I4+*/-O7"!C:'%G=+)K0/-O3#@?"%$'&)3`8K8./v@:!$sG>*)K1.L5.! !#E=$'I0)+zL4,v@9"%$'=5:M>,-xN8#"uE<$'I3BJ7,/.#!C;&%G>*K1-,/4=2E6$%pF0+*}M4,/v@8!$sG<*H6*-,/, @:!$D?$(H0(,L5,A=#"%$'<6:M>,-xN8#"uE<$'~H0),{O4#wC?%$'&+)K3.-O6#!C9'%G<*H6*-,/.:k1D1%&qI1(+zL7- wC9'sG<)(+(,L6- @; $D<%I2+*-,473F7#$sG?*)|J5/O5! !#E=$'I0)}M7/.!;:0C0&'~H2),{O6#wC9%$%'I1(+M4,N: #"?l5@=)*}M5,/v@8!$%pF3**J7/O2! uE9'&)**J4/.N9#"B>&&F3*K7-,/.!8>0C0&'~H2)M4/, @:!E?'&149L9-.yA9 B=$%'~H0)M6/.n2E6$%pF0+K2-.O5!830C0&'~H2)M6/, @:!$D?%I3+*-6c%I4+(,L6- !tB='G:)**J5/./v@8!E8'$(H0),L5, @> E8'&)(+*-,4>3F7#$sG?*H7*/-O7"!C:'%G=+)|J7..N<"C>%$'&)(0f?J;/ wC;&sG:)**J4/.yA8!#$sG=*)|J7/xN< # rD;&)(+2`>I: @:!$D?%(H0),L5- @>!E8'&)(+2=>I: @:!$D?%(H0),L5-v@>"%$'=0:M>,L6- @;!$sG=*)|J7/.yA= B9$'&)(37?J;/A9 #E<$'~H0)M6/.!8?0C0&F0+*J5/.yA; uE>'&)d9L9-O7"!C:&D<&)0f8K8.N8#"B=&&F2**J7..N<"C>%$'&)d9L9-O7"!C:'D<&)0g8K8.N8#"uE<$'I3)+M6,/A= B9$'&)(36?J;/A9 #rD?%(K1.L5.! 30C0&F0+K2-d=H5!C;&sG>)0f8K8.N8""B=&&F2**J7..N<#C>%$'&)0:8K8.N8""B=&&F2**J7.O3! #"56A2(H2(M4/>3F7#E=NF1(c8K8.N8ItB=$=m;N?+zL6- !tB='&'~H0),L5-A=#"'%G=*)|J7/xN< #"%&&F1+*J6/O4! #"?h5@=)|J4/./v@;!$%pF2+|J1,-/A; #rD=$(K7/{O2! #"%&&F1+*J6/xN= #"%?84O<*}M5,/ wC:&%G=+H1*-..N9#"uE?$qI2+*-,`%(K2.{O5! ;:7B3'~H2),{O6#wC9%$l4O<*}M5,yA8#:n6A2(K3/-xN9""uE?%'I2)J0,/.!;90C0&qI1)+zL7, wC9'%pF3**}M1-yA<#"%$'>0:M>,{O7#!tB=&G=)(32?J;/v@: $sG>+K1-,35_AE68TDTS=?1[I_G@D! `hnY6:dbq.-6<321-756789:;<-~\ IKDL%37&9:;<=>?01"&$LHW]]UAEYZJR!33*56789:;<=.S2c8T@TNGM*)oaeP1ljy&%7789:;<#>?0123456'xZ*CEJF/51,3456789:;,(=;;QGQMJB'*zigg/.>24234+6789:;<=>/pR"OKR&28':;<=>?012#!1c=!3;rP>MO@@3?;)7GAPTV\NLRSM[0:<7~\229SAWOHL3iggR?bh{824567890{_7FFGI864 7c3YOYEBJ5sbnh>44289:1|^4COV864 dVTKEA=7]]KOOG7?UUBF11[_D@LDDA15>VPZV>TcRmck^3nlYdf}Q>QRk~u`n\5Zi5=2Z\^R:Po^aooZ7j`sUhbyU:]^grqdjX9Ve793?>;P38V<=UIDH3NZK]8:PBIZKHLLk0^HOK_GKQWQ0THE:1Y_Y:4RRVB3>TT\KXXX:5]SUVZT@cd9Qavsk|5:5=RH]Bd9Qavsk|5;;2RH]Bd9Qavsk|5;:2RH]Bd9Qavsk|5;92RH]Bd9Qavsk|5;82RH]Bd9Qavsk|5;?2RH]Bd9Qavsk|5;>2RH]Bd9Qavsk|5;=2RH]Bd9Qavsk|5;<2RH]B89Qavsk|5;5i6\jstnw8486WOXI56\jstnw878b3[oxyaz32?3\BWD>3[oxyaz33?g8V`urd}682^DQFa=Umzgx171_GPA5>U03ZIJBB[[3:QGT6=TMEi0_H\KERQWVJDB;2YNX55\EUPFW@R43ZLM=<5\HSGD[@^SZHI_SCILe:QKV@AXE@DT_DTJ9:QJCZEH]G^:?6]FM^ALHIOTAFDTOEKLK`9PO@QDDBCE=l5\KDU@HNOI:?1XGHYFN69PO@QH]]=0_FKXRRV;?VMNXVZBB>5\NJ33?VV1WK_MEBQFNRGQP4e<[Y6]_6^KMWZDRN@E9JOQKCL]NHZDRN@E9JOQ>109PT3YJI[ATNXHH_EAN7>UUKm1X^NQGIDPBVFN^<2YY_Y94SSTBHZG03ZX]MAQM4:QPAQe<[]KYXX^PW@KW2>USI]_X;6][AUWP42=T\H^^_<94SUCWQV403Z^JXX]<7:QWEQST<>1XXLZZS458WQGS]Z<<7^ZNTTQ4=>USI]_X0=06;RVBPPU;9730_YO[UR>1:<=T\H^^_1=19:QWEQST4=427^ZNTTQ?1;?<[]K_Y^29>c9PPDRR[5=1<374SUCWQV:0611XX^OKRR2;?VRTIMXX=55\TRCGVV4?3Z^XMI\\399PPVGCZZ>37^ZZCJ]BP1=TQGI27^WAC^CWPW753ZSXXHCPSXL@LWIIG\Y:7Yh4T@PDAQYWI[^_U]K;;U@VB6=SLY20XH\BIOCO2>RNMFLM46ZFMDQSAF1<\GGTBHZ>3:VQEKUAG[GJXDAA_SWOAg=SZHDX\L\JNU18PWO?3]XB_YO[E59WVPCe3]YTXDCJSQG@2>RTW]DF=85[S^aooZ778dbqSdQlljd{g>RTWjf`S<3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$k}|.sqww*Kj}qUlmh hohld[dcjx}sT\Z\P32]l[HS_W0Ud=5Q\W15:?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.Onq}Y`il$lcd`h_`gntqXX^XT?>Q`_LW[[2628Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ54WfUFYUQ7_n3031=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW:9TcRCZX^:\k45X[^:<<6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#|k/fppw+tt|z%FaxvPgnkmcZcjx}sT\Z\P32]l[HS_W1Ud=99?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"Cbuy]dklh`Wlg{xtQ_WS]07ZiXE\RT4Ra>5628Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ54WfUFYUQ7_n3535=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW:9TcRCZX^:\k411m2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)`il$lcd`h_`gntqXX^XT?>Q`_LW[[01^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"i}sr,qwqu(ofcekRkbpu{\TRTX;:UdS@[W_9]l1g=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,vdkXmdzuRhm_h7;?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(o{yx"}{s.pbiZcjx}sTe9h4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qehYulVoeSd?:3:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wbXxg~yS}bzs0>3:0c<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%yhR~ats]shpu5494Tecx>_HLU[5343\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~iQnup\tist:5;5;=5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(EdsSjm>.bmvjq]4UVMEHR?70^m\ip~X:VE^X>?80:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%FaxvPgb3-gjsi|R9VSJ@K_0:3[jYj}qU9SB[[4076?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkr;:7?>7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idycz33?4`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zyd~"il1/alqkr\;TULBIQ>81]l[hsW;UDYY2=>7a8Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjq]4UVMEHR?70^m\ip~X:VE^X1=1559V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZ42<2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dS>;7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh=#m`uov\`4:66<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byQk1=0=1==R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&x{by| gb3-gjsi|Vn:0>0:7:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/pg+wvi|{%lo< lotlw[a7X9<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byQk1^063>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphsWm;T?864U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu]g6969=11^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj=<0<6<>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphsWm87>3;7;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,vuhsz&mh=#m`uov\`7:46<=0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!}povq+be6&je~byQk2^263>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!hc0,`kphsWm8T=894U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu]g6Z42?2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#~ats-dg4(dg|dSi xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&GfyuQhag,dklh`Whl~`aQHNE]2<=YhWD_SS<S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'n}x#y|tr-Nip~Xohl%kbgag^ceqijXOGNT=56Po^OV\Z75Wf;3;l5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.etwv(p{}y$A`{w_fce*binfnUjjxbc_FLG[4>?WfUFYUQ>2^m2o0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'Dg~tRinf/elmkaXiog`RIAD^3; xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| gvqp*rus{&GfyuQhag,dklh`Whl~`aQHNE]2<=YhWD_SS<?WfUFYUQ>1^m272><]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%l{~}!wrvp+HkrpVxjaRhzlm]`khdXOGNT=56Po^OV\Z76Wf;8S^Y?759V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} Mlw{[wgjWog`Rm`mc]DJAY601UdS@[W_03\k411n2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#jy|s/uppv)`io$lcd`h_`dvhiY@FMU:45Q`_LW[[44Xg<20Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!hwrq-svrt'{kfSlhzlm]j2a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,vdkXn|fgSnabb^EM@Z7?0VeTAXVP10]l1d=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,vdkXn|fgSklPi458Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)`zy%{~z|/scn[cskdVc?j6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/scn[rtXmgUb=:;4U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'Dg~tRilc1,dg4(dg|dW>SPGOF\5=0XgVg~tR!ws-ttkru'nih<#il1/alqkr;:7?j7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo< lotlw868092_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{atZ1^[BHCW82=SbQbuy]1[JSS4;4<=6[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmp^5ZWNDOS<69_n]nq}Y5WF__0>0:9:W3+}usWocmcRijndpbpjt(ojeezkgio/pe+bta&{l$kczy_np\w|hdWocmc#ic0/uq+rvi|{%lon>!gb3-gjsi|V8>56[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmpZ52l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f28482l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f28782l2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f28682k2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f2[43d3\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k8$hcx`{_e3\60e<]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/fa`4+ad9'idyczPd0]01a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2=2=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2=3=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2=0=1a=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2=1=1f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2^26g>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexRj=_07`?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*rt(yd~"ilc1,dg4(dg|dSiS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'miaj hbleb*kabkj$iaj!hn`vjr`djo'djxdxj_cnh[hcjWnoe#{ocie,`wqt(zhggcb~T0\,qeh(u'zS7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/ofi*aee'~f|R|nm^pg[`h2:2_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+kbe&mia#zbp^pbiZtcWld:?>5Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'{kfSjPeo10?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}al]tvZci;o1^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+wbXxg~y0<0Piot2[LHQW9>h7X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)u{}hgg"`hwsfqwq(`zz~%~iQ}su]qab:76=i0Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$yhR||t^pfc949S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)io~xo~~z!gsqw*rtXzz~T~hi31?6a?P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!}su`oo*h`{nyy hrrv-swYu{}UyijQ?4c9V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{olS<=k;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyij:?;T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.pg[wusWhyyijQk1528Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+wbXzz~Tm~|jg^f17f=R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/sqwfim(zmUyyQlol`0`>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRm`mc30b>S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h ws]sjqt;97Ubb{?PIOT\46=RKO<0YC[\EEa8QVCUW_CXEOBJb:WPAZBH]KFN^>5YCB30?SCUQVBBKEQIRDSVAKE^12\BIZQ[YQG5?RCF_LF<7ZKNWDN33>QBI^OG=45XE@UFH969j2]NMZKC<083:<=PMH]N@1?16:UFFRCR?2]NNZKZ069TAGQB]830[HLXET>3:g=PMK]NY1?50?;8S@DPM\6:2o5XRHVF[COU[]i0[_G[E^OL@@YFk2]YEYKPMNFF[G7c3QCGECV"XE@#4+7'[]_I,= > @Q@ML57UA]CX48\VRKAKo0T^ZBABPL[WGJW8;m7U][_@FUEKEBLVGJO_APABAV[HgclVLM;6V\T^EM@2=_[]U]ONl4X^ALV@YNFOE:<6VPCNPF[LHAGVFB_Y84Xe`\Mac5Wdi]NeuEhz{Gn~jkkTn34?]boWDk{Ob|}Rdolr`bSzhycn6Vkh^RqmhOcpk1SheQ_rhoJjse^c`VZye`Xjrrklj2=_laU_mjh4Xej\PvbSadoX|hmm;Yfn[Goil`dl==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo18\vr43POX?6Wjs59YAWI?;2UTSUBAM^]\4ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6){%||cz}/Lov|Zadk9$lo< lotlw_6[XOGNT=58Po^ov|Z4XG\^?=?;5:]\[]JIEVUT=POTV?2;73=2UTSUBAM^]\57YXWyifV?R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^7:3?;5:]\[]JIEVUT=>QP_qanw^4Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?2;73=2UTSUBAM^]\51YXWyifV=R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^7:3?=e:]\[]JIEVUT=8QP_lgn+vvnWzfjzh!Bmtz\wqgsmVdnty?>409\[Z^KFDUTS<8P_^ofi*uwaVygm{k GOF\51YhWz~jxhQ}efY0YZcv}hfT=>Q`<2<27c=XWVRGB@QP_05\[Zkbe&GfyuQndep\ljjcS>WTmij}_imo`^1ZWdsS<=POTV6544e3VUTTA@B_^]2_^]V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$A`{w_fa2*firf}Q8QRIAD^3;4ZiXe|rT>RAZT530`>YXWQFEARQP21]\[hcj'NDOS<=Po^q`hnYdg|dW<>R_dsveiY5Wf6:<3?PSV206>YXWQFEARQP20]\[hcj'meTzlbfd^cg`wYogenP;PQNNE]04Zi6m7RQPXMLN[ZY5;VUT|nc|[0_-tfk(EhnoSJ@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV\|jt;=7;:8k5P_^ZOJHYXW;>TSR~lmrY1Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZ~hz5?5=<:i;^]\\IHJWVU99RQPpbop_6[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx793?>249\[Z^KFDUTS?8P_^ofi*Kj}qUyijQ}d^cg`w06:<1TSRVCNL]\[71XWVgna"Cbuy]qabYulVkoh:>1`9\[Z^KFDUTS?6P_^]m4426W`d}=?64_^][HKKXWV82SRQbel-ptlYtdh|n#~fPndzw8384=m1TSRVCNL]\[7YXW\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~lcPre]fjZo6:11TSRVCNL]\[66XWVgna"}i^qoesc({aUeiuz34?11<>YXWQFEARQP30]\[hcj'zzbS~bnvd-plZhbp}692>RQPmdo,wuoX{ek}i"Cbuy]plZhbp}8?=QP_lgn+vvnWzfjzh!Bmtz\wuoXe`ydSb?>2`9\[Z^KFDUTS;QP_LalqkrXkeaT=`fu_blw[}iu4:4:=<74_^][HKKXWV=TSRQa0015[lhq9830SRQWLOO\[Z>XWVUe<<<<_hlu56bWTmij}_imo`^1ZWdsS<=POTV05d=Xf9:3;Rgavc9\j56??Vcez0^kmr4g>Qfnw`8[k66::Ubb{?n;^l3560Xag|i7R`?124\mkp6i2Ue<<:>_hluf>Yi88>:Sd`y1b9bhhit|Vof|ywm;`nnkvrXn|fg?6mck`9`hnY689gcv?mix/qvcXxakxiRociflnakr:|{n x}j_lkm[jYma}~n~0hnlrg/qvcXehrTeRdftugq9cgk{l&~hQkyo]sldubWzbbjy3iamqf(pubW`dh`fQ|whv`ljpbz4lj`~k#urg\`|hXzlidbkgh=gcow`*amlnimnePsnwqg`;ALKATMXZ@,qpjiqwmVyn`0JLM^QS(ksjWfSnbd=0.`hnoi9Vzn~dak=91-7654;:98?>"lljkm6Zvbz`eo15=!32107654;:&~hQovgq[aipf4lj`~k#urg\v`ub|4~yyh"zsd]okfmbl4~yyh"zsd]jjfjlWz~d|}kk=gcow`*r{lUxxlzzs>5:aooZ778dbqSd!lljd\fp`6:2iggR??0ljy[l)ddbli=?5llj]245korVc$oaeix018gimX99:fdwQf/bnhmk76?2iggR??0ljy[l)ddbce=Rlzf0:8gimX99:fdwQf/bnhkpr6Wkm=55llj]245korVc$hncPsq]jjvr5>2iggR??0ljy[l)ckdUx|Rgasu]NHZHB_VNBZNBD209`hnY689gcvRg dbo\wuYnfz~TAAQAEV]L57=ddbU:<=cgz^k\gimap01h`fQ>2ljy<>ekcV;fdwj4cmi\5hn}WK_MKk5llj]2im|Xkg~7<3h4cmi\5hn}Wjd0<0i;bnh[4korViex1<1f:aooZ7j`sUhby2<>g9`hnY6eapTocz34?d8gimX9dbqSn`{<4bce?1oec2?>69gmk:687=0hd`310<4?aoi4885;6jfn=30:2=cag6:8374dhl?50<76>1oec2>5?48`lh;97<0hd`32?48`lh;;7<0hd`34?48`lh;=7<0hd`36?48`lh;?7<0hd`38?48`lh;17=0hb{{<1<;?air|5;;255kotv?548?3me~x1?=>99gkpr;9:437iazt=37:d=cg|~7=84?>99gkpr;9<4<7iazt=3=3>bh}}692:5kotv?7;169gkpr;?7=0hb{{<9<4?air|53596kbpu{4?cgt|jf`86hffn334)eXiyzgeno{inl\p|vbW~khd0>#c^cstiodi}cdbRzvpd]t`fn:8%iTm}~cibcwmjhX|pznSz|lh<2/gZgaVkohQxievk964+kVkseRo}urgq[roc|a7: nQnxh]bspubzV}bhyf21-a\e}oXj|yn~Ryfduj>5)eXiqcThlzn_vkgpm;1<%iTmugPie]tmaro5=&hSlvf_swpawYpam~c1<"l_`zj[qwm4:'oRowi^uvw`tX`nd0?#c^c{mvYslh~jSzgkti?50)eXiqcxSyjjsu]tmaro5=&hSlvfs^vj`Zqnl}b64!mPaykp[qmbmyU|eizg=5.`[d~n{V~xxlPwhfwl82+kVkse~Q{urgq[roc|a7? nQnxhq\p|vb59&hSnabmnl\giidc4;'oRm`uov\p|vb59&hSio{a^alqkrX`nd0:#c^ffbdsk|V|j`xk2BmcmoVruagm'oRjfn^uj`qn:9?&hSiga_vkgpmYfp`y6=!mPdhl\slbs`Vxjoe3<2-a\`lhX`ndR|kci?50)eXl`dT{dj{h^ubgm;4:%iThd`PwhfwlZqcka7=8!mPdhl\slbs`V}yoe3=,b]gkprXzz~Tzlb20-a\`jssW~coxe3>6-a\akgedlUy`bm|=1.`[`hfjeoT~~zPsxl`94*dWlxycQfnkg`pliiW}s{i0>#c^gqvjtXag`noyg`n^vzt`Yfp`y64)eXm{xd~RgajdawmjhX|pznSz|lh<2/gZ`fe`fr1~~nrucm2)eXn|fgSkbngr]qwqYqie7; nQgar]bhhit|Vof|yw21-a\lduXiegdyQiumn>5)eX`hyTmugPaswpaw;7$jUcm~Qnxh]bspubz4:'oRfns^c{mZdr{lx6#c^jbwZgazUhlzn=1.`[mgtWhrbRzkerv>4)eX`hyTmug|_ukg95*dWakxSlvfs^vha`v:8%iTdl}Paykp[qjf{}7; nQgar]b|luX|{ojht3>,b]kevYfp`yTx~z}b<2/gZnf{Vkse~Q{urgq95*dWakxSoolktr>4)eX`hyThlzn_bmvjq;7$jUcm~Qkauc\gjsi|zUjtd}20-a\lduXlh~jSnaznuq\vdeo59&hSeo|_ecweZeh}g~xSjlh<2/gZnf{VnjxlQlotlwwZqfka7; nQgar]geqgXkfex~Qxdbj>4)eX`hyThlzn_bmvjquX{ic1="l_icp[lhsWjf`1="l_icp[hgt|lxToh3?,b]kevYjmdceeyQiimg>4)eX`hyTc{k}fmmt95*dWakxS}|`g^doebuXiqcx1="l_icp[uthoVlgmj}Pr`ak95*dWakxS}|`g^doebuXzmic1="l_icp[uthoVlgmj}Pw`ak95*dWakxS}|`g^doebuXmic1="l_icp[uthoVlgmj}Pwsak95*dWakxSjPd`vb[firf}7; nQgar]q`Ztt|4:'oRfns^ppp87+kVbjR}cawg\g`;7$jUcm~Q|rrv>4)eX`hyTycjjrgnls86+kVbjRxnlhf>4)eX`hyT{Qncj?3(fYoizU|~Rjnt`]`kphs59&hSeo|_vp\vvr:8%iTe`~celgmpdrnggUu}k20-a\mhvkmdoexlzfoo]w}ucXiqcx1<"l_hosh`kbf}keb`Ptxrf[wgd`4;'oRgbpmgnakrf|`eeSywe^pggm;6$jUba}bjmdlweqohfV~r|hQxabj>5)eXadzgi`kat`vjkkYsqyoT{img=0.`[lkwdlgnbyo{inl\p|vbW~xhd0?#c^kmmqYpzVzexQyam?3(fYnf}oyjlmj_u{sa86+kVgnab|v_u{sa87+kVgbjRhfld]mehc:Jekeg^z}ioe/gZktofdTzlb21-a\kurne`pjxdaa_lmga86+kVe}ihcov]okr;7$jU{~hb`ae]oeqcikp7: nQrdnleaYumny65545$jU{~biPelrw}ZrozlycSl}|esv\rdjXzmic1<>=2-a\twi`Wlg{xtQ{hsgplZgt{lxS{oc_vc`l877:;&hS}|`g^gntqX|axneQnsrgqpZpfdV}ooe3>030/gZvugnUna}zv_ujqavnXizyn~yQyam]tvfn:9989 nQrne\ahvsqV~c~h}g_ogdeqcX~hf6?!mPpsmd[`kw|pUu}k20-a\twi`Wlg{xtQ{yqg\e}ot5<&hS}|`g^gntqX|pznSolh<7/gZvugnUna}zv_u{saZtcka7> nQrne\ahvsqV~r|hQxabj>1)eXx{elShctx]w}ucXmic18"l_qplcZcjx}sTxt~j_vp`l83+kVzycjQiumn\pmtb{aUj~k}t^tbh873$jU{~biPftno[qnumzbTm~}jru]ueiYfp`y6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQ}abj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYuljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxabj>5544$jU{~biPftno[qnumzbTm~}jru]ueiYpljb6==<<,b]svjaXn|fgSyf}erj\evubz}U}maQxrbj>5544$jU{~biPftno[qnumzbTbhintd]uei;6;%iT|ah_gwohZr~xl7; nQrne\bpjkW}s{iRowir?6(fYwzfmTjxbc_u{saZtfka7> nQrne\bpjkW}s{iR|kci?6(fYwzfmTjxbc_u{saZqfka7> nQrne\bpjkW}s{iRykci?6(fYwzfmTjxbc_u{saZquka7> nQ}abj\p|vb59&hSjPd`vb[firf}U|eizg=5.`[wbXllzd0?9,b]q`Z`umx7: nQ}d^rmpwYpam~c19"l_sf`lZr~xl7; nQ}ef]phlebWdeoiRowir?3(fYumnUx`dmj_lmgaZtfka7; nQ}ef]phlebWdeoiR|kci?3(fYumnUx`dmj_lmgaZqfka7; nQ}ef]phlebWdeoiRykci?3(fYumnUx`dmj_lmgaZquka7; nQznegqbiipWee|1="l_tqf[fijefdTc{k}fmmt95*dW|ynSnabmnl\qkbbzofd{0>#c^wpaZbbnhgxR}jtukmcv;7$jU~hQkotv\vvr:9%iTy~kPeba>4)eX}zoTinmPaykp95*dW|ynShml_sc`l86+kVxiRklc^pggm;7$jU~hQjcb]tefn:8%iTy~kPeba\saeo59&hSx}j_da`[rtd`4:'oR{|e^gnf`bcmmUyij3?,b]vw`Yaaoe::Rhcafq>4)eX}zoTjzh{_ecweZeh}g~6=4-a\swYcmy~cSolh<35(fYpzVnn|yfPreak9465<%iT{Qkeqvk[rgd`4;= nQxr^fftqnXmic1<>=4-a\swYcmy~cSz|lh<35(fYpzVlyi|3>,b]tvZvi|{U|eizg=5.`[rtXxg~ySzgkti]b|lu:99&hSz|Ppovq[roc|aUymnf24-a\swYwf}xT{dj{h^pggm;68%iT{Qnup\slbs`V}joe3;,b]tvZvi|{U|eizg_vf`l877$jU|~R~ats]tmaroW~xhd0:#c^uq[wctxfdxiRbntdl`}87+kV}yoeQ{yqg>4x7e3ocmc!hffn]dakcui}eyS{6P2-y~6c=aaoeTkh`jr`vlvZp?W;&+Tdbfny"@KWC'Oldn~lz`r!30*724ienf[firf}oy0<>1119j`icXkfexh|310<24>ocdlUhcx`{es>26;773`ngiRm`uovfv97468:0eibj_bmvjqcu48>5==5fdmg\gjsi|lx7=80>0:kgh`Ydg|di2>6?33?lbkmVidyczjr=34:c=nleoTob{atdp?5;`i;hfoaZeh}g~n~R?>f:kgh`Ydg|diQ>2g9j`icXkfexh|P12d8majbWje~byk}_06e?lbkmVidyczjr^36b>ocdlUhcx`{es]22c=nleoTob{atdp\52c8Ra>f:ofi*AILV;8SbQko^tbhlbXE\RT99Q`13c8i`k(OGNT=>Q`_raooZeh}g~P==SPepwbhZ4Xg5;;2<Q`_raooZeh}g~P==SPepwbhZ4Xg5;;2?Q`_`fg[=YH]]Usc29>0306>kbe&GjhiQndep\ljjcS>WTKCJP12]l[dbcW1UDYYQwos>5:4769k1fi`!BcnwmpZuddbUhcx`{_b{?4;7e3dof#@m`uov\wfjlWje~byQly=3=5`=jmd%Fob{at^q`hnYdg|dSnw31?]qp4dkbe&Ghcx`{_raooZeh}g~Tot2=>^pw5g=jmd%Fob{at^q`hnYdg|dSnw33?3f?hcj'DidyczPsbnh[firf}Uhu1=1_sv2f>kbe&Ghcx`{_raooZeh}g~Tot2;>0g8i`k(Eje~byQ|cmi\gjsi|Vir090Pru3a?hcj'DidyczPsbnh[firf}Uhu1;11d9nah)JkfexR}llj]`kphsWjs793Q}t0`8i`k(Eje~byQ|cmi\gjsi|Vir0;0>e:ofi*Kdg|dS~mck^alqkrXkp6=2R|{1c9nah)JkfexR}llj]`kphsWjs7;3?j;lgn+Heh}g~Tnbd_bmvjqYdq5=5Sz>b:ofi*Kdg|dS~mck^alqkrXkp632?5bel-Ngjsi|Vyh`fQlotlw[iss494TECXP00a8i`k(Eje~byQ|cmi\gjsi|Vrd~1>11e9nah)JkfexR}llj]`kphsWqey0<>1219nah)JkfexR}llj]`kphsWqey0<>1_sv2g>kbe&Ghcx`{_raooZeh}g~Ttb|31?3`?hcj'DidyczPsbnh[firf}Usc2=>0a8i`k(Eje~byQ|cmi\gjsi|Vrd~1=11b9nah)JkfexR}llj]`kphsWqey090>c:ofi*Kdg|dS~mck^alqkrXpfx793?l;lgn+Heh}g~Tnbd_bmvjqYg{6=2k5bel-Nip~XimnySeacdZ5^[dbczVbd`iU8]^ov|Z74WF__><2g9nah)Je|rTmij}_imo`^1ZWhno~Rf`leY4YZkrpV;8SB[[4013?hcj'Dg~tRokds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU6257`3^MVP145n2gna"Cbuy]b`atX`ffoW:SPaefq[miklR=VS`{w_01\KPR29::0ahc Mlw{[dbczVbd`iU8]^cg`wYogenP;PQbuy]27ZIR\<;:>k5bel-Nip~XimnySeacdZ5^[dbczVbd`iU8]^ov|Z74WF__9?2g9nah)Je|rTmij}_imo`^1ZWhno~Rf`leY4YZkrpV;8SB[[700e?hcj'Dg~tRokds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU:253=jmd%FaxvPrde\vaYflmx:=<84mdo,IhsW{olSjPaefq64713dof#@czx^pfcZtcWhno~>?>6:ofi*Kj}qUyijQ}d^cg`w269?1fi`!Bmtz\v`aXzmUjhi|:1048i`k(EdsSkh_sf\eabu>8;=7`kb/Lov|ZtboVxoSljkr6325>kbe&Xnxb{<1<\BWD6:2gna"\jstnw8469WOXI=<5bel-Qavsk|5;5SK\M109nah)Umzgx1<1_GPA54=jmd%Yi~{ct=1=[CTE981fi`!]erwop929WOXI=<5bel-Qavsk|5?5SK\M109nah)Umzgx181_GPA54=jmd%Yi~{ct=5=[CTE981fi`!]erwop9>9WOXI=<5bel-Qavsk|535SK\Me:ofi*Yi888:Qfnw1256=jmd%Tb=?=7^kmr1YT_9;<7`kb/^l3571Xag|?S^Y?_GPA52=jmd%Tb=?=7^kmr1YT_9UX[=h4mdo,[k66:>Ubb{;>1:ofi*Yi888kbe&Ue<<<8_hlu1ZAa3dof#R`?126\mkp6991fi`!Pn1300Zoi~8;:<6cjm.cg`wYogen7<3??;lgn+dbczVbd`i2>>028i`k(imnySeacd=0=55=jmd%jhi|Phnng868682gna"okds]kkib;<7;;7`kb/`fgvZnhdm6>2<>4mdo,eabuWaegh181119nah)flmxTdbbk<6<1f>kbe&kohQgomfX3XYflmxTdbbk[6_\ip~X9:UDYY2?>3`8i`k(imnySeacdZ5^[dbczVbd`iU8]^ov|Z74WF__0<0=b:ofi*gcl{UccajT7\]b`atX`ffoW:SPmtz\56YH]]692?l4mdo,eabuWaeghV9R_`fgvZnhdmQWTaxvP12]LQQ:36;h0ahc aefq[miklR=VSljkr^jlha]0UVg~tR?<_NWW8085j2gna"okds]kkib\?TUjhi|Phnng_2[Xe|rT=>Q@UU>5:7dkbe&kohQgomf\5c=jmd%jhi|Phnng[7`d:ofi*gaaoe$B<l0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-Nip~Xoho%kbgag^cfiur~WY]YS>=Po^OV\Z?Xg8;:h4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTklk!gnkmcZgbey~rS]Y]_21\kZKRPV3Tc<673:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'Dg~tRine/elmkaXilg{xtQ_WS]07ZiXE\RT5Ra>8^QT4=5X[^;3;6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%l~~}!rrvp+HkrpVmji#i`ioe\e`kw|pU[[_Q<3^m\IP^X1Ve:4R]X1^QT42g xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j gsqp*wus{&GfyuQhohld[`kw|pU[[_Q<3^m\IP^X0Ve:8:o4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'xo#j||s/pppv)Je|rTkbgag^gntqXX^XT?>Q`_LW[[=Yh9<=j7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(ul&my~ }suq,IhsWnebbjQjmqvz[UQUW:9TcRCZX^:\k400i2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/Lov|ZahagmTi`~{y^RTVZ54WfUFYUQ7_n343==jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.sf,cwut&{y"ine/elmkaXilg{xtQ_WS]07ZiXE\RT5Ra97:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'nkn"ob_`gntqXa>90ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'nxx#||tr-dklh`Wlg{xtQ_WS]07ZiXE\RT4Ra95:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%~i!hrrq-vvrt'{kfShctx]efZo1:2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)`zzy%~~z|/scn[`kw|pUb945bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&{n$~lcPre]fjZo6=m1fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zmU{by|Ppmwp5969>11fi`!nfhdl+P6(pz~Tjdh`_fgmawgsg{%lob`yfhdl*w`(o{l%~k!hnut\kwYtqgiTjdh`.fn3*wb(zmU{by|Ppmwp6969W`d}=RGAV^26`>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-q`Zvi|{U{`x}=<0<67>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'>k0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+tc'{zex!Bmtz\cf7)kfexV=R_FLG[4>7WfUfyuQ=_NWW741f3dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$A`{w_fa2*firf}Q8QRIAD^3;4ZiXe|rT>RAZT536b>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!re-qtkru'ni:"naznu>1:0`2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-va)uxg~y#jm>.bmvjq]4UVMEHR?70^m\ip~X:VE^X1<1779nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczT3\]DJAY609UdS`{w_3]LQQ:466cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}Uo=1?1639nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczPd0>1:34;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj>_342?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f2[6053dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb5494=>6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%y|cz}/fa2*firf}Uo>1?1639nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*ad9'idyczPd3>1:34;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$yh"|nup,cf7)kfexRj=_042?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= }d.psjqt(oj;%ob{at^f1[7063dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,q`*twf}x$kn?!cnwmpZb5W:>o7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&?<7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&m|~ xsuq,3`=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%lbyxPos]p}keXn`ld"jb?.vp,crut&~y"Cbuy]qehYa}efTobcm_FLG[4>?WfUFYUQ>1^m262c53dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*ap{z$|y} Mlw{[wgjWog`Rm`mc]DJAY601UdS@[W_03\k45X[^:RAZT5350>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkr;:76`8i`k(iocmc"[?/yqw[coagVmnbh|ntnp,cfii~ocmc#|i/fpe*w`(og~}Sb|Psxl`[coag'mg<#y}/vrmpw)`kj:%kn?!cnwmp^5ZWNDOS<69_n]nq}Y5WF__0?08b:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*ai|Ud~R}vnb]emci)oe:%{!xpovq+bed8'mh=#m`uovX7XY@FMU:4;Q`_lw{[7YH]]682;=4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^057>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrX;?=0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexRj><0<53>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl8692;94mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,ckrqWfxTt`l_gkek+ak8'}y#z~ats-dgf6)oj;%ob{at^f28681>2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"iatw]lvZu~fjUmeka!gm2-sw)pxg~y#jml0/e`5+eh}g~Th679nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo< lotlw[a7X:?<0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h govu[jtX{pdhSkgio/eo4+qu'~zex!hcb2-cf7)kfexRj>_244?hcj'hlbjb!Z0.zppZ`nnfUlick}aumq+behflbjb }f.eqb+ta'ndzRa}_r{mgZ`nnf$l`= xr.usjqt(oji;"jm>.bmvjqYc:5:5::5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k8$hcx`{_e0?5;003dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#j`{v^mq[vikVlbjb hl1,tv*qwf}x$knm?.fa2*firf}Uo>1<1669nah)fn`ld#X> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*adk9$lo< lotlw[a4;;7<=7`kb/`djbj)R8&rxxRhffn]dakcui}ey#jm`nwdjbj(un&myj#|i/flwrZiuWzseoRhffn,dh5(pz&}{by| gba3*be6&je~byQk2^252>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&mex{Q`r^qzjfYaaoe%ka>!ws-ttkru'nih<#il1/alqkrXl;U::;5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-djqpXg{UxucmPfhdl*bj7&~x${}`{r.e`g5(`k8$hcx`{_e0\630!gb3-gjsi|Vn9S>;k;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z;7<387;lgn+d`nnf%^<"v|t^djbjY`mgoymya}/faljs`nnf$yj"i}f/pe+bhs~VeyS~wac^djbj(`d9$|~"y}_qlwvZvk}z87<3Qfnw3\MKPX8l4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%>:6cjm.cemci(]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.pppgjl'gm|~i||t/eqwq(ulVxxxR|jg=2=13=jmd%jjdh`/T2,|vrXn`ldSjkaescwkw)`kfd}jdh`.sd,cw`)zo%yylck.ldswbu{}$l~~z!re]qwqYumn6928;4mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&{nT~~zPrde\403884mdo,ecoag&_;#u}{_gkekZabflxjxb| gbmmrcoag'xm#j|i.sd,vvredb%ekz|krrv-cwus&~xT~~zPrde?4;313dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,jbqul{y"j||t/uq[wusW{ol0<0:5:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#cixreppp+au{}$|~R||t^pfcZ62=2gna"oiigm,Q5){}UmekaPgdlfvdrhz&mhccxiigm-vc)`zo$yj"||tcnh+kapzmxxx#i}su,tvZtt|VxnkR?;7:ofi*gaaoe$Y=!wsu]emciXoldn~lz`r.e`kkpaaoe%~k!hrg,qb*tt|kf`#jPrrv\evtbo=k0ahc agkek*S7'qySkgio^efj`tf|fx$knaavgkek+ta'nxm"h rrvahn)ulVxxxRo|rde\`42f3dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#}{bmi,vaYu{}Ujkh_e072>kbe&kmeka U1-{wqYaaoeTkh`jr`vlv*adgg|meka!rg-dvc(un&xxxobd/sf\vvrXkfgi8:5bel-bbl`h'\:$t~zPfhdl[bcim{kc!hcnlubl`h&{l$kh!rg-qwqdkc&xoS}{_bmnf42>3dof#lhffn-V4*~t|VlbjbQheogqeqiu'nidb{hffn,qb*aun'xm#z|Ppovq848Xag|:SD@Y_1:g?hcj'hlbjb!P_^ZOJHYXW9UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"z| wqlwv*Kj}qUlon>!gb3-gjsi|R9VSJ@K_0:5[jYj}qU9SB[[40:;?hcj'hlbjb!P_^ZOJHYXW8UTSX> xrv\bl`hWnoeio{os-dgjhqn`ld"h gsd-vc)`f}|TcQ|yoa\bl`h&nf;"j rqlwv*Kj}qUlo< lotlw_6[XOGNT=5>Po^ov|Z4XG\^?=;94mdo,ecoag&UTSUBAM^]\6ZYX]9%syQiigm\c`hbzh~d~"ilootemci)zo%l~k }f.empsYhzVyrbnQiigm-ci6)zm%ym`Q}d^gm[l7d3dof#iaPv`nj`74139nah)cgV|j`djPddnb}43kbe&lbjbQ`uu>24;773dof#kgio^mvp97668:0ahc fhdl[jss4885==5bel-emciXg|~7=>0>0:ofi*`nnfUdyy2>4?d8i`k(n`ldSb{{<0kbe&lbjbQ`uu>0:c=jmd%mekaPotv?0;`kbe&xoSio{a^mvp9499;1fi`!}d^fbpdYh}}682<<4mdo,vaYci}kTcxz34?31?hcj'{nThlzn_nww8086:2gna"|k_ecweZir|5<5=?5bel-q`Zbf|hUdyy28>038i`k(zmUomyoPotv\4472:ofi*tboVxoSljkr=2=57=jmd%yijQ}d^cg`w:66880ahc rde\vaYflmx7>3?=;lgn+wc`W{nTmij}<2<26>kbe&xnkR|k_`fgv9299;1fi`!}ef]q`Zgcl{6>2<<4mdo,v`aXzmUjhi|36?31?hcj'{olSjPd`vb8586:2gna"|jg^pg[agsi5;5=?5bel-qabYulVnjxl2=>008i`k(zlmT~iQkauc?7;753dof#kh_sf\`drf4=4:>6cjm.pfcZtcWmkm1;1139nah)umnUyhRjnt`>5:44>0:ofi*uddbUhcx`{_033?hcj'ziggRm`uov\646h5bel-ptlYtdh|n#J@K_06\kZusi}oT~hiT3\]fupgkW89Tc><4mdo,wuoX{ek}i"IAD^37[jYt|h~nSkh[2_\atsfdV;8Sb2<>03`?hcj'zzbS~bnvd-Nip~X{aUeiuz>10f8i`k({ycTaoye.Onq}Yt`Vdnty<;10d8i`k({ycTaoye.Onq}Yt`Vdnty<;1032b>kbe&y{eR}cawg,IhsWzzbS`g|o^m254`3:417:ofi*uwaVygm{k dh]qab:568=0ahc sqk\wigqm&nbSkh<2<23>kbe&y{eR}cawg,`lYumn6?2<94mdo,wuoX{ek}i"jf_sgd8086?2gna"}i^qoesc(l`Uyij29>058i`k({ycTaoye.fj[wc`4>4::6cjm.qsmZukio$hdQ}ef]353=jmd%x|dQ|l`tf+aoXzlmT=<84mdo,wuoX{ek}i"jf_sgd[7713dof#~~f_rnbr`)caVxnkR=>6:ofi*uwaVygm{k dh]qabY39?1fi`!|ph]phdpb'mcT~hiP5048i`k({ycTaoye.fj[wc`W?;=7`kb/rrj[vjf~l%oeR|jg^52a>kbe&y{eR}cawg,`jYe}oln~R|jg=2=5`=jmd%x|dQ|l`tf+aiXj|lmiQ}ef>2:4c7;n7`kb/rrj[vjf~l%ocRlzfggq[wc`4>4:h6cjm.qsmZukio$hbQmugdfvZtboV::h6cjm.qsmZukio$hbQmugdfvZtboV;:h6cjm.qsmZukio$hbQmugdfvZtboV8:h6cjm.qsmZukio$hbQmugdfvZtboV9:h6cjm.qsmZukio$hbQmugdfvZtboV>:h6cjm.qsmZukio$hbQmugdfvZtboV?:h6cjm.qsmZukio$hbQmugdfvZtboV<:h6cjm.qsmZukio$hbQmugdfvZtboV=:m6cjm.qsmZukio$|kco`f\iluh911fi`!|ph]phdpb'zbTbhv{<1<2<>kbe&y{eR}cawg,wmYimq~7=3?6;lgn+vvnWzfjzh!|h^lf|q:66:;i7`kb/rrj[vjf~l%xdR`jxu>2:6YA9k1fi`!|ph]phdpb'zbTbhv{<0<0[B7?3dof#~~f_rnbr`)t`Vdnty2=>0;8i`k({ycTaoye.qk[kc|585=<74mdo,wuoX{ek}i"}g_og{p949:830ahc sqk\wigqm&ycSckwt=0=74>9:ofi*uwaVygm{k si]ma}r;<7;:56cjm.qsmZukio$eQaeyv?0;4612gna"}i^qoesc({aUeiuz34?12<>kbe&y{eR}cawg,wmYimq~793?6;lgn+vvnWzfjzh!|h^lf|q:268;27`kb/rrj[vjf~l%xdR`jxu>6:77>3dof#~~f_rnbr`)t`Vdnty2:>23;?hcj'zzbS~bnvd-plZhbp}6=2<74mdo,wuoX{ek}i"}g_og{p9099830ahc sqk\wigqm&ycSckwt=4=64?3?8;lgn+vvnWzfjzh!|h^pfc9599>1fi`!|ph]phdpb'zbT~hi34?34?hcj'zzbS~bnvd-plZtbo5?5=:5bel-ptlYtdh|n#~fPrde?2;703dof#~~f_rnbr`)t`Vxnk191179nah)tx`Ux`lxj/rj\v`aX88<0ahc sqk\wigqm&ycSkh_035?hcj'zzbS~bnvd-plZtboV8::6cjm.qsmZukio$eQ}ef]053=jmd%x|dQ|l`tf+vnXzlmT8<84mdo,wuoX{ek}i"}g_sgd[0713dof#~~f_rnbr`)t`VxnkR8>6:ofi*uwaVygm{k si]qabY09k1fi`!|ph]phdpb'z~jxhQaeyv?4;7e3dof#~~f_rnbr`)t|h~nSckwt=3=5g=jmd%x|dQ|l`tf+vrf|lUeiuz32?3b?hcj'zzbS~bnvd-ppdrbW{ol0=0>a:ofi*uwaVygm{k sucwaZtbo5;5=l5bel-ptlYtdh|n#~zntd]qab:568k0ahc sqk\wigqm&ymykPrde?7;7>3dof#~~f_rnbr`)t|h~nSkh_13:?hcj'zzbS~bnvd-ppdrbW{olS3dof#~~f_rnbr`)spVhbxR`jxu3b?hcj'zzbS~bnvd-w|Zdn|Vdnty?>a:ofi*uwaVygm{k ty]amqYimq~9=l5bel-ptlYtdh|n#yvPbhv\j`~s;820ahc sqk\wigqm&~sSog{_sgd5<=jmd%x|dQ|l`tf+rtXijaTbhv{1c9nah)tx`Ux`lxj/vp\efmXflr=1fi`Q|ph68iluhi2gbbQ@BTDW0>kh{`30ab}f_H@VB==iomh~eaji;qan[dtuip6;21>1e:r`iZguzhsT<1<1e:r`iZguzhsT<1=1e:r`iZguzhsT<1:1e:r`iZguzhsT<1;1e:r`iZguzhsT<181e:r`iZguzhsT<191e:r`iZguzhsT<161e:r`iZguzhsT<171d:r`iZguzhsTj4pbo\ewtfqV:T8i5cl]bvwg~W9U>h6~lm^cqvdX8Vn0|ncPaspb}Z6X0m1{o`Qnrscz[5Y>m2zhaRo}r`{\5949m2zhaRo}r`{\5959m2zhaRo}r`{\5929m2zhaRo}r`{\5939m2zhaRo}r`{\5909m2zhaRo}r`{\5919m2zhaRo}r`{\59>9m2zhaRo}r`{\59?9l2zhaRo}r`{\5Z4c3yifSl|}ax]2[6bvdeVky~lwP1^4g?uejWhxymtQ>_6f8tfkXi{xjuR?P8e9sghYfz{krS1<1e:r`iZguzhsT>1=1e:r`iZguzhsT>1:1e:r`iZguzhsT>1;1e:r`iZguzhsT>181e:r`iZguzhsT>191e:r`iZguzhsT>161e:r`iZguzhsT>171d:r`iZguzhsT>Rj4pbo\ewtfqV8T8i5cl]bvwg~W;U>h6~lm^cqvdX:Vn0|ncPaspb}Z4X0m1{o`Qnrscz[7Y>m2zhaRo}r`{\7949m2zhaRo}r`{\7959m2zhaRo}r`{\7929m2zhaRo}r`{\7939m2zhaRo}r`{\7909m2zhaRo}r`{\7919m2zhaRo}r`{\79>9m2zhaRo}r`{\79?9l2zhaRo}r`{\7Z4c3yifSl|}ax]0[6bvdeVky~lwP3^4g?uejWhxymtQ<_6f8tfkXi{xjuR=P8e9sghYfz{krS>Q67:r`iZabfh1{o`Q`uu>3:d=wkdUdyy2>>`9sghYh}}692n5cl]lqq:4294j7}mb_nww868b3yifSb{{_1]LFP@b3yifSb{{_0]LFP@b3yifSb{{_3]LFP@b3yifSb{{_2]LFP@d3yifV>R.qan+7`UdS}mb_bmvjq]69TUGi~}[h`l\0Zi482zha~U?]/r`i*AILV99Po^r`iZeh}g~P=278tfktS9W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:26:?0|nc|[1_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY29>248tfktS9W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:1689>7}mbsZ2^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ37?15?uej{R:V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;?7;896~lmrY3Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<9<02>vdezQ;Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS414:?85clqX4X(wkd%LBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTUdS}{csd]tmaroW{olWUdS}{csd]tmaroW{olW]^cg`Z6XG\^Ttb|33?32[LHQW9>;7}mbsZ2^*uej'DkohRIAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW[}iu4=4:=9>4pbop_5[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx793?>3e9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUhu1>13e9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUhu1?13e9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUhu1<13e9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUhu1=13e9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUhu1:13d9sghu\8T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2?>2d8tfktS9W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ;Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=3=7`=wkdyP

9Po^NfwvRoigU9SbQcuu>1:6cRaPltv?7;5b3yifV>R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww8184l2zha~U?]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbR8i6~lmrY3Y+vde&Ghc`~nr^r`iZeh}g~P=?5clqX4X(wkd%Fob{at^r`iZeh}g~Tot2?>318tfktS9W%|nc MbmvjqYwkdUhcx`{_b{?5585>2zha~U?]/r`i*Kdg|dS}mb_bmvjqYdq5;;2R|{239sghu\8T${o`!BcnwmpZvdeVidyczPcx>2:730=5:r`iv]7U'zha"Clotlw[uejWje~byQly=1=[wr5:2zha~U?]/r`i*Kdg|dS}mb_bmvjqYdq5>5>85clqX4X(wkd%Fob{at^r`iZeh}g~Tot2;>^pw67=wkdyP

R.qan+Heh}g~T|ncPcnwmpZe~4?4T~y<=;qanw^6Z&yif#@m`uov\tfkXkfexRmv<6<11>vdezQ;Q#~lm.O`kphsWyifSnaznu]`}919W{~9>6~lmrY3Y+vde&Ghcx`{_qan[firf}Uhu161249sghu\8T${o`!BcnwmpZvdeVidyczPcx>;:Zts:;1{o`}T0\,sgh)JkfexR~lm^alqkrXkp622?;4pbop_5[)xjg$Anaznu]sghYdg|dSnw39?]qp75^KMRZ65;2zha~U?]/r`i*Kdg|dS}mb_bmvjqYg{6;2?:4pbop_5[)xjg$Anaznu]sghYdg|dSua}<02=61=wkdyP

1?]qp75318tfktS9W%|nc MbmvjqYwkdUhcx`{_ymq8685;2zha~U?]/r`i*Kdg|dS}mb_bmvjqYg{6?2?=4pbop_5[)xjg$Anaznu]sghYdg|dSua}<4<17>vdezQ;Q#~lm.O`kphsWyifSnaznu]{kw:16;90|nc|[1_-tfk(Eje~byQcl]`kphsWqey0:0=3:r`iv]7U'zha"Clotlw[uejWje~byQwos>;:75vdezQ;Q#~lm.Pfwpjs48:5=;5clqX4X(wkd%Yi~{ct=32:431:437:432<;4pbop_5[)xjg$^h}zlu>5:43;:43h7}mbsZ2^*uej'VUTTA@B_^]7[ZYJkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]oqqbn<820|nc|[1_-tfk(xjgTob{at=2=5<=wkdyP

1189sghu\8T${o`!cl]`kphs48;5=55clqX4X(wkd%{o`Qlotlw848602zha~U?]/r`i*vdeVidycz32?3;?uej{R:V"}mb/qan[firf}682<64pbop_5[)xjg$|ncPcnwmp929911{o`}T0\,sgh)wkdUhcx`{<4<2<>vdezQ;Q#~lm.r`iZeh}g~7:3?7;qanw^6Z&yif#}mb_bmvjq:06820|nc|[1_-tfk(xjgTob{at=:=5==wkdyP

vdezQ;Q#~lm.r`iZeh}g~T=<64pbop_5[)xjg$|ncPcnwmpZ77911{o`}T0\,sgh)wkdUhcx`{_0323>vdezQ;Q#~lm.r`iZeh}g~T><94pbop_5[)xjg$|ncPcnwmpZ56?2zha~U?]/r`i*vdeVidyczP4058tfktS9W%|nc pbo\gjsi|V?:;6~lmrY3Y+vde&zhaRm`uov\2417:r`iv]7U'zha"~lm^alqkrX08=0|nc|[1_-tfk(xjgTob{at^;23>vdezQ;Q#~lm.r`iZir|Vxnk3?j;qanw^6Z&yif#}{csd]tmaroW{ol0>0>e:r`iv]7U'zha"~zlrg\slbs`Vxnk1:11d9sghu\8T${o`!umqf[roc|aUyij2:>0g8tfktS9W%|nc ptnpaZqnl}bT~hi36?3f?uej{R:V"}mb/qwow`Ypam~cSkh<6<2a>vdezQ;Q#~lm.rvhvcX`ndR|jg=:=5`=wkdyP

::4bvdezQ:Q#~lm.EM@Z50WfU{o`Qlotlw_47ZWEoxYfnn^6\k66UdS}mb_bmvjq]69TUGi~}[h`l\0Zi6;=1{o`}T1\,sgh)@FMU8;RaPpbo\gjsi|R;:QRBjsrVkekY3Wf;T_Z><5:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8684=2zha~U>]/r`i*AILV91\]b`aY7WF__090<5:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8084=2zha~U>]/r`i*AILV91\]b`aY7WF__0;0<6:r`iv]6U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8386;<1{o`}T1\,sgh)@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^X191379sghu\9T${o`!HNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVP9199:?0|nc|[0_-tfk(OGNT?:Q`_qwow`Ypam~cSkh[03^[dbcW9UDYY27>248tfktS8W%|nc GOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQ:?689>7}mbsZ3^*uej'NDOS>9Po^rvhvcX`ndR|jgZ32YZgclV:TCXZ39?1:?uej{R;V"}mb/FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPR;17UX[==k;qanw^7Z&yif#@okd^EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSSWjs753=j;qanw^7Z&yif#@okd^EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSSWjs753?;6:r`iv]6U'zha"Cnde]DJAY4?VeT|xb|e^uj`qnXzlmP=1\]DJAY4?VeT@h}|Ticm[7YhWjs7<3=k;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWjs7=3=k;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWjs7>3=k;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWjs7?3=k;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWjs783=j;qanw^7Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWe0=0UdSAk|sUjbjZ4XgVf~x1>112g8tfktS8W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ:Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbzt=1=7`=wkdyP=P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuu>7:6bRaPltvgm6cRaPltvgm45b3yifV?R.qan+HeheykyS}mb_bmvjq]69TULBIQ<7^m\H`ut\akeS?Q`_mww`l44m2zha~U>]/r`i*Kdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRvdezQ:Q#~lm.O`kphsWyifSnaznu]`}979W{~9>6~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu1<1249sghu\9T${o`!BcnwmpZvdeVidyczPcx>1:Zts:;1{o`}T1\,sgh)JkfexR~lm^alqkrXkp682?;4pbop_4[)xjg$Anaznu]sghYdg|dSnw33?]qp747}mbsZ3^*uej'DidyczPpbo\gjsi|Vir090Pru01?uej{R;V"}mb/LalqkrXxjgTob{at^az8085=2zha~U>]/r`i*Kdg|dS}mb_bmvjqYdq5?5Sz=2:r`iv]6U'zha"Clotlw[uejWje~byQly=4=60=wkdyP=P cl-Ngjsi|VzhaRm`uov\g|:16Vx>?5clqX5X(wkd%Fob{at^r`iZeh}g~Tot28>378tfktS8W%|nc MbmvjqYwkdUhcx`{_b{?3;Yu|;80|nc|[0_-tfk(Eje~byQcl]`kphsWjs743<:;qanw^7Z&yif#@m`uov\tfkXkfexRmv<9<\vq453yifV?R.qan+Heh}g~T|ncPcnwmpZe~404996~lmrY2Y+vde&Ghcx`{_qan[firf}Uhu171_sv17>vdezQ:Q#~lm.O`kphsWyifSnaznu]oqq:76;30|nc|[0_-tfk(Eje~byQcl]`kphsWe0=0PIOT\4750?07?uej{R;V"}mb/LalqkrXxjgTob{at^zlv9766;=0|nc|[0_-tfk(Eje~byQcl]`kphsWqey0vdezQ:Q#~lm.O`kphsWyifSnaznu]{kw:66;90|nc|[0_-tfk(Eje~byQcl]`kphsWqey0?0=3:r`iv]6U'zha"Clotlw[uejWje~byQwos>0:75318tfktS8W%|nc MbmvjqYwkdUhcx`{_ymq8385;2zha~U>]/r`i*Kdg|dS}mb_bmvjqYg{6<2?=4pbop_4[)xjg$Anaznu]sghYdg|dSua}<9<17>vdezQ:Q#~lm.O`kphsWyifSnaznu]{kw:>68?0|nc|[0_-tfk(Zly~`y2?>048tfktS8W%|nc Rdqvhq:687;=7}mbsZ3^*uej'[oxyaz310<21>vdezQ:Q#~lm.Pfwpjs484:96~lmrY2Y+vde&Xnxb{<3<21>vdezQ:Q#~lm.Pfwpjs4:4:96~lmrY2Y+vde&Xnxb{<5<21>vdezQ:Q#~lm.Pfwpjs4<4:96~lmrY2Y+vde&Xnxb{<7<21>vdezQ:Q#~lm.Pfwpjs4>4:96~lmrY2Y+vde&Xnxb{<9<21>vdezQ:Q#~lm.Pfwpjs404?o6~lmrY2Y+vde&UTSUBAM^]\5ZYXEjef|l|Ppbo\gjsi|R;:QRIAD^14[jYKmzy_dl`P2^m\hprca8>h7}mbsZ3^*uej'VUTTA@B_^]1[ZYJkfg{mQcl]`kphsS8;VSJ@K_25\kZJb{z^cmcQ=_n]oqqbn:=i0|nc|[0_-tfk(WVUS@CCP_^1\[ZKdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbR]/r`i*vdeVidycz30?3:?uej{R;V"}mb/qan[firf}6:<3?6;qanw^7Z&yif#}mb_bmvjq:697;37}mbsZ3^*uej'yifSnaznu>2:4>0:8tfktS8W%|nc pbo\gjsi|5<5=55clqX5X(wkd%{o`Qlotlw828602zha~U>]/r`i*vdeVidycz38?3;?uej{R;V"}mb/qan[firf}622>>4pbop_4[)xjg$|ncPcnwmp^76UVzhaRm`uovX54[XIGNT??Q`359sghu\9T${o`!cl]`kphsS8;VS}mb_bmvjq]69TUJBIQ<2^m\WR76?2zha~U>]/r`i*vdeVidyczP0058tfktS8W%|nc pbo\gjsi|V;:46~lmrY2Y+vde&zhaRm`uov\557?3yifV?R.qan+uejWje~byQ>1058tfktS8W%|nc pbo\gjsi|V8:;6~lmrY2Y+vde&zhaRm`uov\7417:r`iv]6U'zha"~lm^alqkrX=8=0|nc|[0_-tfk(xjgTob{at^423>vdezQ:Q#~lm.r`iZeh}g~T;<94pbop_4[)xjg$|ncPcnwmpZ>6?2zha~U>]/r`i*vdeVidyczP9058tfktS8W%|nc pbo\kprXzlm:i6~lmrY2Y+vde&z~`~kPwhfwlZtbo585=h5clqX5X(wkd%{ya}j_vkgpmYumn682e:r`iv]6U'zha"~zlrg\slbs`Vxnk1811d9sghu\9T${o`!umqf[roc|aUyij28>0g8tfktS8W%|nc ptnpaZqnl}bT~hi38?3f?uej{R;V"}mb/qwow`Ypam~cSkh<8<2`>vdezQ:Q#~lm.rvhvcX`ndR|jg^02`>vdezQ:Q#~lm.rvhvcX`ndR|jg^12`>vdezQ:Q#~lm.rvhvcX`ndR|jg^62`>vdezQ:Q#~lm.rvhvcX`ndR|jg^72`>vdezQ:Q#~lm.rvhvcX`ndR|jg^42`>vdezQ:Q#~lm.rvhvcX`ndR|jg^52`>vdezQ:Q#~lm.rvhvcX`ndR|jg^:2`>vdezQ:Q#~lm.rvhvcX`ndR|jg^;`?uej{R8V"}mb/3d8tfktS;W%|nc GOF\72YhWyifSnaznuY25XYKmzy_dl`P4^m04>vdezQ9Q#~lm.EM@Z50WfU{o`Qlotlw_47ZWEoxYfnn^6\k4533yifV;4pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>0:63UdS}{csd]tmaroW{olW;4pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>6:63UdS}{csd]tmaroW{olW84pbop_7[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>5:4523yifV]^cg`Z6XG\^7;3=9;qanw^4Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?3;74=2zha~U=]/r`i*AILV91\]b`aY7WF__050<6:r`iv]5U'zha"IAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW8=86;<1{o`}T2\,sgh)@FMU8;RaPptnpaZqnl}bT~hiT10_\eabX8VE^X171389sghu\:T${o`!HNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVP9?9WZ];?i5clqX6X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYdq535?h5clqX6X(wkd%FmijPGOF\72YhWyghQxievk[wc`S8;VSljk_1]LQQYdq535=984pbop_7[)xjg$Aljk_FLG[61XgVz~`~kPwhfwlZtboR;:QRokd^2\KPRXpfx7?3?>_HLU[5273yifVPOTV\|jt;=7;:?i5clqX6X(wkd%Fobcas]sghYdg|dW5?h5clqX6X(wkd%Fobcas]sghYdg|dWh4pbop_7[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~7<3?UdSAk|sUjbjZ4XgVf~x1?13d9sghu\:T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2=>2g8tfktS;W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek0a>vdezQ9Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek27`=wkdyP>P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj66cRaPltvgm65b3yifVvdezQ9Q#~lm.O`kphsWyifSnaznu]`}9776Vx>?5clqX6X(wkd%Fob{at^r`iZeh}g~Tot2>>378tfktS;W%|nc MbmvjqYwkdUhcx`{_b{?5;Yu|;80|nc|[3_-tfk(Eje~byQcl]`kphsWjs7>3<:;qanw^4Z&yif#@m`uov\tfkXkfexRmv<3<\vq453yifVvdezQ9Q#~lm.O`kphsWyifSnaznu]`}929:<1{o`}T2\,sgh)JkfexR~lm^alqkrXkp6?2R|{239sghu\:T${o`!BcnwmpZvdeVidyczPcx>6:7385clqX6X(wkd%Fob{at^r`iZeh}g~Tot27>^pw67=wkdyP>P cl-Ngjsi|VzhaRm`uov\g|:>6;?0|nc|[3_-tfk(Eje~byQcl]`kphsWjs753Q}t318tfktS;W%|nc MbmvjqYwkdUhcx`{_mww858512zha~U=]/r`i*Kdg|dS}mb_bmvjqYk}}6;2RGAV^217>vdezQ9Q#~lm.O`kphsWyifSnaznu]{kw:76;>0|nc|[3_-tfk(Eje~byQcl]`kphsWqey0<>1259sghu\:T${o`!BcnwmpZvdeVidyczPxnp?5485?2zha~U=]/r`i*Kdg|dS}mb_bmvjqYg{6:=3Q}t318tfktS;W%|nc MbmvjqYwkdUhcx`{_ymq8485;2zha~U=]/r`i*Kdg|dS}mb_bmvjqYg{692?=4pbop_7[)xjg$Anaznu]sghYdg|dSua}<2<17>vdezQ9Q#~lm.O`kphsWyifSnaznu]{kw:36;90|nc|[3_-tfk(Eje~byQcl]`kphsWqey080=3:r`iv]5U'zha"Clotlw[uejWje~byQwos>5:7549?6~lmrY1Y+vde&Ghcx`{_qan[firf}Usc27>318tfktS;W%|nc MbmvjqYwkdUhcx`{_ymq8<86=2zha~U=]/r`i*Tb{|f0=0>6:r`iv]5U'zha"\jstnw84699?1{o`}T2\,sgh)Umzgx1?>>078tfktS;W%|nc Rdqvhq:668?0|nc|[3_-tfk(Zly~`y2=>078tfktS;W%|nc Rdqvhq:468?0|nc|[3_-tfk(Zly~`y2;>078tfktS;W%|nc Rdqvhq:268?0|nc|[3_-tfk(Zly~`y29>078tfktS;W%|nc Rdqvhq:068?0|nc|[3_-tfk(Zly~`y27>078tfktS;W%|nc Rdqvhq:>6=i0|nc|[3_-tfk(WVUS@CCP_^3\[ZKdgdzj~R~lm^alqkr\98WTKCJP36]l[Ict{]bjbRRaPltvgm62d3yifV9Po^NfwvRoigU9SbQcuufj04>1189sghu\:T${o`!cl]`kphs48:5=45clqX6X(wkd%{o`Qlotlw8479911{o`}T2\,sgh)wkdUhcx`{<0<2<>vdezQ9Q#~lm.r`iZeh}g~7>3?7;qanw^4Z&yif#}mb_bmvjq:46820|nc|[3_-tfk(xjgTob{at=6=5==wkdyP>P cl-sghYdg|d080>8:r`iv]5U'zha"~lm^alqkr;>7;37}mbsZ0^*uej'yifSnaznu>4:4>>7:r`iv]5U'zha"~lm^alqkrX9820|nc|[3_-tfk(xjgTob{at^335==wkdyP>P cl-sghYdg|dS7:r`iv]5U'zha"~lm^alqkrX:8=0|nc|[3_-tfk(xjgTob{at^123>vdezQ9Q#~lm.r`iZeh}g~T8<94pbop_7[)xjg$|ncPcnwmpZ36?2zha~U=]/r`i*vdeVidyczP6058tfktS;W%|nc pbo\gjsi|V=:;6~lmrY1Y+vde&zhaRm`uov\<417:r`iv]5U'zha"~lm^mvpZtbo8o0|nc|[3_-tfk(x|fxiRyfduj\v`a;:7;n7}mbsZ0^*uej'yghQxievk[wc`4:4:i6~lmrY1Y+vde&z~`~kPwhfwlZtbo5>5=h5clqX6X(wkd%{ya}j_vkgpmYumn6>2e:r`iv]5U'zha"~zlrg\slbs`Vxnk1611d9sghu\:T${o`!umqf[roc|aUyij26>0f8tfktS;W%|nc ptnpaZqnl}bT~hiP20f8tfktS;W%|nc ptnpaZqnl}bT~hiP30f8tfktS;W%|nc ptnpaZqnl}bT~hiP40f8tfktS;W%|nc ptnpaZqnl}bT~hiP50f8tfktS;W%|nc ptnpaZqnl}bT~hiP60f8tfktS;W%|nc ptnpaZqnl}bT~hiP70f8tfktS;W%|nc ptnpaZqnl}bT~hiP80f8tfktS;W%|nc ptnpaZqnl}bT~hiP9b9sghu\;T${o`!=f:r`iv]4U'zha"IAD^14[jYwkdUhcx`{[03^[Ict{]bjbR:Po228tfktS:W%|nc GOF\72YhWyifSnaznuY25XYKmzy_dl`P4^m271=wkdyP?P cl-DJAY4?VeT|ncPcnwmp^76UVFn~Zgao]7[j7X[^:896~lmrY0Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<2<01>vdezQ8Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4=4896~lmrY0Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<4<01>vdezQ8Q#~lm.EM@Z50WfU{ya}j_vkgpmYumnQ:=PQnde]3[JSS4?48:6~lmrY0Y+vde&MEHR=8_n]sqiubW~coxeQ}efY25XYflmU;SB[[<7<270=wkdyP?P cl-DJAY4?VeT|xb|e^uj`qnXzlmP=S!pbo,CKBX;>UdS}{csd]tmaroW{olW84pbop_6[)xjg$KCJP36]l[usk{lU|eizg_sgd_47ZWhnoS=Q@UU>;:4523yifV=R.qan+BHCW:=TcR~zlrg\slbs`VxnkV?>]^cg`Z6XG\^753=6;qanw^5Z&yif#J@K_25\kZvrdzoT{dj{h^pfc^76UVkohR>POTV?=;YT_99o7}mbsZ1^*uej'DkohRIAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW[f;179n7}mbsZ1^*uej'DkohRIAD^14[jYw}eynSzgkti]qab]69TUjhiQ?_NWW[f;17;?:6~lmrY0Y+vde&GjhiQHNE]03ZiXx|fxiRyfduj\v`a\98WTmijP0^MVPZ~hz595=7:47382zha~U<]/r`i*KflmULBIQ<7^m\tpjtmV}bhyfPrdeX54[XimnTk4pbop_6[)xjg$Anabp`p\tfkXkfexV?>]^EM@Z50WfUGi~}[h`l\6ZiXd|~7=3=j;qanw^5Z&yif#@m`mqcq[uejWje~byU>1\]DJAY4?VeT@h}|Ticm[7YhWe0?0UdSAk|sUjbjZ4XgVf~x1=13d9sghu\;T${o`!BcnosewYwkdUhcx`{[03^[BHCW:=TcRBjsrVkekY5WfUgyy2;>2f8tfktS:W%|nc MbmntdtXxjgTob{atZ32YZAILV9vdezQ8Q#~lm.O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek07`=wkdyP?P cl-Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj06cS!pbo,IfijxhxT|ncPcnwmp^76UVMEHR=8_n]OavuS`hdT>RaPltvgm3453yifV=R.qan+Heh}g~T|ncPcnwmpZe~4949?6~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu1??>348tfktS:W%|nc MbmvjqYwkdUhcx`{_b{?558Xz}897}mbsZ1^*uej'DidyczPpbo\gjsi|Vir0<0=5:r`iv]4U'zha"Clotlw[uejWje~byQly=3=[wr5:2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq585>85clqX7X(wkd%Fob{at^r`iZeh}g~Tot2=>^pw67=wkdyP?P cl-Ngjsi|VzhaRm`uov\g|:46;?0|nc|[2_-tfk(Eje~byQcl]`kphsWjs7?3Q}t308tfktS:W%|nc MbmvjqYwkdUhcx`{_b{?0;423yifV=R.qan+Heh}g~T|ncPcnwmpZe~4=4T~y<=;qanw^5Z&yif#@m`uov\tfkXkfexRmv<4<11>vdezQ8Q#~lm.O`kphsWyifSnaznu]`}939W{~9>6~lmrY0Y+vde&Ghcx`{_qan[firf}Uhu181249sghu\;T${o`!BcnwmpZvdeVidyczPcx>5:Zts:;1{o`}T3\,sgh)JkfexR~lm^alqkrXkp6<2?;4pbop_6[)xjg$Anaznu]sghYdg|dSnw37?]qp74S!pbo,Ifirf}U{o`Qlotlw[f;078>7}mbsZ1^*uej'DidyczPpbo\gjsi|Vir050Pru01?uej{R9V"}mb/LalqkrXxjgTob{at^az8<85=2zha~U<]/r`i*Kdg|dS}mb_bmvjqYdq535Sz=3:r`iv]4U'zha"Clotlw[uejWje~byQcuu>3:7?S!pbo,Ifirf}U{o`Qlotlw[iss494TECXP0318tfktS:W%|nc MbmvjqYwkdUhcx`{_ymq8585<2zha~U<]/r`i*Kdg|dS}mb_bmvjqYg{6:<3<;;qanw^5Z&yif#@m`uov\tfkXkfexRv`r=32:71S!pbo,Ifirf}U{o`Qlotlw[}iu48;5Sz=3:r`iv]4U'zha"Clotlw[uejWje~byQwos>2:75S!pbo,Ifirf}U{o`Qlotlw[}iu4;49?6~lmrY0Y+vde&Ghcx`{_qan[firf}Usc2<>318tfktS:W%|nc MbmvjqYwkdUhcx`{_ymq8185;2zha~U<]/r`i*Kdg|dS}mb_bmvjqYg{6>2?=4pbop_6[)xjg$Anaznu]sghYdg|dSua}<7<17>vdezQ8Q#~lm.O`kphsWyifSnaznu]{kw:06;90|nc|[2_-tfk(Eje~byQcl]`kphsWqey050=3:r`iv]4U'zha"Clotlw[uejWje~byQwos>::43S!pbo,V`urd}6;2<84pbop_6[)xjg$^h}zlu>24;713yifV=R.qan+Wct}e~7=<0>5:r`iv]4U'zha"\jstnw8486=2zha~U<]/r`i*Tb{|f0?0>5:r`iv]4U'zha"\jstnw8686=2zha~U<]/r`i*Tb{|f090>5:r`iv]4U'zha"\jstnw8086=2zha~U<]/r`i*Tb{|f0;0>5:r`iv]4U'zha"\jstnw8286=2zha~U<]/r`i*Tb{|f050>5:r`iv]4U'zha"\jstnw8<83k2zha~U<]/r`i*YXWQFEARQP1^]\IfijxhxT|ncPcnwmp^76UVMEHR=8_n]OavuS`hdT>RaPltvgm42d3yifV=R.qan+ZYXPEDFSRQ=_^]Ngjkwi{U{o`Qlotlw_47ZWNDOS>9Po^NfwvRoigU9SbQcuufj61eS!pbo,[ZY_DGGTSR=P_^O`khvfzVzhaRm`uovX54[XOGNT?:Q`_MgpwQnffV8TcRbztek00f=wkdyP?P cl-\[Z^KFDUTS9QP_LaliuguWyifSnaznuY25XY@FMU8;RaPLdqpPmgiW;UdSa{{dh62<>vdezQ8Q#~lm.r`iZeh}g~7<3?6;qanw^5Z&yif#}mb_bmvjq:687;27}mbsZ1^*uej'yifSnaznu>25;7?3yifV=R.qan+uejWje~by2>>0:8tfktS:W%|nc pbo\gjsi|585=55clqX7X(wkd%{o`Qlotlw868602zha~U<]/r`i*vdeVidycz34?3;?uej{R9V"}mb/qan[firf}6>2<64pbop_6[)xjg$|ncPcnwmp909911{o`}T3\,sgh)wkdUhcx`{<6<2<>vdezQ8Q#~lm.r`iZeh}g~743?7;qanw^5Z&yif#}mb_bmvjq:>6::0|nc|[2_-tfk(xjgTob{atZ32YZvdeVidyczT10_\EKBX;;Ud?95clqX7X(wkd%{o`Qlotlw_47ZWyifSnaznuY25XYFFMU8>RaPSV323>vdezQ8Q#~lm.r`iZeh}g~T<<94pbop_6[)xjg$|ncPcnwmpZ7602zha~U<]/r`i*vdeVidyczP113;?uej{R9V"}mb/qan[firf}U:=<94pbop_6[)xjg$|ncPcnwmpZ46?2zha~U<]/r`i*vdeVidyczP3058tfktS:W%|nc pbo\gjsi|V>:;6~lmrY0Y+vde&zhaRm`uov\141S!pbo,tfkXkfexR8>7:r`iv]4U'zha"~lm^alqkrX?8=0|nc|[2_-tfk(xjgTob{at^:23>vdezQ8Q#~lm.r`iZeh}g~T5<94pbop_6[)xjg$|ncPotv\v`a6m2zha~U<]/r`i*vrdzoT{dj{h^pfc9499l1{o`}T3\,sgh)w}eynSzgkti]qab:468o0|nc|[2_-tfk(x|fxiRyfduj\v`a;<7;n7}mbsZ1^*uej'yghQxievk[wc`4<4:i6~lmrY0Y+vde&z~`~kPwhfwlZtbo5<5=h5clqX7X(wkd%{ya}j_vkgpmYumn6<2d:r`iv]4U'zha"~zlrg\slbs`VxnkR<>d:r`iv]4U'zha"~zlrg\slbs`VxnkR=>d:r`iv]4U'zha"~zlrg\slbs`VxnkR:>d:r`iv]4U'zha"~zlrg\slbs`VxnkR;>d:r`iv]4U'zha"~zlrg\slbs`VxnkR8>d:r`iv]4U'zha"~zlrg\slbs`VxnkR9>d:r`iv]4U'zha"~zlrg\slbs`VxnkR6>d:r`iv]4U'zha"~zlrg\slbs`VxnkR7=1:rk}vodieUl~b{_bnh[467eapTe"mckg`15>voqzchmaQhrnws[fjlW8:;aetPi.aooc~23{nTicm4re]fjZtt|yei6|k_dl\vvrwg}UMi6|k_dl\vvrwg}UL46|jg^djh`53zyn`RGMUG78wqgsml1xxlzj_GQN[C@c98;0yo{e^DPIZ@Al8'Bb<<4sucwaZ@TEVLMh<#Fn0g8wqgsmVLXARHId332?vrf|lUM_@QIFe0.Mk753z~jxhQISL]EBa4*Ag;n7~zntd]EWHYANm9:=6}{aug\BVKXNOn8!D`>2:qweqcXNZGTJKj<-Hl257=t|h~nSK]B_GDg7(Oi:880yo{e^DPIZ@Al:'Bb9?=;rvbp`YA[DUMJi="Io722>usi}oTJ^CPFGf0)Lh2WZ];46z`pmguai723}e{`hxjl^VJI@UWMJU^OK:4tswf1>quWld37ugciozvj4>8974=i<:>1:6*;25875d=z[j218>;52`f95657>891>:j;e:Q154<10:0:6<=<0737>60bn>1Xo5498282>4548?;?6>8jf`9P647=7?<31420?51moi0_??j:5f:>7gd2898<;?;:24fbc=Tk10?h44=:01043732:i5+20d9<`g<,;8:6?ol;wV15a<7280:6>??{Rag>1522;ko6<=<0730>71c

?951:w121<73t.89;%1:=?>ak2P2o7?t338~ 6322;90(9<=:5c`?!52<3>8:6T;22821gd2tPj:7?t4``9y_ed2;q?::4;a`8~j157291b8::50;9j<`e=831b:5?50;9j<`1=831d:no50;&050<1k01e?<:50:9l2f>=83.8=849c89m742=921d:n950;&050<1k01e?<:52:9l2f0=83.8=849c89m742=;21d::h50;9a077=93;1<7>t$2;:>16?3A>:h6F;079'07c=;9?0(???:823?k2483;0(>8::9d`?j5703:1(9{e<;:1=7?50;2x 6?>28i>7E:>d:J743=#<;o18>64$333><673g>8<7<4$246>=`d3f;h>7>5$50f>66032wi8i851;494?6|,:326<7k;I62`>N38?1/=il54:&76`<6ij1C??l4$333><673S9287?t4619yk248390(>8::9d`?l?c290/8?k5ac98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?le0290/8?k533g8?xd3l>0:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>1=#;??14km4i8f94?"3:l0jn65fd783>!25m3;o>65`33394?"3:l08<:54i`794?"3:l0j965f30c94?"3:l089<54ib594?"3:l08>h54}c6g3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>55:&0205$50f>4b532e8><4?:%61a?57?21bm84?:%61a?g232c8=l4?:%61a?52921bo:4?:%61a?55m21vn;ok:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;90=7)=95;:eg>o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>ho1=7850;2x 6?>28k:7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p8:=5}o604?1<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5ec<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:99'733=0oi0e4j50;&76`5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z647?{i<::156*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?h:6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l7755<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>91qc:<0;`8 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9b282>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gd3A99n6*=118:45=];0>1=v:83;m066=l2.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f3>c280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;318f?!51=32mo6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm69g95?0=83:p(>76:0c2?M26l2B?<;5+1e`90>"3:l0:mn5G33`8 77720:;7W=64;3x025=ug>8<7h4$246>=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=568::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1190:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>47<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5=4<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:008 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl99382>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=4>:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=560(>8::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd11=0:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>43<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5=a<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:048 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl99d82>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=568::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1i90:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>4?<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5e4<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:0c8 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9a382>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=4>:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=568::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1i=0:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>4b<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5fa<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:0g8 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9bd82>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=568::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1k90:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>77<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`5g4<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:308 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl9c382>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=4>:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=560(>8::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd1k=0:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>73<,:<>65hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`;b0<62?0;6=u+38;959i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:348 60221lh7d7k:18'07c=ik10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl7f382>3<729q/?47518f8L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736=`d3`3o6=4+43g9eg=6=4+43g9e0=:783>5}#;031=4j4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=568::9d`?l?c290/8?k5ac98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?le0290/8?k533g8?xd3?m0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;>4rn513>7?<,:<>65hl;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm46g95?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x025=ug>8<7ak2c2h7>5$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj==m6<47:183!5>13;j96F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=0:w99<:|l775<5j2.8:847fb9j=a<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c6;4?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20;3we8>>52b9'733=0oi0e4j50;&76`?10c><>:18'07c=;9=07do::18'07c=i<10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th?4<4>:983>5}#;031=l;4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=568::9d`?l?c290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a0=4=9321<7>t$2;:>4g23A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>91qc:<0;0f?!51=32mo6g6d;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn9m?:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;909j6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=i:6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<482.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1ef280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;31805>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5aa>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821142td??=4<2:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9ml:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;908?6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=io6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<4<2.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1eb280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;31801>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5ae>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821142td??=4<6:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9j?:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;908;6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=n:6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<402.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1e5280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;3180=>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5a0>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821142td??=45$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9m;:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;908n6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=i>6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<4k2.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f1e1280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;3180`>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb5a4>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821142td??=45$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn9m7:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;908j6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj=i26<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<382.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f74b280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90?=6*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:508 60221lh7do8:18'07c=9m807d!25m39;;65rb31g>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<3;2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=k0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?=i:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`11f<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572=<0(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;>:6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4;7:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>1><,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f724280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90?56*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:5c8 60221lh7do8:18'07c=9m807d!25m39;;65rb366>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<3j2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=o0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?:8:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`125<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572=o0(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;>26<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4;f:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>06<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f72e280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90>=6*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:408 60221lh7do8:18'07c=9m807d!25m39;;65rb36g>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<2;2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5>:0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`117<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572<<0(>8::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;9:6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4:7:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>0><,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f754280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90>56*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:4c8 60221lh7do8:18'07c=9m807d!25m39;;65rb316>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<2j2.8:847fb9je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd5=<0:6>4?:1y'7"3:l0?=o5+2029=56=`d3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn?=8:087>5<7s-9257<=5:J75a=O<9<0(9"4><03jn5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`113<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j15728::9d`?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj;926<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4:f:&0206<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>36<,:<>65hl;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f75e280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90==6*<648;bf=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:708 60221lh7do8:18'07c=9m807d!25m39;;65rb37:>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<1;2.8:847fb9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3>o0:6?4?:1y'71C80;;34>\41=0:w99<:|l775<1<2.8:84;699l6dd=83.?>h4<0698m4e0290/8?k51e08?xd3>h0:6?4?:1y'71C80;;34>h3;90=96*<64872==O111d>ll51;&76`<48>10e7`=83:p(>76:ec8L17c3A>;:6T6c;3x036`l0;18jf7=901/o>4>9b9'g1<3<2.h976:;%f`>7723-;8=7<>5:&2<2<5i01/=5653808 4?e28<0b>?=:19'73g=90i0(9>6:0;`?!479392>6F<8`9'07c=lh1/4n4<539'646=19:0b9=?:748 6022=<37E?if:J145=hj90;66g<1c82>M56>2d84o4>;:k01f<72A9>h65f39394?N4=m10clh50;9j7=6=83B89i54ocg95?=nl90;6E=:d:9lea<722eji7>5;h14b?6=@:?o76glf;29L63c32c89l4?:I16`>=nl=0;6E=:d:9j`4<72A9>h65f33g95?h40k0:76a<4e83>M52l21b?7?5H235?_5><3;p8::5}:ka`?7=@:;=76gk2;29L63c32e89o4?:I16`>=n;<21<7F<5e9K740<3`9:h7?5n2:a>4=;6:18K70b<@:;=76gk3;29L63c32eh57>5H27g?>o6k90;6E=:d:9j72b=83B89i54oc`94?=h;8i1<75`b083>>i59:0;66g>8783>>i4?j0;6)=>5;14f>h49=0;7)h5i?0<76a<7`83>!56=39i4?00;6)=>5;14f>h49=097)h5i?0>76a<7983>!56=39i4?>0;6)=>5;14f>h49=0?7)h5i?0876a<7783>!56=39i4?<0;6)=>5;14f>h49=0=7)h5i?0:76a<7583>!56=39{e28im7E:>d:J743=#i80:;55+1e397<4<,=8n6l64$32b>4b53A8;56*=118:45=#9m:186`;31853>"4><0?:55f1b594?"3:l0:h?54o3ca>5<#<;o1?=94;|`7bg<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572?20(>8::5fb?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj<:>6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=499:&020<3lh1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl;f782>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>3g<,:<>69jn;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f06328086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90=n6*<6487`d=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:7a8 6022=nj7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb420>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<1l2.8:84;d`9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3n;0:694?:1y'7"3:l09>95+2029=561bf3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn8>=:080>5<7s-9257:>c:J75a=O<9<0(9"4><0?hl5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`7b5<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572>:0(>8::5fb?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj<::6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=481:&020<3lh1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl;ed82>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>24<,:<>69jn;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f06728086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;900;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:668 6022=nj7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb5de>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<0=2.8:84;d`9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd3mh0:694?:1y'7"3:l09>95+2029=561bf3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn9hj:080>5<7s-9257:>c:J75a=O<9<0(9"4><0?hl5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`7a=<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572>20(>8::5fb?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj=lo6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=489:&020<3lh1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl;e782>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>2g<,:<>69jn;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f1`f28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;900;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:6a8 6022=nj7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb72g>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<9581=c02==86p`;3184`>"4><0?hl5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9010qo8?c;39a79K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:52z:f3?20;3we8>>57d9'733=5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th=:983>5}#;031=l84H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=561bf3`3o6=4+43g9eg==nl?0;6):=e;3g6>=n;;81<7*;2d8023==7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e>9k1=7650;2x 6?>28k=7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><38p4h954619yk24832;7)=95;6ge>o>l3:1(9h4>d398m645290/8?k53748?j5593:1(9o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f36>28036=4?{%1:=?7f>2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<5s1o<699<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c772?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38696~>b?3>!25m3k>76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl:4482>=<729q/?4751`48L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:3y;a2<3?:0vb9=?:918 6022=nj7d7k:18'07c=ik10el;50;&76`?10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi99:51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S92878<76;;%151?2ci2c2h7>5$50f>dd<3`k>6=4+43g9e0=5$50f>66032c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj<>86<47:183!5>13;j:6F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=09w5k8:550>xh3;90396*<6487`d=n1m0;6):=e;ca?>of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg33:3;147>50z&0=<<6i?1C80(9h4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`604<6210;6=u+38;95d0<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>7}?m>0?;>4rn513>=1<,:<>69jn;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm55295?>=83:p(>76:0c5?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;0x<`1=<>91qc:<0;:;?!51=3>om6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn8=i:08;>5<7s-9257?n6:J75a=O<9<0(1142td??=479:&020<3lh1b5i4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;h11a?6=,=8n6>=n;=i1<7*;2d802<=4gd3A99n6*=118:45=];0>1=v:83;m066=0h1/?;;54ec8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg3b13;1:7>50z&0=<<6i81C80(9!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c7f3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>58b9'733=5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z647?{i<::14i5+37790ag=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gd3A99n6*=118:45=];0>1=v:83;m066=0l1/?;;54ec8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg3b=3;1:7>50z&0=<<6i81C80(9!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c7f0?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>5919'733=5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z647?{i<::15<5+37790ag=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gd3A99n6*=118:45=];0>1=v:83;m066=1;1/?;;54ec8mh4nb:9j`3<72->9i7?k2:9l777=83.?>h4<0698md3=83.?>h4n5:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg3c>3;1:7>50z&0=<<61m1C80(9!25m3;o>65`33394?"3:l08<:54i`794?"3:l0j965f30c94?"3:l089<54ib594?"3:l08>h54}c7ab?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>5959'733=10el;50;&76`9e9K04b<@=:=7)?kb;36?!25m3;jo6F<2c9'646=19:0(?>8:9df?_5><38p4h954619yk24833>7)=95;6ge>o>l3:1(9of=3:1(9od?3:1(9{e=m?1=7850;2x 6?>283o7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p8:=5}o604??13-9=97:ka:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?c3A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>91qc:<0;;4?!51=3>om6g6d;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm66f95?0=83:p(>76:0;g?M26l2B?<;5+1e`90>"3:l0:mn5G33`8 77720:;7W=64;3x025=ug>8<777;%151?2ci2c2h7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi:>751;494?6|,:326;I62`>N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t8d59yk2483327)=95;424>o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>:21=7850;2x 6?>28k:7E:>d:J743=#<;o1=lm4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604??f3-9=978>0:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'07c=9hi0(?;[1:0?7|0l=1qc:<0;;a?!51=3<:<6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm62495?0=83:p(>76:0c2?M26l2B?<;5+43g95de<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<77l;%151?0682c2h7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi:>;51;494?6|,:326;I62`>N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t8d59yk24833o7)=95;424>o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e>:>1=7850;2x 6?>28k:7E:>d:J743=#<;o1=lm4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604??b3-9=978>0:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'07c=9hi0(?;[1:0?7|0l=1qc:<0;;e?!51=3<:<6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm62095?0=83:p(>76:0c2?M26l2B?<;5+43g95de<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<7o?;%151?0682c2h7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi:;951;494?6|,:326<7k;I62`>N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t8d59yk2483k:7)=95;424>o>l3:1(9of=3:1(9od?3:1(9{e>?<1=7850;2x 6?>283o7E:>d:J743=#<;o1=lm4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604?g53-9=978>0:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?c3A>:h6F;079'07c=9hi0(?;[1:0?7|0l=1qc:<0;c0?!51=3<:<6g6d;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm67695?0=83:p(>76:0;g?M26l2B?<;5+43g95de<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<7o;;%151?0682c2h7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi:;=51;494?6|,:326<7k;I62`>N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t8d59yk2483k>7)=95;424>o>l3:1(9of=3:1(9od?3:1(9{e>?81=7850;2x 6?>283o7E:>d:J743=#<;o1=lm4$0fa>1=O;;h0(???:823?_5><3;p4h95}o604?g13-9=978>0:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4?c3A>:h6F;079'07c=9hi0(?;[1:0?7|0l=1qc:<0;c4?!51=3<:<6g6d;29 14b2hh07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm67295?0=83:p(>76:0;g?M26l2B?<;5+43g95de<,8ni695G33`8 77720:;7W=64;3x<`1=ug>8<7o7;%151?0682c2h7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi::<51;494?6|,:326<7j;I62`>N38?1/=il54:&76`<6ij1C??l4$333><673S9287?t8d59yk2483k27)=95;424>o>l3:1(9of=3:1(9{e>>;1=7850;2x 6?>283n7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p4h95}o604?gf3-9=978>0:k:`?6=,=8n6ll4;ha4>5<#<;o1??k4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h12e?6=,=8n6>;>;:a226=93<1<7>t$2;:>4?b3A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|0l=1qc:<0;ca?!51=3<:<6g6d;29 14b2hh07dm8:18'07c=;;o07dj9:18'07c=9m807b==1;29 14b2::<76gn5;29 14b2h?07d=>a;29 14b2:?:76sm67d95?0=83:p(>76:0;f?M26l2B?<;5+1e`90>"3:l0:mn5G33`8 77720:;7W=64;3x<`1=ug>8<7ol;%151?0682c2h7>5$50f>dd<3`i<6=4+43g977c<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632wi::951;494?6|,:326N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t8d59yk2483ko7)=95;424>o>l3:1(95$50f>4b532e8><4?:%61a?57?21bm84?:%61a?g232c8=l4?:%61a?52921bo:4?:%61a?55m21vn;9n:085>5<7s-9257?6d:J75a=O<9<0({9g4>xh3;90ji6*<648555=n1m0;6):=e;ca?>oc>3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?9j6<49:183!5>13;j86F;1e9K050<,=8n6N3:m10ei850;&76`<6l;10e>?n:18'07c=;<;07b==1;29 14b2::<76gn5;29 14b2h?07d==e;29 14b2:8n7E:?5:9~f34>280=6=4?{%1:=?7f92B?=i5G4148 14b28kh7)?kb;68L64e3-8:<77?0:X0=1<6s==86p`;318a4>"4><0===5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb70;>4<1290;w)=69;3b5>N39m1C8=84$50f>4gd3-;on7:4H20a?!46833;<6T<95821142td??=4m1:&020<1991b5i4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn;<8:085>5<7s-9257?n1:J75a=O<9<0(90D>{550>xh3;90i>6*<648555=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?8=6<49:183!5>13;j=6F;1e9K050<,=8n60;;34>\41=0:w99<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f342280=6=4?{%1:=?7f92B?=i5G4148 14b28kh7)?kb;68L64e3-8:<77?0:X0=1<6s==86p`;318a0>"4><0===5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb707>4<1290;w)=69;3b5>N39m1C8=84$50f>4gd3-;on7:4H20a?!46833;<6T<95821142td??=4m5:&020<1991b5i4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn;<<:085>5<7s-9257?n1:J75a=O<9<0(90D>{550>xh3;90i:6*<648555=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj?896<49:183!5>13;j=6F;1e9K050<,=8n60;;34>\41=0:w99<:|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=14280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90i46*<648;1g=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:483>5}#;031>2.8=:47b29'07c=;;n0(???:823?!7cj3>37)=>8;:a7>h3;90i56*<648;1g=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h4<0698yg>0m3;197>50z&0=<<59h1C84$0fa>47<,:;365l<;o604?df3-9=976:b:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876a=ac83>!25m39;;65rb951>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l7759i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd??10:684?:1y'7"49>03n?5+43g977b<,;;;64>?;%3gf?2?3-9:476m2:l775=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=9;%123?>e:2.?>h4<2e9'646=19:0(n6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432e9mo4?:%61a?57?21vn59>:087>5<7s-9257<=5:J75a=O<9<0(9"4><039o5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`;32<62<0;6=u+38;964g<@=;o7E:?6:&052"4><039o5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9ll50;&76`<48>10qo68c;391?6=8r.8544=1`9K04b<@=:=7)=>7;:a5>"3:l08>i5+2029=56<,8ni6=d63g>8<7m?;%151?>2j2c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>i5ik0;6):=e;133>=zj1=;6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4l1:&0200<729q/?47520c8L17c3A>;:6*<168;f5=#<;o1??j4$333><673-;on7:7;%12e82d??=4l2:&020n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=h:hh1<7*;2d8042=<>59128 4be28;0(>?7:9`3?k2483i87)=95;:6f>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:m1eg<72->9i7=?7:9~f=0a280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90h86*<648;1g=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:b78 60221?i7do8:18'07c=9m807d!25m39;;65rb9;;>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7752.8:8475c9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?080:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn5o<:080>5<7s-9257:>c:J75a=O<9<0(9"4><039o5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;=6<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572j30(>8::97a?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj1k96<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4la:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl79082>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>fd<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=g628086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90ho6*<648;1g=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:bf8 60221?i7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb9c3>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7759i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?0m0:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn57i:080>5<7s-9257:>c:J75a=O<9<0(9"4><039o5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;<>59128j1572m;0(>8::97a?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj13n6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4k2:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl78882>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>a5<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=?c28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90o86*<648;1g=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:e78 60221?i7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb9;`>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l7752.8:8475c9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?0<0:694?:1y'7"3:l09>95+2029=56=3e3`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn57m:080>5<7s-9257:>c:J75a=O<9<0(9"4><039o5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;<6<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572m30(>8::97a?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj13<6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4ka:&020=h50;&76`<6l;10c?om:18'07c=;9=07pl78182>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>ad<,:<>65;m;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f=e228036=4?{%1:=?7f=2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<6s==>6p`;318gg>"4><039o5f9e83>!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo6l4;39a49K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1hi5+3779<0d=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd?km0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;84rn513>ac<,:<>65;m;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm8ba95?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7ji;%151?>2j2c2h7>5$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj1ii6<47:183!5>13;j96F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=0:w99::|l7759i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c:`e?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>5e09'733=0?10c><>:18'07c=;9=07do::18'07c=i<10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th3o44>:983>5}#;031=l;4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=568::97a?l?c290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a=9321<7>t$2;:>4g23A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;g0?!51=32>n6g6d;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn5m8:08;>5<7s-9257?n5:J75a=O<9<0({556>xh3;90n86*<648;1g=n1m0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg>d>3;147>50z&0=<<6i<1C80(9<97sa4229a0=#;??148l4i8f94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl7c282>=<729q/?4751`78L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y730=3e3`3o6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e0j81=7650;2x 6?>28k>7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?c03-9=976:b:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb9ff>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821122td??=4j8:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn5jk:085>5<7s-9257?n1:J75a=O<9<0({556>xh3;90n56*<648;1g=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj1nh6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99::|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=be280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==>6p`;318ff>"4><039o5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb9fb>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821122td??=4jc:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn5j6:085>5<7s-9257?n1:J75a=O<9<0({556>xh3;90nh6*<648;1g=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj1n36<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99::|l7759i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=b0280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==>6p`;318fb>"4><039o5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb9af>4<1290;w)=69;3:`>N39m1C8=84$0fa>43<,=8n6"59902<=5+21598::97a?l?c290/8?k5ac98ma0=83.?>h4>d398k646290/8?k53158?lg2290/8?k5a498m67f290/8?k53438?le0290/8?k533g8?xd0mo0:694?:1y'7"3:l09>95+2029=562b03`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn:h::086>5<7s-9257<>a:J75a=O<9<0(>?8:90e?!25m399h6*=118:45=#9mh1855+30:9<7`2b03`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976g>cc83>!25m32o7c:=c;18?j4fj3:1(9{e?ok1=7;50;2x 6?>2;;j7E:>d:J743=#;8=14?h4$50f>64c3-8:<77?0:&2`g<692.8=5472g9m066=n:1/?;;57e58m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54o3ca>5<#<;o1?=94;|`4a`<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j1572o>0(>8::6f4?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>l?6<4::183!5>138:m6F;1e9K050<,:;<658::6f4?l7d03:1(9h47d:l76f<532c:oo4?:%61a?>c3g>9o7=4;n0bf?6=,=8n6>>8;:a3c?=93?1<7>t$2;:>77f3A>:h6F;079'741=0;o0(9dc825>"49103>h5a4229b3=#;??1;i94i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(91<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>c1<,:<>6:j8;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f2`4280>6=4?{%1:=?46i2B?=i5G4148 670218o7):=e;11`>"59902<=5+1e`90==#;8214?j4n513>c><,:<>6:j8;h3`5=o6kk0;6):=e;:g?k25k3907b76:33b?M26l2B?<;5+3059<7b<,=8n6>1:&05=>5f89'733=?m=0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:gc8 6022>n<7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb6d1>4<2290;w)=69;02e>N39m1C8=84$234>=4d3->9i7==d:&155<>891/=il5499'74>=0;i0b9=?:g`8 6022>n<7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3f8jn7>5$50f>66032wi;k951;794?6|,:326??n;I62`>N38?1/?<9583a8 14b2:8o7)<>0;;34>"6lk0:=6*<198;6f=i<::1jn5+37793a1o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9"3:l09>95+2029=562b03`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn5?::080>5<7s-9257:>c:J75a=O<9<0(9"4><0!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;51<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j1572ol0(>8::6f4?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj>lo6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>019'733=?m=0el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7792.8:848d69je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd?8o0:694?:1y'7"3:l09>95+2029=56=;%151?1c?2cj;7>5$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo6>e;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a4229555<,:<>6:j8;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f=6c280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:<95+37793a1h6=4+43g971`<3f8jn7>5$50f>66032wi4N38?1/8?k540`8 77720:;7c:<0;331>"4><0!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`;4g<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j15728:=7)=95;5g3>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=9i7:>b:&155<>891e8>>51158 6022>n<7do8:18'07c=9m807d!25m39;;65rb92:>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6811/?;;57e58md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e08h1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7??9:&020<0l>1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl70682>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>46f3-9=979k7:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg>6i3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1==l4$246>2b03`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn5>::087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a<4?=9391<7>t$2;:>17d3A>:h6F;079'07c=<8h0(???:823?k2483;;h6*<6484`2=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=4>:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:02f?!51=3=o;6gn7;29 14b28n?76gkd;29 14b28n876g<4b83>!25m39?j65`2``94?"3:l08<:54}c:29;%61a?26j2.9==46019m066=99l0(>8::6f4?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj1::6<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>119'733=?m=0el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7692.8:848d69je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd0no0:694?:1y'7"3:l09>95+2029=565$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo6>3;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a4229545<,:<>6:j8;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f2`d280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:=95+37793a1h6=4+43g971`<3f8jn7>5$50f>66032wi49?51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;:96*<6484`2=n1m0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg>383;147>50z&0=<<6i<1C80(9<97sa4229540<,:<>6:j8;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm85;95?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?>7:&020<0l>1b5i4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=6343A99n6*=118:45=];0>1=v:85;m066=9820(>8::6f4?l?c290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a<11=9321<7>t$2;:>4g23A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;32=>"4><0!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo6;6;39a49K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=2b03`3o6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e0=?1=7650;2x 6?>28k>7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?76j2.8:848d69j=a<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c:70?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>510a8 6022>n<7d7k:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi49=51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;:h6*<6484`2=n1m0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg>3:3;147>50z&0=<<6i<1C80(9<97sa422954c<,:<>6:j8;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm82d95?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?>f:&020<0l>1b5i4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=6343A99n6*=118:45=];0>1=v:85;m066=9;:0(>8::6f4?l?c290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a<0g=93<1<7>t$2;:>4g63A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>?1qc:<0;315>"4><0!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb97:>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821122td??=4>239'733=?m=0e4j50;&76`5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z641?{i<::1=?=4$246>2b03`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=56o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e0<<1=7850;2x 6?>28k:7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p8:;5}o604?75=2.8:848d69j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f=32280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==>6p`;318263=#;??1;i94i8f94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c:60?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20=3we8>>51358 6022>n<7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl75282>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi49o51;494?6|,:326<7k;I62`>N38?1/=il5149'07c=9hi0D><97sa422957?<,:<>6:j8;h;g>5<#<;o1mo54ie494?"3:l0:h?54o202>5<#<;o1?=94;hc6>5<#<;o1m854i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`42g<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j157288j7)=95;567>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=<>59128 4be2=20(>?7:6ca?k2483;9n6*<648416=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h4<0698yg10>3;197>50z&0=<<59h1C84$0fa>47<,:;36:om;o604?75k2.8:848529j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=9;%61a?45<2.9==46019m066=9;n0(>8::670?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>=;6<4::183!5>138:m6F;1e9K050<,:;<6:on;%61a?55l2.9==46019'5ad=<11/?<657`c8j157288n7)=95;567>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:m1eg<72->9i7=?7:9~f212280>6=4?{%1:=?46i2B?=i5G4148 6702>kj7):=e;11`>"59902<=5+1e`954=#;821;lo4n513>44a3-9=979:3:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876a=ac83>!25m39;;65rb64:>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6;91/?;;57418md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e??l1=7;50;2x 6?>2;;j7E:>d:J743=#;8=1;l74$50f>64c3-8:<77?0:&2`g<302.8=548a89m066=9:;0(>8::670?l7d03:1(9h47d:l76f<532c:oo4?:%61a?>c3g>9o7=4;n0bf?6=,=8n6>>8;:a322=93?1<7>t$2;:>77f3A>:h6F;079'741=?h30(9dc825>"49106:;<;h3`5=o6kk0;6):=e;:g?k25k3907b76:306?M26l2B?<;5+43g9672<,;;;64>?;o604?74;2.8:848529je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd0>l0:684?:1y'7"49>0?;%3gf?2?3-9:479n8:l775<6;=1/?;;57418m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54o3ca>5<#<;o1?=94;|`436<62<0;6=u+38;964g<@=;o7E:?6:&052<0i11/8?k533f8 77720:;7)?kb;32?!5603=j46`;318270=#;??1;8=4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(91<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>4513-9=979:3:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg1>93;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1=>94$246>2343`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn:7?:080>5<7s-9257:>c:J75a=O<9<0(95<#<;o1=i<4;n0bf?6=,=8n6>>8;:a32?=93>1<7>t$2;:>7423A>:h6F;079'07c=:;>0(???:823?k2483;856*<648416=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=:283>5}#;03182.?>h4;1c9'646=19:0b9=?:01b?!51=3=>?6gn7;29 14b28n976g=0g83>!25m3;o>65`2``94?"3:l08<:54}c5;f?7=<3:19;%61a?45<2.9==46019m066=9:h0(>8::670?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>3j6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4>3b9'733=?<90el950;&76`<6l;10e?>i:18'07c=9m807b76:306?M26l2B?<;5+43g9672<,;;;64>?;o604?74l2.8:848529je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd0100:6>4?:1y'7"3:l0?=o5+2029=565$50f>4b532c9ll50;&76`<48>10qo977;390?6=8r.8544=249K04b<@=:=7):=e;010>"59902<=5a422956`<,:<>6:;<;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f2??28086=4?{%1:=?26k2B?=i5G4148 14b2=;i7)<>0;;34>h3;90:8=5+37793055$50f>66032wi;5;51;694?6|,:326?<:;I62`>N38?1/8?k52368 77720:;7c:<0;375>"4><0<9>5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`4=2<62:0;6=u+38;904e<@=;o7E:?6:&76`<39k1/><>59128j15728>97)=95;567>of?3:1(9o58o0;6):=e;3g6>=h:hh1<7*;2d8042=85G40f8L1613->9i7<=4:&155<>891e8>>51518 6022>?87do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb6;5>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<6<=1/?;;57418md1=83.?>h4>d398m76a290/8?k51e08?j4fj3:1(9{e?1;1=7:50;2x 6?>2;8>7E:>d:J743=#<;o1>?:4$333><673g>8<7?;5:&020<0=:1bm:4?:%61a?7c<21bhi4?:%61a?7c;21b?9m50;&76`<46<729q/?47540a8L17c3A>;:6*;2d875g=#:8:15=>4n513>4213-9=979:3:kb3?6=,=8n69i7?k2:9l6dd=83.?>h4<0698yg10n3;187>50z&0=<<5:<1C82343`k<6=4+43g95a2<3`no6=4+43g95a5<3`9?o7>5$50f>62a32e9mo4?:%61a?57?21vn:7;:080>5<7s-9257:>c:J75a=O<9<0(95<#<;o1=i<4;n0bf?6=,=8n6>>8;:a32b=93>1<7>t$2;:>7423A>:h6F;079'07c=:;>0(???:823?k2483;?56*<648416=ni>0;6):=e;3g0>=nlm0;6):=e;3g7>=n;=i1<7*;2d800c=4>:283>5}#;03182.?>h4;1c9'646=19:0b9=?:06b?!51=3=>?6gn7;29 14b28n976g=0g83>!25m3;o>65`2``94?"3:l08<:54}c54f?7=<3:19;%61a?45<2.9==46019m066=9=h0(>8::670?lg0290/8?k51e68?lbc290/8?k51e18?l53k3:1(9i5ik0;6):=e;133>=zj>2m6<4<:183!5>13>:o6F;1e9K050<,=8n69?m;%024??782d??=4>4b9'733=?<90el950;&76`<6l;10e?>i:18'07c=9m807b76:306?M26l2B?<;5+43g9672<,;;;64>?;o604?73l2.8:848529je2<72->9i7?k4:9j`a<72->9i7?k3:9j71e=83.?>h4<4g98k7ge290/8?k53158?xd0jm0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;84rn513>42b3-9=979:3:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb6``>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<95821122td??=4>4g9'733=?<90e4j50;&76`?10c><>:18'07c=;9=07do::18'07c=i<10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th:983>5}#;031=l;4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=56o>l3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f2e328036=4?{%1:=?7f=2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<6s==>6p`;318214=#;??1;8=4i8f94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl8c282>=<729q/?4751`78L17c3A>;:6*>dc87?!25m39>?6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21bm84?:%61a?g232c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj>i96<47:183!5>13;j96F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=0:w99::|l775<6=:1/?;;57418mh4nb:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?lg2290/8?k5a498m636290/8?k53438L16232c8>h4?:%61a?55m2B?<854i26`>5<#<;o1?;74;|`4g4<6210;6=u+38;95d3<@=;o7E:?6:&2`g<33->9i7=:3:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:077?!51=3=>?6g6d;29 14b2hh07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65fa483>!25m3k>76g<5083>!25m39>=6F;0498m64b290/8?k533g8L16232c88n4?:%61a?51121vn:m?:08;>5<7s-9257?n5:J75a=O<9<0({556>xh3;90:985+3779305=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd0jo0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?328q?;84rn513>4313-9=979:3:k:`?6=,=8n6ll4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9je0<72->9i7o:;:k014<72->9i7=:1:J740=76g<4b83>!25m39=565rb6`f>4N39m1C8=84$0fa>1=#<;o1?8=4H20a?!46833;<6T<95821122td??=4>569'733=?<90e4j50;&76`?10c><>:18'07c=;9=07do::18'07c=i<10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=h6=4+43g973?<3th:983>5}#;031=l;4H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=56o>l3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f2df28036=4?{%1:=?7f=2B?=i5G4148 4be2=1/8?k53418L64e3-8:<77?0:X0=1<6s==>6p`;31821<=#;??1;8=4i8f94?"3:l0jn65fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;hc6>5<#<;o1m854i272>5<#<;o1?8?4H526?>o4:l0;6):=e;11a>N38<10e>:l:18'07c=;?307pl8d782>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y7305$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi;i;51;494?6|,:326;I62`>N38?1/=il54:&76`<6ij1C??l4$333><673S9287?t4679yk2483;>n6*<648416=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj>n?6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99::|l775<6=j1/?;;57418mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg1c;3;1:7>50z&0=<<6i81C80(9<97sa422950b<,:<>6:;<;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`4`7<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?<0vb9=?:07f?!51=3=>?6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm7e395?0=83:p(>76:0c2?M26l2B?<;5+1e`90>"3:l0:mn5G33`8 77720:;7W=64;3x023=ug>8<7?:f:&020<0=:1b5i4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn:j?:085>5<7s-9257?n1:J75a=O<9<0({556>xh3;90::=5+3779305=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gd3A99n6*=118:45=];0>1=v:85;m066=9?;0(>8::670?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd0k?0:6;4?:1y'7"6lk0:96*;2d82ef=O;;h0(???:823?!47?32mi6T<95821122td??=4>639'733=?<90e4j50;&76`10el;50;&76`"59902<=5a4229535<,:<>6;mi;hc4>5<#<;o1=i:4;hfg>5<#<;o1=i=4;h17g?6=,=8n6>:i;:m1eg<72->9i7=?7:9~f3cc280>6=4?{%1:=?46i2B?=i5G4148 6702>8<7):=e;11`>"59902<=5+1e`90==#;821;?94n513>4033-9=978lf:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876a=ac83>!25m39;;65rb7d1>4<2290;w)=69;02e>N39m1C8=84$234>2403->9i7==d:&155<>891/=il5109'74>=?;=0b9=?:046?!51=3c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432e9mo4?:%61a?57?21vn;k9:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a2`e=93?1<7>t$2;:>77f3A>:h6F;079'741=?;<0(9dc87<>"4910<>;5a4229531<,:<>6;mi;h3`5=o6kk0;6):=e;:g?k25k3907b76:33b?M26l2B?<;5+3059370<,=8n6>1:&05=<0:?1e8>>517:8 6022?im7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3f8jn7>5$50f>66032wi:h;51;694?6|,:326?<:;I62`>N38?1/8?k52368 77720:;7c:<0;35=>"4><0=ok5fa683>!25m3;o865fde83>!25m3;o?65f35a94?"3:l088k54o3ca>5<#<;o1?=94;|`5ag<62<0;6=u+38;964g<@=;o7E:?6:&052<0:<1/8?k533f8 77720:;7)?kb;6;?!5603=996`;31822d=#;??1:nh4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(90<729q/?47520c8L17c3A>;:6*<168460=#<;o1??j4$333><673-;on7?>;%126c9'733=>jl0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:04`?!51=3!25m39?j65`2``94?"3:l08<:54}c4fe?7==3:19;%123?15<2.?>h4<2e9'646=19:0(8?7c:<0;35`>"4><0=ok5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9ll50;&76`<48>10qo8jf;391?6=8r.8544=1`9K04b<@=:=7)=>7;510>"3:l08>i5+2029=56<,8ni62433g>8<7?9e:&020<1ko1b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=h:hh1<7*;2d8042=85G40f8L1613->9i7<=4:&155<>891e8>>517d8 6022?im7do8:18'07c=9m>07djk:18'07c=9m907d=;c;29 14b2:>m76a=ac83>!25m39;;65rb62g>4<4290;w)=69;62g>N39m1C8=84$50f>17e3-8:<77?0:l775<6?91/?;;56bd8md1=83.?>h4>d398m76a290/8?k51e08?j4fj3:1(9{e?9i1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7?81:&020<1ko1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl9f482>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>4153-9=978lf:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg16?3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1=:=4$246>3ea3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn:>8:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a340=9391<7>t$2;:>17d3A>:h6F;079'07c=<8h0(???:823?k2483;<96*<6485gc=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:055?!51=3!25m39?j65`2``94?"3:l08<:54}c521?7=;3:19;%61a?26j2.9==46019m066=9>=0(>8::7ae?lg0290/8?k51e08?l47n3:1(9i5ik0;6):=e;133>=zj>:86<4;:183!5>138996F;1e9K050<,=8n6?<;;%024??782d??=4>799'733=>jl0el950;&76`<6l=10eij50;&76`<6l:10e>:l:18'07c=;=l07b76:53`?M26l2B?<;5+43g904d<,;;;64>?;o604?7012.8:849cg9je2<72->9i7?k2:9j65`=83.?>h4>d398k7ge290/8?k53158?xd0880:694?:1y'7"3:l09>95+2029=565$50f>4b332coh7>5$50f>4b432c88n4?:%61a?53n21d>ll50;&76`<48>10qo9>3;397?6=8r.8544;1b9K04b<@=:=7):=e;62f>"59902<=5a422952d<,:<>6;mi;hc4>5<#<;o1=i<4;h03b?6=,=8n69i7=?7:9~f3`a280?6=4?{%1:=?45=2B?=i5G4148 14b2;8?7)<>0;;34>h3;90:;n5+37792f`h6=4+43g971`<3f8jn7>5$50f>66032wi;<<51;194?6|,:3269?l;I62`>N38?1/8?k540`8 77720:;7c:<0;34`>"4><0=ok5fa683>!25m3;o>65f21d94?"3:l0:h?54o3ca>5<#<;o1?=94;|`5ba<62=0;6=u+38;9673<@=;o7E:?6:&76`<5:=1/><>59128j15728=n7)=95;4`b>of?3:1(9ocl3:1(9o4=h:hh1<7*;2d8042=9i7:>b:&155<>891e8>>516d8 6022?im7do8:18'07c=9m807d!25m39;;65rb7da>4<3290;w)=69;011>N39m1C8=84$50f>7433-8:<77?0:l775<6091/?;;56bd8md1=83.?>h4>d598mab=83.?>h4>d298m62d290/8?k535d8?j4fj3:1(9{e?8:1=7=50;2x 6?>2=;h7E:>d:J743=#<;o18<673g>8<7?71:&020<1ko1bm:4?:%61a?7c:21b>=h50;&76`<6l;10c?om:18'07c=;9=07pl9f882>1<729q/?4752378L17c3A>;:6*;2d8161=#:8:15=>4n513>4>53-9=978lf:kb3?6=,=8n69i7=;f:9l6dd=83.?>h4<0698yg17n3;1?7>50z&0=<<39j1C8:n6*=118:45=i<::1=5=4$246>3ea3`k<6=4+43g95a4<3`8;j7>5$50f>4b532e9mo4?:%61a?57?21vn;h8:087>5<7s-9257<=5:J75a=O<9<0(954i26`>5<#<;o1?9h4;n0bf?6=,=8n6>>8;:a35d=9391<7>t$2;:>17d3A>:h6F;079'07c=<8h0(???:823?k2483;396*<6485gc=ni>0;6):=e;3g6>=n:9l1<7*;2d82`7=:583>5}#;031>?;4H53g?M27>2.?>h4=259'646=19:0b9=?:0:5?!51=3!25m39?j65`2``94?"3:l08<:54}c50=?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>51958 6022?im7d7k:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi;>651;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;346*<6485gc=n1m0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg1393;147>50z&0=<<6i<1C80(9<97sa42295=?<,:<>6;mi;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm75295?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?7a:&020<1ko1b5i4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=6343A99n6*=118:45=];0>1=v:85;m066=91h0(>8::7ae?l?c290/8?k5ac98ma0=83.?>h4>d398m645290/8?k53748?j5593:1(9of=3:1(986;:a36c=9321<7>t$2;:>4g23A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?7|<>?1qc:<0;3;g>"4><0=ok5f9e83>!25m3ki76gk6;29 14b28n976g<2383>!25m39=:65`33394?"3:l08<:54i`794?"3:l0j965f34394?"3:l089<5G4178?l55m3:1(9010qo9a49K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:51z641?{i<::1=5j4$246>3ea3`3o6=4+43g9eg=5$50f>66032cj97>5$50f>d3<3`9>=7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e?:i1=7650;2x 6?>28k>7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><3;p8:;5}o604?7?m2.8:849cg9j=a<72->9i7om;:kg2?6=,=8n69i7=96:9l777=83.?>h4<0698md3=83.?>h4n5:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c50f?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38695~20=3we8>>519d8 6022?im7d7k:18'07c=ik10ei850;&76`<6l;10e><=:18'07c=;?<07b==1;29 14b2::<76gn5;29 14b2h?07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi;>o51;:94?6|,:326N38?1/=il54:&76`<4=:1C??l4$333><673S9287?t4679yk2483;2<6*<6485gc=n1m0;6):=e;ca?>oc>3:1(9o4:;0;6):=e;152>=h;;;1<7*;2d8042==n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg14?3;147>50z&0=<<6i<1C80(9<97sa42295<7<,:<>6;mi;h;g>5<#<;o1mo54ie494?"3:l0:h?54i201>5<#<;o1?;84;n115?6=,=8n6>>8;:kb1?6=,=8n6l;4;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm72495?>=83:p(>76:0c6?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;3x023=ug>8<7?62:&020<1ko1b5i4?:%61a?ge32co:7>5$50f>4b532c8>?4?:%61a?51>21d???50;&76`<48>10el;50;&76`=n;=i1<7*;2d802<=>7?56;294~"4100:m<5G40f8L1613-;on7:4$50f>4gd3A99n6*=118:45=];0>1=v:85;m066=9090(>8::7ae?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd0=80:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;84rn513>4?33-9=978lf:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>?1qc:<0;3:1>"4><0=ok5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb66e>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821122td??=4>979'733=>jl0e4j50;&76`5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z641?{i<::1=494$246>3ea3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=56o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e?=i1=7850;2x 6?>28k:7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p8:;5}o604?7>12.8:849cg9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f22e280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==>6p`;3182=d=#;??1:nh4i8f94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c576?7=>3:19;%3gf?723->9i7?nc:J06g=#:8:15=>4$324>=`b3S9287?t4679yk2483;2n6*<6485gc=n1m0;6):=e;ca?>oc>3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o49h0;6):=e;165>=nk>0;6):=e;11a>=zj:8;6<48:183!5>138:o6F;1e9K050<,=8n6>k2.8:847fb9j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=o6km0;6):=e;:g?k25k3?07b76:33b?M26l2B?<;5+3059000<,=8n6>2d??=4>9e9'733=0oi0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=:283>5}#;031><64H53g?M27>2.8=:4;4e9'07c=;;n0(???:823?!7cj3>;7)=>8;67`>h3;90:5h5+3779i5ik0;6):=e;133>=zj1l:6<4::183!5>138:m6F;1e9K050<,:;<69;9;%61a?55l2.9==46019'5ad=l>1/?<654448j157283m7)=95;:eg>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:m1eg<72->9i7=?7:9~f74>28086=4?{%1:=?4602B?=i5G4148 6702=>87):=e;11`>"59902<=5+1e`972=#;82189=4n513>4g73-9=976ic:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o1?=94;|`651<62:0;6=u+38;964><@=;o7E:?6:&76`<4:m1/><>59128 4be2=:0b9=?:0c2?!51=3>om6g>c983>!25m32o7c:=c;28?l7d13:1(96<729q/?47520:8L17c3A>;:6*<16870f=#<;o1??j4$333><673-;on7:?;%12a39'733=h47d:l76f<632e9mo4?:%61a?57?21vn8?9:080>5<7s-9257<>8:J75a=O<9<0(>?8:56`?!25m399h6*=118:45=#9mh18=5+30:901ec3g>9o7>4;h3`=?6=,=8n65j4n50`>4==:4>:283>5}#;031><64H53g?M27>2.8=:4;4c9'07c=;;n0(???:823?!7cj3>;7)=>8;67f>h3;90:m95+37790agi5ik0;6):=e;133>=zj<;36<4<:183!5>138:46F;1e9K050<,:;<69:m;%61a?55l2.9==46019'5ad=<91/?<6545`8j15728k>7)=95;6ge>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b94?:1y'7"49>0?8;5+43g977b<,;;;64>?;%3gf?273-9:47:;6:l775<6i?1/?;;54ec8m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:m1eg<72->9i7=?7:9~f1bd28086=4?{%1:=?4602B?=i5G4148 14b2:8o7)<>0;;34>"6lk09?6`;3182e2=#;??18io4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76a=ac83>!25m39;;65rb57:>4<3290;w)=69;02=>N39m1C8=84$50f>64c3-8:<77?0:&2`g8<7?n8:&020n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o1?=94;|`545<62<0;6=u+38;964g<@=;o7E:?6:&052<3"4910?8;5a42295d?<,:<>69jn;h3`5=o6kk0;6):=e;:g?k25k3907b76:33a?M26l2B?<;5+43g977b<,;;;64>?;%3gf?7?j2d??=4>a`9'733=h47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=3:19;%61a?55l2.9==46019'5ad=9hk0b9=?:0ca?!51=3>om6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;n0bf?6=,=8n6>>8;:a1f3=93>1<7>t$2;:>77>3A>:h6F;079'07c=;;n0(???:823?!7cj3;j7c:<0;3bg>"4><0?hl5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9"49>0?8?5+43g977b<,;;;64>?;%3gf?523-9:47:;2:l775<6im1/?;;54ec8m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3f8jn7>5$50f>66032wi4k:51;694?6|,:326??6;I62`>N38?1/?<9545f8 14b2:8o7)<>0;;34>"6lk0846*<19870a=i<::1=lk4$246>=`d3`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976a=ac83>!25m39;;65rb30b>4<3290;w)=69;02=>N39m1C8=84$234>1243->9i7==d:&155<>891/=il5399'74>=<=90b9=?:0ce?!51=32mo6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg2183;197>50z&0=<<59h1C8>96*;2d806a=#:8:15=>4$0fa>46<,:;369;:;o604?7e82.8:847fb9j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=9;%123?22=2.?>h4<2e9'646=19:0(=<c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432e9mo4?:%61a?57?21vn?5<7s-9257<>a:J75a=O<9<0(>?8:577?!25m399h6*=118:45=#9mh1?45+30:9002ak2c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>i5ik0;6):=e;133>=zj;8o6<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb30`>4<1290;w)=69;02f>N39m1C8=84$234>1333->9i7==d:&155<>891/=il53`9'74>=<<>0b9=?:0`7?!51=32mo6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;n0bf?6=,=8n6>>8;:a15>=93<1<7>t$2;:>77e3A>:h6F;079'741=<<=0(9dc81a>"4910?9:5a42295g3<,:<>69jn;h3`5=o6kk0;6):=e;:g?k25k3907d?lc;29 14b21n0b9"3:l08>i5+2029=56<,8ni6?;4n513>4d13-9=97:ka:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*<16877d=#<;o1??j4$333><673-;on7o4$23;>15f3g>8<7?m7:&020<3lh1b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=n9ji1<7*;2d8;`>h3:j0?76a=ac83>!25m39;;65rb42f>4<1290;w)=69;02f>N39m1C8=84$50f>64c3-8:<77?0:&2`gb99'733=h47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=9;%61a?55l2.9==46019'5ad=i:1e8>>51c;8 6022=nj7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=<>59128 4be2:o0(>?7:574?k2483;im6*<6487`d=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>4?43g>8<7?mb:&020<3lh1b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=n9ji1<7*;2d8;`>h3:j0?76a=ac83>!25m39;;65rb42g>4<3290;w)=69;02=>N39m1C8=84$234>1263->9i7==d:&155<>891/=il518c8 67?2=>:7c:<0;3ag>"4><0?hl5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9"49>0?9?5+43g977b<,;;;64>?;%3gf?b<,:;369;=;o604?7el2.8:849119j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:k2gf<72->9i76k;o61g?2<3`;hh7>5$50f>=b5<#<;o1?=94;|`556<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be20?0b9=?:0`e?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=:483>5}#;031>2.8=:4;419'07c=;;n0(???:823?!7cj3o0(>?7:563?k2483;h<6*<648555=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h4<0698yg0613;197>50z&0=<<59h1C8?<6*;2d806a=#:8:15=>4$0fa>70<,:;369:?;o604?7d92.8:849119j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=9;%123?24n2.?>h4<2e9'646=19:0("4><0===5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9ll50;&76`<48>10qo8>8;391?6=8r.8544=1`9K04b<@=:=7)=>7;60b>"3:l08>i5+2029=56<,8ni6<<4$23;>15a3g>8<7?l3:&020<1991b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=h:hh1<7*;2d8042=<>59128 4be282j7)=>8;667>h3;90:o95+3779246o6kh0;6):=e;:g?k25k3807b76:33b?M26l2B?<;5+305906c<,=8n6>>51b78 60221?i7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3f8jn7>5$50f>66032wi4;;51;494?6|,:326??m;I62`>N38?1/?<9542g8 14b2:8o7)<>0;;34>"6lk0><6*<19877`=i<::1=n84$246>=3e3`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976g>cc83>!25m32o7c:=c;18?l7dk3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>d22j2c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07d?ld;29 14b21n0b9l0:694?:1y'7"49>0?8l5+43g977b<,;;;64>?;%3gf?2>3-9:47:;a:l775<6k11/?;;584`8m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3f8jn7>5$50f>66032wi4;j51;194?6|,:326??7;I62`>N38?1/?<9545c8 14b2:8o7)<>0;;34>"6lk08;6*<19870d=i<::1=n74$246>=3e3`;h47>5$50f>=b5<#<;o14i5a43a95>=h:hh1<7*;2d8042=<>59128 4be2=l0(>?7:51g?k2483;hm6*<6484`2=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h4<0698yg1b93;1:7>50z&0=<<59k1C88h6*;2d806a=#:8:15=>4$0fa>06<,:;369=k;o604?7dj2.8:848d69j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=i5ik0;6):=e;133>=zj>o86<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb6gb>4<3290;w)=69;02=>N39m1C8=84$234>12>3->9i7==d:&155<>891/=il5489'74>=<=30b9=?:0ag?!51=3=o;6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg1b13;1?7>50z&0=<<5911C8?56*;2d806a=#:8:15=>4$0fa>61<,:;369:6;o604?7dm2.8:848d69j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;n0bf?6=,=8n6>>8;:a30d=93?1<7>t$2;:>77f3A>:h6F;079'741=<:i0(9dc87b>"4910??n5a42295f`<,:<>6:;<;h3`5=o6kk0;6):=e;:g?k25k3907b76:33a?M26l2B?<;5+305906e<,=8n6>>51e28 6022>?87d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o1?=94;|`41c<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2h>0b9=?:0f2?!51=3=>?6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=:583>5}#;031><74H53g?M27>2.8=:4;499'07c=;;n0(???:823?!7cj3>27)=>8;67<>h3;90:h?5+3779305o6kh0;6):=e;:g?k25k3807b76:33;?M26l2B?<;5+305901><,=8n6>>51e18 6022>?87d?l8;29 14b21n0b9290/8?k58e9m07e=921d>ll50;&76`<48>10qo8k7;391?6=8r.8544=1`9K04b<@=:=7)=>7;60f>"3:l08>i5+2029=56<,8ni69h4$23;>15e3g>8<7?k4:&020<1ko1b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=h:hh1<7*;2d8042=<>59128 4be2<:0(>?7:51a?k2483;o96*<6485gc=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h47d:l76f<332e9mo4?:%61a?57?21vn;jm:084>5<7s-9257<>c:J75a=O<9<0(9dc8b0>h3;90:h;5+37792f`o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f3c5280?6=4?{%1:=?4612B?=i5G4148 6702=><7):=e;11`>"59902<=5+1e`90<=#;8218994n513>4b03-9=978lf:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=h:hh1<7*;2d8042=<>59128 4be2:=0(>?7:564?k2483;o46*<6485gc=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?j4fj3:1(9{e0o=1=7950;2x 6?>2;;h7E:>d:J743=#<;o1??j4$333><673-;on7:=;o604?7c12.8:847fb9j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=o6km0;6):=e;:g?k25k3?07b76:33`?M26l2B?<;5+43g977b<,;;;64>?;%3gf?253g>8<7?ka:&020n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=n9ji1<7*;2d8;`>h3:j0?76g>ce83>!25m32o7c:=c;78?j4fj3:1(9{e0o31=7950;2x 6?>2;;h7E:>d:J743=#<;o1??j4$333><673-;on7:=;o604?7cj2.8:847fb9j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=o6km0;6):=e;:g?k25k3?07b76:33;?M26l2B?<;5+3059013<,=8n6>889'74>=<=?0b9=?:0f`?!51=3>om6g>c983>!25m32o7c:=c;28?l7d13:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>2=i<::1=ij4$246>1bf3`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976g>cc83>!25m32o7c:=c;18?l7dk3:1(9h4<0698yg0393;1;7>50z&0=<<59j1C84bb3-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+3059007<,=8n6>8`9'74>=<<;0b9=?:0g3?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg03=3;1;7>50z&0=<<59j1C84c63-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+3059007<,=8n6>8`9'74>=<<;0b9=?:0g0?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg0313;1;7>50z&0=<<59j1C84c33-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+3059006<,=8n6>8`9'74>=<<:0b9=?:0g5?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg03l3;1;7>50z&0=<<59j1C84c03-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+3059006<,=8n6>8`9'74>=<<:0b9=?:0g:?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg0293;1;7>50z&0=<<59j1C84cf3-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+305901c<,=8n6>8`9'74>=<=o0b9=?:0g`?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg02=3;1;7>50z&0=<<59j1C84cc3-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+305901c<,=8n6>8`9'74>=<=o0b9=?:0ge?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg00<3;1;7>50z&0=<<59j1C84`73-9=978>0:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(93<729q/?47520`8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>04;%151?0682c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07b76:33:?M26l2B?<;5+3059004<,=8n6>8`9'74>=<<80b9=?:0d1?!51=3<:<6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg>113;1?7>50z&0=<<5911C84`43-9=976:b:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o1?=94;|`;2=<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2h>0b9=?:0d7?!51=32>n6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=:583>5}#;031><74H53g?M27>2.?>h4<2e9'646=19:0(7)=95;:6f>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9ll50;&76`<48>10qo6:d;393?6=8r.8544=1b9K04b<@=:=7):=e;11`>"59902<=5+1e`95g32j2c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07d?ld;29 14b21n0b9k0:6:4?:1y'7"3:l08>i5+2029=56<,8ni6=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=o6km0;6):=e;:g?k25k3?07b76:33;?M26l2B?<;5+43g977b<,;;;64>?;%3gf?7?12d??=4>f99'733=?m=0eh47d:l76f<632e9mo4?:%61a?57?21vn:k;:084>5<7s-9257<>c:J75a=O<9<0(9dc8b0>h3;90:j45+37793a1o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f2bf280?6=4?{%1:=?4612B?=i5G4148 14b2:8o7)<>0;;34>"6lk0?=6`;3182bd=#;??1;i94i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?j4fj3:1(9{e?m31=7950;2x 6?>2;;h7E:>d:J743=#<;o1??j4$333><673-;on7?m5:l775<6nk1/?;;57e58m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb6g4>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6j=1e8>>51ga8 6022>n<7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il519;8j15728lo7)=95;567>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b990:6:4?:1y'7"3:l08>i5+2029=56<,8ni6l:4n513>4`b3-9=979:3:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(91<729q/?47520;8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>17c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65`2``94?"3:l08<:54}c561?7=?3:19;%61a?55l2.9==46019'5ad=9k?0b9=?:323?!51=3=>?6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=4>:683>5}#;031>2.?>h4<2e9'646=19:0(h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h47d:l76f<332c:oi4?:%61a?>c3g>9o7;4;n0bf?6=,=8n6>>8;:a2ab=9391<7>t$2;:>77?3A>:h6F;079'07c=;;n0(???:823?!7cj3;356`;318147=#;??1:nh4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76a=ac83>!25m39;;65rb7f`>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`gjl0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=i5ik0;6):=e;133>=zj?n96<4;:183!5>138:56F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3f8jn7>5$50f>66032wi:i?51;594?6|,:326??l;I62`>N38?1/8?k533f8 77720:;7)?kb;3a1>h3;909<85+37792f`o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f3ba280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0:n95a4229650<,:<>6;mi;h3`5=o6kk0;6):=e;:g?k25k3907d?lc;29 14b21n0b9ll50;&76`<48>10qo6j8;396?6=8r.8544>cd9K04b<@=:=7):=e;;5?M4712.9d39'646=19:0b9=?:324?!51=32mo6g>c683>!25m3;o>65`2``94?"3:l08<:54}c:f`?7=:3:19;%61a??13A8;56*=0`82`7=#:8:15=>4n513>76?3-9=976ic:k2g2<72->9i7?k2:9l6dd=83.?>h4<0698yg0?:3;1>7>50z&0=<<6kl1C8<>59128j1572;:27)=95;:eg>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=5G40f8L1613->9i779;I03=>"58h08<:5+2029=56n;%151?>ak2c:o:4?:%61a?7c:21d>ll50;&76`<48>10qo8ld;396?6=8r.8544<029K04b<@=:=7):=e;;5?M4712.9c683>!25m3;o>65`2``94?"3:l08<:54}c4`g?7=:3:19;%61a??13A8;56*=0`8042=#:8:15=>4n513>76d3-9=976ic:k2g2<72->9i7?k2:9l6dd=83.?>h4<0698yg0dj3;1>7>50z&0=<<48:1C81/><>59128j1572;:o7)=95;:eg>o6k>0;6):=e;3g6>=h:hh1<7*;2d8042=9i7=60:J14<=#:9k1?=94$333><673g>8<769:18'07c=kl1C8?j4;n0bf?6=,=8n6>>8;I1:5>=zj13;j96F;1e9K050<,8ni695+43g9705<@:8i7)<>0;;34>\41=09w5k8:550>xh3;909=nl?0;6):=e;3g6>=n;;81<7*;2d8023=6=4+43g9e0=76g<2d83>!25m399i6F;0498m62d290/8?k537;8?xd2jj0:654?:1y'7"6lk0?7):=e;167>N4:k1/><>59128^6?32;q3i:4;728~j1572;;;7)=95;6ge>o>l3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o4=80;6):=e;165>N38<10e>:;:k00f<72->9i7=99:9~f=1228086=4?{%1:=?4602B?=i5G4148 14b2:8o7)<>0;;34>"6lk0:96`;318154=#;??148l4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76a=ac83>!25m39;;65rb95e>4<4290;w)=69;02<>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6001e8>>52008 60221?i7d?l8;29 14b21n0b9290/8?k58e9m07e=921d>ll50;&76`<48>10qo9i1;397?6=8r.8544=199K04b<@=:=7):=e;11`>"59902<=5+1e`950=i<::1><=4$246>2b03`;h47>5$50f>=b5<#<;o14i5a43a95>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il519;8j1572;;?7)=95;5g3>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9m0:6>4?:1y'7"3:l08>i5+2029=56<,8ni6<;4n513>7723-9=979:3:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o1?=94;|`432<62:0;6=u+38;964><@=;o7E:?6:&76`<4:m1/><>59128 4be28227c:<0;022>"4><0<9>5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07b76:33;?M26l2B?<;5+43g977b<,;;;64>?;%3gf?723g>8<7<>7:&020<1ko1b=n650;&76`n4?;:k2g<<72->9i76k;o61g?7<3f8jn7>5$50f>66032wi:k=51;194?6|,:326??7;I62`>N38?1/8?k533f8 77720:;7)?kb;3;=>h3;909=55+37792f`i5ik0;6):=e;133>=zj;9n6<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10e9>51;194?6|,:326??8;I62`>N38?1/8?k533f8 77720:;7)?kb;6`?k24838:m6*<648;bf=n9j21<7*;2d8;`>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03h6`;2b82?k5?j3:07pl=4382>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1eak2c:o54?:%61a?>c3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76k;o61g?79;%61a?55l2.9==46019'5ad=>520a8 60221lh7d?l8;29 14b21n0b90;;34>"6lk0?o6`;31815a=#;??14km4i0a;>5<#<;o14i5a43a94>=h:hh1<7*;2d8042={e:=21=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?46m2.8:847fb9j5f>=83.?>h47d:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th98l4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07bc883>!25m32o7c:=c;38j6>e2910qo<;c;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>?>4$246>=`d3`;h47>5$50f>=b5<#<;o1?=94;h3`=?6=,=8n65j4n50`>4=i;1h1<65rb36f>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=209'733=0oi0eh4<0698m4e>290/8?k58e9m07e=92d84o4?;:a666=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;016>"4><03jn5f1b:94?"3:l03h6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;`>h3:j0:7c=7b;28?xd5;;0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>7443-9=976ic:k2g=<72->9i76k;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>c3g>9o7?4n2:a>5=9i7==d:&155<>891/=il54b9m066=:;>0(>8::9d`?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909>85+37795<#<;o14i5a43a95>h40k0;76sm22:95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<=6:&020n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47d:l76f<63g93n7>4;|`17d<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:304?!51=32mo6g>c983>!25m32o7c:=c;28?j4fj3:1(9o6k00;6):=e;:g?k25k3;0b>6m:198yg44k3;1?7>50z&0=<<59>1C8<,:<>65hl;h3`5=5$50f>=b=zj=l<6<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k248389m6*<6487`d=n9j21<7*;2d8;`>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03h6`;2b82?k5?j3:07pl;f282>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1ec3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76k;o61g?79;%61a?55l2.9==46019'5ad=>523a8 6022=nj7d?l8;29 14b21n0b90;;34>"6lk0?o6`;31816a=#;??18io4i0a;>5<#<;o14i5a43a94>=h:hh1<7*;2d8042={e2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?45m2.8:84;d`9j5f>=83.?>h47d:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th?io4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07bc883>!25m32o7c:=c;38j6>e2910qo:j9;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>>>4$246>1bf3`;h47>5$50f>=b5<#<;o1?=94;h3`=?6=,=8n65j4n50`>4=i;1h1<65rb5g4>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=309'733=h4<0698m4e>290/8?k58e9m07e=92d84o4?;:a<=4=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;006>"4><039o5f1b:94?"3:l03h6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;`>h3:j0:7c=7b;28?xd?1=0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>7543-9=976:b:k2g=<72->9i76k;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>c3g>9o7?4n2:a>5=7?53;294~"41009=:5G40f8L1613->9i7==d:&155<>891/=il54b9m066=::>0(>8::97a?l7d03:1(95<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909?85+3779<0d5<#<;o14i5a43a95>h40k0;76sm89g95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<<6:&020n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47d:l76f<63g93n7>4;|`;<>59128 4be2=i0b9=?:314?!51=32>n6g>c983>!25m32o7c:=c;28?j4fj3:1(9o6k00;6):=e;:g?k25k3;0b>6m:198yg>?i3;1?7>50z&0=<<59>1C8<,:<>65;m;h3`5=5$50f>=b=zj1236<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k248388m6*<648;1g=n9j21<7*;2d8;`>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03h6`;2b82?k5?j3:07pl78582>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1e2j2c:o54?:%61a?>c3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76k;o61g?79;%61a?55l2.9==46019'5ad=>522a8 6022>n<7d?l8;29 14b21n0b90;;34>"6lk0?o6`;31817a=#;??1;i94i0a;>5<#<;o14i5a43a94>=h:hh1<7*;2d8042={e09o1=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?44m2.8:848d69j5f>=83.?>h47d:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th3:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07bc883>!25m32o7c:=c;38j6>e2910qo6?a;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>9>4$246>2b03`;h47>5$50f>=b5<#<;o1?=94;h3`=?6=,=8n65j4n50`>4=i;1h1<65rb92;>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=409'733=?m=0eh4<0698m4e>290/8?k58e9m07e=92d84o4?;:a<50=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;076>"4><0i5ik0;6):=e;133>=n9j31<7*;2d8;`>h3:j0:7c=7b;28?xd?8=0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>7243-9=979k7:k2g=<72->9i76k;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>c3g>9o7?4n2:a>5=7?53;294~"41009=:5G40f8L1613->9i7==d:&155<>891/=il54b9m066=:=>0(>8::6f4?l7d03:1(9?:080>5<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909885+37793a15<#<;o14i5a43a95>h40k0;76sm76c95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<;6:&020<0=:1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47d:l76f<63g93n7>4;|`4<>59128 4be2=i0b9=?:364?!51=3=>?6g>c983>!25m32o7c:=c;28?j4fj3:1(9o6k00;6):=e;:g?k25k3;0b>6m:198yg1?i3;1?7>50z&0=<<59>1C8<,:<>6:;<;h3`5=5$50f>=b=zj>236<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10eN38?1/8?k533f8 77720:;7)?kb;6`?k24838?m6*<648416=n9j21<7*;2d8;`>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03h6`;2b82?k5?j3:07pl88582>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1ec3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76k;o61g?79;%61a?55l2.9==46019'5ad=>525a8 6022>?87d?l8;29 14b21n0b9728086=4?{%1:=?46?2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0?o6`;31810a=#;??1;8=4i0a;>5<#<;o14i5a43a94>=h:hh1<7*;2d8042={e?>o1=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?43m2.8:848529j5f>=83.?>h47d:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th<;n4>:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(m7)=95;567>o6k10;6):=e;:g?k25k3:07bc883>!25m32o7c:=c;38j6>e2910qo8i6;397?6=8r.8544=169K04b<@=:=7):=e;11`>"59902<=5+1e`90f=i<::1>8>4$246>3ea3`;h47>5$50f>=b5<#<;o1?=94;h3`=?6=,=8n65j4n50`>4=i;1h1<65rb62;>4<4290;w)=69;023>N39m1C8=84$50f>64c3-8:<77?0:&2`g<3k2d??=4=509'733=>jl0eh4<0698m4e>290/8?k58e9m07e=92d84o4?;:a350=9391<7>t$2;:>7703A>:h6F;079'07c=;;n0(???:823?!7cj3>h7c:<0;066>"4><0=ok5f1b:94?"3:l03h6`;2b83?>i5ik0;6):=e;133>=n9j31<7*;2d8;`>h3:j0:7c=7b;28?xd08=0:6>4?:1y'7"3:l08>i5+2029=56<,8ni69m4n513>7343-9=978lf:k2g=<72->9i76k;o61g?6<3f8jn7>5$50f>66032c:o44?:%61a?>c3g>9o7?4n2:a>5=7?53;294~"41009=:5G40f8L1613->9i7==d:&155<>891/=il54b9m066=:<>0(>8::7ae?l7d03:1(9?:080>5<7s-9257<>7:J75a=O<9<0(9dc87g>h3;909985+37792f`5<#<;o14i5a43a95>h40k0;76sm6gg95?5=83:p(>76:334?M26l2B?<;5+43g977b<,;;;64>?;%3gf?2d3g>8<7<:6:&020<1ko1b=n650;&76`n4?;:m1eg<72->9i7=?7:9j5f?=83.?>h47d:l76f<63g93n7>4;|`5bf<62:0;6=u+38;9641<@=;o7E:?6:&76`<4:m1/><>59128 4be2=i0b9=?:374?!51=3c983>!25m32o7c:=c;28?j4fj3:1(9o6k00;6):=e;:g?k25k3;0b>6m:198yg0ai3;1?7>50z&0=<<59>1C8<,:<>6;mi;h3`5=5$50f>=b=zj?l36<4<:183!5>138:;6F;1e9K050<,=8n6>ll50;&76`<48>10e8o51;194?6|,:326??8;I62`>N38?1/8?k533f8 77720:;7)?kb;6`?k24838>m6*<648;bf=n9j21<7*;2d8;`>h3:j0;76a=ac83>!25m39;;65f1b;94?"3:l03h6`;2b82?k5?j3:07pl;fb82>6<729q/?4752058L17c3A>;:6*;2d806a=#:8:15=>4$0fa>1ec3g>9o7>4;n0bf?6=,=8n6>>8;:k2g<<72->9i76k;o61g?79;%61a?55l2.9==46019'5ad=>524a8 60221?i7d?l8;29 14b21n0b90;;34>"6lk0?o6`;31811a=#;??1;i94i0a;>5<#<;o14i5a43a94>=h:hh1<7*;2d8042={e?081=7=50;2x 6?>2;;<7E:>d:J743=#<;o1??j4$333><673-;on7:l;o604?42m2.8:848529j5f>=83.?>h47d:l76f<732e9mo4?:%61a?57?21b=n750;&76`n4>;o1;f?6<3th<

:283>5}#;031><94H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07bc883>!25m32o7c:=c;38j6>e2910qo:85;392?6=8r.8544>9e9K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:52z641?20;3we8>>52728 60221lh7d7k:18'07c=ik10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl7e082>3<729q/?47518f8L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736;%151?>ak2c2h7>5$50f>dd<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632ch;7>5$50f>64b32wi::l51;494?6|,:326<7k;I62`>N38?1/8?k51`a8 4be2=1C??l4$333><673S9287?t4619yk24838=>6*<648555=n1m0;6):=e;ca?>oc>3:1(9i4:80;6):=e;133>=ni<0;6):=e;c6?>o49h0;6):=e;165>=nk>0;6):=e;11a>=zj13;2h6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<5>:1/?;;54ec8mh4nb:9j`3<72->9i7?k2:9l777=83.?>h4<0698md3=83.?>h4n5:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg54=3;1:7>50z&0=<<6i81C87):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>7033-9=976ic:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>91qc:<0;051>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb262>4<1290;w)=69;3b5>N39m1C8=84$0fa>1=#<;o1=lm4H20a?!46833;<6T<95821142td??=4=679'733=0oi0e4j50;&76`5$50f>4b532e8><4?:%61a?57?21b?a09K04b<@=:=7)?kb;68 14b28kh7E==b:&155<>891Q?4:51z647?{i<::1>;94$246>=`d3`3o6=4+43g9eg==nl?0;6):=e;3g6>=h;;;1<7*;2d8042=:783>5}#;031=l?4H53g?M27>2.:ho4;;%61a?7fk2B8>o5+2029=56o>l3:1(9h4>d398k646290/8?k53158?l56i3:1(9od?3:1(9{e;==1=7850;2x 6?>28k:7E:>d:J743=#9mh186*;2d82ef=O;;h0(???:823?_5><3;p8:=5}o604?4112.8:847fb9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k05d<72->9i7=:1:9jg2<72->9i7==e:9~f62?280=6=4?{%1:=?7f92B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;31812d=#;??14km4i8f94?"3:l0jn65fa483>!25m3k>76gk6;29 14b28n976a<2083>!25m39;;65f30c94?"3:l089<54ib594?"3:l08>h54}c17=?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>527`8 60221lh7d7k:18'07c=ik10el;50;&76`10e>?n:18'07c=;<;07dm8:18'07c=;;o07pl<4`82>3<729q/?4751`38L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y736ak2c2h7>5$50f>dd<3`k>6=4+43g9e0=5$50f>63632ch;7>5$50f>64b32wi?9l51;494?6|,:326;I62`>N38?1/=il54:&76`<6ij1C??l4$333><673S9287?t4619yk24838=h6*<648;bf=n1m0;6):=e;ca?>of=3:1(9o49h0;6):=e;165>=nk>0;6):=e;11a>=zj:9=6<49:183!5>13;j=6F;1e9K050<,8ni695+43g95de<@:8i7)<>0;;34>\41=0:w99<:|l775<5>l1/?;;58ga8mh4nb:9je0<72->9i7o:;:kg2?6=,=8n69i7=?7:9j74g=83.?>h4<5098mf1=83.?>h4<2d98yg54?3;1:7>50z&0=<<6i81C80(965hl;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65`33394?"3:l08<:54i23b>5<#<;o1?8?4;ha4>5<#<;o1??k4;|`07=<62?0;6=u+38;95d7<@=;o7E:?6:&2`g<33->9i7?nc:J06g=#:8:15=>4Z2;7>4}3?:0vb9=?:353?!51=32mo6g6d;29 14b2hh07do::18'07c=i<10ei850;&76`<6l;10c><>:18'07c=;9=07d=>a;29 14b2:?:76gl7;29 14b2:8n76sm32;95?0=83:p(>76:0c2?M26l2B?<;5+1e`90>"3:l0:mn5G33`8 77720:;7W=64;3x025=ug>8<7<81:&0205$50f>d3<3`n=6=4+43g95a4<3f99=7>5$50f>66032c8=l4?:%61a?52921bo:4?:%61a?55m21vn>=n:085>5<7s-9257?n1:J75a=O<9<0({550>xh3;909;?5+3779=ni<0;6):=e;c6?>oc>3:1(9i4:80;6):=e;133>=n;8k1<7*;2d8014=4gd3A99n6*=118:45=];0>1=v:83;m066=:>90(>8::9d`?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9l777=83.?>h4<0698m67f290/8?k53438?le0290/8?k533g8?xd4;j0:6;4?:1y'7"6lk0?7):=e;3bg>N4:k1/><>59128^6?328q?;>4rn513>7133-9=976ic:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ie494?"3:l0:h?54o202>5<#<;o1?=94;h12e?6=,=8n6>;>;:k`3?6=,=8n6>t$2;:>4g63A>:h6F;079'5ad=<2.?>h4>ab9K77d<,;;;64>?;[1:0?7|<>91qc:<0;041>"4><03jn5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807b==1;29 14b2::<76g<1`83>!25m39>=65fc683>!25m399i65rb43:>4N39m1C8=84$0fa>43<,=8n6>;<;%024??782P8594={9g4>1142td??=4=779'733=5$50f>4b532c88n4?:%61a?51121d???50;&76`<48>10e>;>:18'07c=;<;0D9>:;:k06`<72->9i7==e:J740=76sm50`95?>=83:p(>76:0c5?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;0x<`1=<>91qc:<0;043>"4><0?hl5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9010qo;>c;39a79K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:52z:f3?20;3we8>>526:8 6022=nj7d7k:18'07c=ik10el;50;&76`?10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi9N38?1/=il54:&76`<4=:1C??l4$333><673S92878<7<89:&020<3lh1b5i4?:%61a?ge32cj97>5$50f>d3<3`n=6=4+43g95a4<3`99>7>5$50f>60132e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;h11a?6=,=8n6>=n;=i1<7*;2d802<=6343A99n6*=118:45=];0>1>v6j7;647?{i<::1>:o4$246>1bf3`3o6=4+43g9eg==nl?0;6):=e;3g6>=n;;81<7*;2d8023==7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e=8l1=7650;2x 6?>28k=7E:>d:J743=#9mh186*;2d8016=O;;h0(???:823?_5><38p4h954619yk24838of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j71e=83.?>h4<6898yg3583;147>50z&0=<<6i?1C80(9i0(>8::5fb?l?c290/8?k5ac98md3=83.?>h4n5:9j`3<72->9i7?k2:9j774=83.?>h4<6798k646290/8?k53158?l5293:1(986;:a177=9321<7>t$2;:>4g13A>:h6F;079'5ad=<2.?>h4<529K77d<,;;;64>?;[1:0?4|0l=18:=5}o604?40l2.8:84;d`9j=a<72->9i7om;:kb1?6=,=8n6l;4;hf5>5<#<;o1=i<4;h116?6=,=8n6>89;:m064<72->9i7=?7:9j707=83.?>h4<509K053<3`99i7>5$50f>64b3A>;965f35a94?"3:l08:454}c716?7=03:19;%3gf?2<,=8n6>;<;I11f>"59902<=5U38696~>b?3>69jn;h;g>5<#<;o1mo54i`794?"3:l0j965fd783>!25m3;o>65f33094?"3:l08:;54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=n;;o1<7*;2d806`=O<9?07d=;c;29 14b2:<276sm53195?>=83:p(>76:0c5?M26l2B?<;5+1e`90>"3:l089>5G33`8 77720:;7W=64;0x<`1=<>91qc:<0;04b>"4><0?hl5f9e83>!25m3ki76gn5;29 14b2h?07dj9:18'07c=9m807d==2;29 14b2:<=76a<2083>!25m39;;65f34394?"3:l089<5G4178?l55m3:1(9010qo;>a;39a79K04b<@=:=7)?kb;68 14b2:?87E==b:&155<>891Q?4:52z:f3?20;3we8>>52928 6022=nj7d7k:18'07c=ik10el;50;&76`?10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9j77c=83.?>h4<2d9K053<3`9?o7>5$50f>60>32wi8ik51;:94?6|,:326N38?1/=il5149'07c=;<90(???:823?_5><38p4h954619yk248383=6*<6487`d=n1m0;6):=e;ca?>of=3:1(9i4:80;6):=e;133>=n;<;1<7*;2d8014=O<9?07d==e;29 14b2:8n7E:?5:9j730=83.?>h4<679K053<3th?i?4>:983>5}#;031=l84H53g?M27>2.:ho4;;%61a?52;2B8>o5+2029=565$50f>dd<3`k>6=4+43g9e0=5$50f>66032c89<4?:%61a?5292B?<854i20f>5<#<;o1??k4H526?>o4=zj=o?6<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb5g3>4<1290;w)=69;02f>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6j;1e8>>52968 6022=nj7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o1?=94;|`7a4<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2>1e8>>52978 6022=nj7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il51`f8j1572;2=7)=95;6ge>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:k2gf<72->9i76k;o61g?2<3`;hh7>5$50f>=b5<#<;o1?=94;|`6`<<62:0;6=u+38;964><@=;o7E:?6:&052<3=:1/8?k533f8 77720:;7)?kb;36?!5603>>?6`;3181<2=#;??18io4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76a=ac83>!25m39;;65rb4f;>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6io1e8>>529:8 6022=nj7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=6343A99n6*=118:45=];0>1>v6j7;647?{i<::1>574$246>1bf3`3o6=4+43g9eg==nl?0;6):=e;3g6>=n;;81<7*;2d8023==7>5$50f>6363A>;965f33g94?"3:l08>h5G4178?l53k3:1(9{e=ll1=7:50;2x 6?>2;;27E:>d:J743=#;8=189<4$50f>64c3-8:<77?0:&2`g<3l2.8=54;439m066=:1k0(>8::5fb?l7d03:1(9h47d:l76f<532e9mo4?:%61a?57?21vn;9l:087>5<7s-9257<>9:J75a=O<9<0(9dc82=3=i<::1>5l4$246>3773`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976a=ac83>!25m39;;65rb4a2>4<3290;w)=69;02=>N39m1C8=84$234>15f3->9i7==d:&155<>891/=il5409'74>=<:k0b9=?:3:`?!51=3>om6g>c983>!25m32o7c:=c;28?l7d13:1(9h4<0698yg3d83;1;7>50z&0=<<59j1C869jn;h3`5=o6kk0;6):=e;:g?k25k3907d?lc;29 14b21n0b9ll50;&76`<48>10qo;=9;392?6=8r.8544=1c9K04b<@=:=7)=>7;671>"3:l08>i5+2029=56<,8ni6h47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=9;%61a?55l2.9==46019'5ad=9k=0b9=?:3:e?!51=3>om6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=4>:283>5}#;031><64H53g?M27>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b94?:1y'7"3:l08>i5+2029=56<,8ni69>4n513>7?63-9=976ic:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o1?=94;|`;bd<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be2:<0b9=?:3;1?!51=32mo6g>c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=<:4>:683>5}#;031>2.?>h4<2e9'646=19:0(o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:k2gf<72->9i76k;o61g?2<3`;hh7>5$50f>=b5<#<;o1?=94;|`643<62?0;6=u+38;964d<@=;o7E:?6:&052<3<81/8?k533f8 77720:;7)?kb;1g?!5603>?=6`;3181=1=#;??18io4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(9h4<0698yg37j3;1;7>50z&0=<<59j1C87?23-9=97:ka:k2g=<72->9i76k;o61g?6<3`;h57>5$50f>=b5<#<;o14i5a43a96>=n9jh1<7*;2d8;`>h3:j0876g>cb83>!25m32o7c:=c;68?l7dl3:1(92<729q/?47520a8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>072j2c:o54?:%61a?>c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=65f1b`94?"3:l03h6`;2b80?>o6kj0;6):=e;:g?k25k3>07d?ld;29 14b21n0b9"3:l08>i5+2029=56<,8ni6n5a42296<1<,:<>65;m;h3`5=o6kk0;6):=e;:g?k25k3907d?lc;29 14b21n0b9ll50;&76`<48>10qo6"59902<=5+1e`914=i<::1>464$246>2b03`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976g>cc83>!25m32o7c:=c;18?l7dk3:1(9h4<0698yg>4k3;1;7>50z&0=<<59j1C85<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(9h47d:l76f<232e9mo4?:%61a?57?21vn:l6:084>5<7s-9257<>c:J75a=O<9<0(9dc865>h3;9095l5+3779305o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f2d?280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0h7c:<0;0:f>"4><0<9>5f1b:94?"3:l03h6`;2b83?>o6k00;6):=e;:g?k25k3;07d?la;29 14b21n0b9n4;;:k2ga<72->9i76k;o61g?3<3f8jn7>5$50f>66032wi;>;51;594?6|,:326??l;I62`>N38?1/8?k533f8 77720:;7)?kb;72?k248382o6*<6485gc=n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h47d:l76f<332c:oi4?:%61a?>c3g>9o7;4;n0bf?6=,=8n6>>8;:a362=93=1<7>t$2;:>77d3A>:h6F;079'07c=;;n0(???:823?!7cj3i0b9=?:3;g?!51=3c983>!25m32o7c:=c;28?l7d13:1(9h47d:l76f<432c:on4?:%61a?>c3g>9o7:4;h3``?6=,=8n65j4n50`>0=:583>5}#;031><74H53g?M27>2.?>h4<2e9'646=19:0(8::9d`?l7d03:1(9h47d:l76f<532e9mo4?:%61a?57?21vn5k=:087>5<7s-9257<=6:J75a=O<9<0(9ak2c:o54?:%61a?7c;21b=n750;&76`<6l=10e>:l:18'07c=;=l07b76:33`?M26l2B?<;5+43g977b<,;;;64>?;%3gf?463g>8<7n4?;:k2g<<72->9i76k;o61g?7<3`;hm7>5$50f>=b5<#<;o14i5a43a97>=n9ji1<7*;2d8;`>h3:j0?76g>ce83>!25m32o7c:=c;78?j4fj3:1(9{e0l>1=7850;2x 6?>2;;i7E:>d:J743=#<;o1??j4$333><673-;on7=?;o604?4f92.8:847fb9j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=i5ik0;6):=e;133>=zj?9o6<4;:183!5>1389:6F;1e9K050<,=8n6?<;;I11e>N6l?1/><>59128j1572;k97)=95;424>o6k10;6):=e;3g7>=n9j31<7*;2d82`1=h6=4+43g971`<3f8jn7>5$50f>66032wi:>k51;594?6|,:326??l;I62`>N38?1/8?k533f8 77720:;7)?kb;3bf>h3;909m>5+3779246o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f35a280=6=4?{%1:=?46j2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0>>6`;3181e1=#;??1:<>4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(9h4<0698yg35i3;187>50z&0=<<5:?1C8?;o604?4f=2.8:84;d`9j5f>=83.?>h4>d298m4e>290/8?k51e68?l53k3:1(9i5ik0;6):=e;133>=zj<8i6<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb40`>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<5<2d??=4=a69'733=h47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=i5ik0;6):=e;133>=zj===6<4=:183!5>133<7E:>d:J743=#<;o1m55+2029=56i5ik0;6):=e;133>=n9j=1<7*;2d82`7=<673S9287?t8d59yk24838j56*<648;bf=h:hh1<7*;2d8042=:383>5}#;031>=<4H53g?M27>2.?>h466:&155<>891e8>>52`c8 60221lh7d?l7;29 14b28n976a=ac83>!25m39;;6F;2e98yg2a13;1>7>50z&0=<<58;1C80;;34>h3;909mo5+37790ag5$50f>6603A>9h65rb9;5>4<5290;w)=69;036>N39m1C8=84$50f><0<,;;;64>?;o604?4fk2.8:8475c9j5f1=83.?>h4>d398k7ge290/8?k53158L14c32wi4<<51;094?6|,:326?>=;I62`>N38?1/8?k5979'646=19:0b9=?:3cg?!51=3=o;6g>c683>!25m3;o>65`2``94?"3:l08<:5G43f8?xd00l0:6?4?:1y'7"3:l02:6*=118:45=i<::1>lk4$246>2343`;h;7>5$50f>4b532e9mo4?:%61a?57?2B?>i54}c53e?7=:3:19;%61a??13-8:<77?0:l775<5io1/?;;56bd8m4e0290/8?k51e08?j4fj3:1(95<7s-9257"4><03jn5f1b594?"3:l0:h?54o3ca>5<#<;o1?=94H50g?>{e0?i1=7<50;2x 6?>2;:97E:>d:J743=#<;o15;5+2029=56;%151?>2j2c:o:4?:%61a?7c:21d>ll50;&76`<48>1C8?j4;|`4a=<62;0;6=u+38;9654<@=;o7E:?6:&76`<>>2.9==46019m066=:k80(>8::6f4?l7d?3:1(9i5ik0;6):=e;133>N3:m10qo994;396?6=8r.8544=039K04b<@=:=7):=e;;5?!46833;<6`;3181f6=#;??1;8=4i0a4>5<#<;o1=i<4;n0bf?6=,=8n6>>8;I61`>=zj?o;6<4=:183!5>138;>6F;1e9K050<,=8n6484$333><673g>8<72;21>l4=b;3f>4e=9k0:j7s+1ea92>"6lm0=7)?ke;48 4ba2?1/=kj55:&75=<4:<1/8<754058ja>=12do5774n077>5=i9>=1<6*>8d80=7=#91l1?4<4$0f4>3=#9m21:6*>d885?!7ci3<0(2.:i?49;%3f7?0<,8o?6;5+1d792>"6m?0=7)?j7;48 4c?2?1/=h756:&2ad<13-;nn784$0g`>3=#9ln1:6*>ed85?!7bn3<0(2.:j?49;%3e7?0<,8l?6;5+1g792>"6n?0=7)?i7;48 4`?2?1/=k756:&2bd<13-;mn784$0d`>0=#;;>1?574$211>d3<,:986l;4$212>6>43-9=;7;4$24;>0=#9oo1>l74H2:b?!25m399?6*7c;166>"59902<=5+3459=5=i<::1>o;4$246>3663`98<7>5;h3;`?6=3`99:7>5;h3;g?6=3`h=6=4G3048^6?328q?;>4r;h`;>57;:0y7365;h11b?6=3`>:<7>5$236>16a3g9:87>4$3c4>16e3g8j:7?4;h63a?6=,:;>69>i;o120?7<,;k<69>m;o0b2?6<3f;?m7>5$236>42>3g9:87>4$3c4>4553g8j:7h4;n376<:6;o120?7<,;k<6<==;o0b2?c<3f;?;7>5$236>42>3g9:87<4$3c4>4553g8j:7j4;n372?6=,:;>6<:6;o120?5<,;k<6<==;o0b2?e<3f;?97>5$236>42>3g9:87:4$3c4>4553g8j:7l4;n370?6=,:;>6<:6;o120?3<,;k<6<==;o0b2?g<3f;>?7>5$236>42>3g9:8784$3c4>4553g8j:774;n366?6=,:;>6<:6;o120?1<,;k<6<==;o0b2?><3f;>=7>5$236>42>3g9:8764$3c4>4553g8j:794;n364?6=,:;>6<:6;o120??<,;k<6<==;o0b2?0<3f;?j7>5$236>42>3g9:87o4$3c4>4553g8j:7;4;n37a?6=,:;>6<:6;o120?d<,;k<6<==;o0b2?2<3f;?h7>5$236>42>3g9:87m4$3c4>4553g8j:7=4;n37g?6=,:;>6<:6;o120?b<,;k<6<==;o0b2?4<3f;?n7>5$236>42>3g9:87k4$3c4>4553g8j:7?4;n377?6=,:;>6<:6;o120?`<,;k<6<==;o0b2?6<3f;5$236>41c3g9:87>4$3c4>41>3g8j:7?4;n34g?6=,:;>6<9k;o120?7<,;k<6<96;o0b2?6<3f;387>5$236>4>43g9:87>4$3c4>41a3g8j:7?4;n3;6?6=,:;>6<6<;o120?7<,;k<6<9i;o0b2?6<3`>::7>5$236>1723g9:87>4$3c4>1763g8j:7?4;h620?6=,:;>69?:;o120?7<,;k<69?>;o0b2?6<3`<=6=4+307920=i;8>1<6*=a6860>h5i?0h76g94;29 6722??0b>?;:09'6d1===1e>l85b:9j26<72-9:978:;o120?4<,;k<68:4n3c5>d=h49=087)3307d8j:18'743=><1e?<:54:&1e2<2<2d9m;47;:k5`?6=,:;>6;;4n237>0=#:h=1995a2`493>=n>j0;6)=>5;46?k56<3<0(?o8:468j7g12?10e;l50;&050<1=2d8=948;%0b3?333g8j:7;4;h4b>5<#;8?1:85a3069<>"5i>0>86`=a787?>o113:1(>?::778j673201/>l95559m6d0=;21b:54?:%121?023g9:87o4$3c4>02i4?:%121?75k2d8=94?;%0b3?7502d9m;4>;:k26g<72-9:97?=c:l051<63-8j;7?=8:l1e3<732c:=54?:%121?76?2d8=94?;%0b3?7782d9m;4i;:k253<72-9:97?>7:l051<63-8j;7??0:l1e37:l051<43-8j;7??0:l1e34?:%121?76?2d8=94;;%0b3?7782d9m;4m;:k257<72-9:97?>7:l051<23-8j;7??0:l1e3<4?:%121?76?2d8=949;%0b3?7782d9m;46;:k265<72-9:97?>7:l051<03-8j;7??0:l1e37:l051<>3-8j;7??0:l1e3<132c:=i4?:%121?76?2d8=94n;%0b3?7782d9m;4:;:k25f<72-9:97?>7:l0517:l051;:k254<72-9:97?>7:l0515$236>c3!56=3l>7c=>4;38 7g02mo0b?o9:d98mc5=83.8=84i5:l051<53-8j;7jj;o0b2?b<3`l96=4+3079b0=i;8>1?6*=a68ga>h5i?0h76gi1;29 6722o?0b>?;:59'6d1=ll1e>l85b:9jb5<72-9:97h:;o120?3<,;k<6ik4n3c5>d=h49=0=7)3307dhj:18'743=n<1e?<:57:&1e26k;4n237>==#:h=1hh5a2`493>=nnj0;6)=>5;d6?k56<330(?o8:eg8j7g12?10ekl50;&0505<#;8?1j85a3069f>"5i>0oi6`=a787?>oa13:1(>?::g78j6732j1/>l95dd9m6d0=;21bj54?:%121?`23g9:87j4$3c4>ac7>5$236>=7!56=32:7c=>4;38 7g02>:0b?o9:c98m2`=83.8=8471:l051<53-8j;79?;o0b2?g<3`2i6=4+3079<4=i;8>1?6*=a6844>h5i?0276g7a;29 67221;0b>?;:59'6d1=?91e>l858:9j<<<72-9:976>;o120?3<,;k<6:>4n3c5>2=h49=0=7)3<07d68:18'743=081e?<:57:&1e2<082d9m;4:;:k;2?6=,:;>65?4n237>==#:h=1;=5a2`490>=n0<0;6)=>5;:2?k56<330(?o8:628j7g12:10e5:50;&0505<#;8?14<5a3069f>"5i>0<<6`=a782?>o0m3:1(>?::938j6732j1/>l95719m6d0=821d=;j50;&050<6>j1e?<:50:&1e2<6=<1e>l85f:9l53d=83.8=84>6b9m742=92.9m:4>549m6d0=m21d=;o50;&050<6>j1e?<:52:&1e2<6=<1e>l85d:9l53?=83.8=84>6b9m742=;2.9m:4>549m6d0=k21d=;650;&050<6>j1e?<:54:&1e2<6=<1e>l85b:9l531=83.8=84>6b9m742==2.9m:4>549m6d0=i21d=:850;&050<6>j1e?<:56:&1e2<6=<1e>l859:9l523=83.8=84>6b9m742=?2.9m:4>549m6d0=021d=::50;&050<6>j1e?<:58:&1e2<6=<1e>l857:9l525=83.8=84>6b9m742=12.9m:4>549m6d0=>21d=:<50;&050<6>j1e?<:5a:&1e2<6=<1e>l855:9l527=83.8=84>6b9m742=j2.9m:4>549m6d0=<21d=:>50;&050<6>j1e?<:5c:&1e2<6=<1e>l853:9l53`=83.8=84>6b9m742=l2.9m:4>549m6d0=:21d=;k50;&050<6>j1e?<:5e:&1e2<6=<1e>l851:9l530=83.8=84>6b9m742=n2.9m:4>549m6d0=821b=?950;&050<6:?1e?<:50:&1e2<6:;1e>l851:9j573=83.8=84>279m742=92.9m:4>239m6d0=821vn8:8:1819;%1:=?2f12P2o7:t1e814?7c2;:1q):=2;6bg>"4=<087)=:4;603>"6j10?h?5+1c;90d?4;28?l2?i3:1(>?::5:a?k56<3;07d:79;29 6722=2i7c=>4;08?l2?03:1(>?::5:a?k56<3907d:77;29 6722=2i7c=>4;68?l2?>3:1(>?::5:a?k56<3?07d:65;29 6722=2i7c=>4;48?l2><3:1(>?::5:a?k56<3=07d:63;29 6722=2i7c=>4;:8?l2>:3:1(>?::5:a?k56<3307d:61;29 6722=2i7c=>4;c8?l2>83:1(>?::5:a?k56<3h07d:7f;29 6722=2i7c=>4;a8?l2?m3:1(>?::5:a?k56<3n07d:7d;29 6722=2i7c=>4;g8?l2?=3:1(>?::5:a?k56<3l07d:95;29?l>b>3:17d6if;29?l>b93:17b:6d;29 6722=3h7c=>4;28?j2>j3:1(>?::5;`?k56<3;07b:6a;29 6722=3h7c=>4;08?j2>13:1(>?::5;`?k56<3907b:68;29 6722=3h7c=>4;68?j2>?3:1(>?::5;`?k56<3?07b:n6;29 6722=3h7c=>4;48?j2f=3:1(>?::5;`?k56<3=07b:n4;29 6722=3h7c=>4;:8?j2f;3:1(>?::5;`?k56<3307b:n2;29 6722=3h7c=>4;c8?j2f93:1(>?::5;`?k56<3h07b:n0;29 6722=3h7c=>4;a8?j2>n3:1(>?::5;`?k56<3n07b:6e;29 6722=3h7c=>4;g8?j2>>3:1(>?::5;`?k56<3l07b:nd;29?j21<3:17b:n7;29?j21;3:17o:=0;395?6=8r.8544>c49K04b<@=:=7):=e;60<>"59902<=5a42296g1<,:<>68:7;n3`6?6=,=8n6>>8;:a056=93<1<7>t$2;:>4?c3A>:h6F;079'675=;080(6T<95821142td??=4=b99'733==hk0e4j50;&76`10el;50;&76`9e9K04b<@=:=7)<=3;1:6>"6lk0?7):=e;3bg>N4:k1/><>59128L<4o>l3:1(9of=3:1(9od?3:1(9{e;oo1=7850;2x 6?>28k87E:>d:J743=#:;91?4<4$50f>4gd3-;on7?:;%024??782B2>6T<95821142td??=4=b`9'733==hk0e4j50;&76`5$50f>4b532c8=l4?:%61a?52921d???50;&76`<48>10e>:;:a7c?=93<1<7>t$2;:>4g53A>:h6F;079'5ad=9<1/8?k51`a8 77720:;7W=64;3x025=ug>8<75$50f>4b532c8=l4?:%61a?52921d???50;&76`<48>10el;50;&76`5<#<;o1??k4H526?>{e;o21=7850;2x 6?>28k97E:>d:J743=#9mh1=85+43g95de<,;;;64>?;[1:0?7|<>91qc:<0;0ag>"4><0>ml5f9e83>!25m3ki76gk6;29 14b28n976g<1`83>!25m39>=65`33394?"3:l08<:54i`794?"3:l0j96F;0498m64b290/8?k533g8L16232wi?kj51;494?6|,:326N38?1/=il5149'07c=9hi0(???:823?_5><3;p8:=5}o604?4el2.8:84:a`9j=a<72->9i7om;:kg2?6=,=8n69i7=:1:9l777=83.?>h4<0698md3=83.?>h4n5:J740=76sm3ga95?0=83:p(>76:0c1?M26l2B?<;5+1e`950=#<;o1=lm4$333><673S9287?t4619yk24838ii6*<6486ed=n1m0;6):=e;ca?>oc>3:1(9o49h0;6):=e;165>=h;;;1<7*;2d8042=N38<10e>:;:a7dd=93<1<7>t$2;:>4g53A>:h6F;079'5ad=9<1/8?k51`a8 77720:;7W=64;3x025=ug>8<75$50f>4b532c8=l4?:%61a?52921d???50;&76`<48>10el;50;&76`5<#<;o1??k4H526?>{e;h21=7850;2x 6?>28k97E:>d:J743=#9mh1=85+43g95de<,;;;64>?;[1:0?7|<>91qc:<0;0`4>"4><0>9<5f9e83>!25m3ki76gk6;29 14b28n976g<1`83>!25m39>=65`33394?"3:l08<:54i`794?"3:l0j96F;0498m64b290/8?k533g8L16232wi?lo51;494?6|,:326N38?1/=il5149'07c=9hi0(???:823?_5><3;p8:=5}o604?4d92.8:84:509j=a<72->9i7om;:kg2?6=,=8n69i7=:1:9l777=83.?>h4<0698md3=83.?>h4n5:J740=76sm3b795?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:mn5+2029=56o>l3:1(9h4<2d98ma0=83.?>h4>d398k646290/8?k53158?l5293:1(9m;:085>5<7s-9257?6f:J75a=O<9<0(<3;p8:=5}o604?4d;2.8:84:699j=a<72->9i7om;:kb1?6=,=8n6l;4;ha4>5<#<;o1??k4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k014<72->9i7=:1:J740=4gd3-8:<77?0:X0=1<6s==86p`;3181g1=#;??19;64i8f94?"3:l0jn65fa483>!25m3k>76gl7;29 14b2:8n76gk6;29 14b28n976a<2083>!25m39;;65f34394?"3:l089<5G4178?xd4k;0:6;4?:1y'7"6lk0?7):=e;3bg>"59902<=5U38695~20;3we8>>52b78 6022<<37d7k:18'07c=ik10el;50;&76`10e>;>:18'07c=;<;0D9>:;:a7gb=93<1<7>t$2;:>4?a3A>:h6F;079'5ad=<2.?>h4>ab9'646=19:0V>7;:0y7365$50f>dd<3`k>6=4+43g9e0==7>5$50f>6363A>;965rb2``>4<1290;w)=69;3:b>N39m1C8=84$0fa>1=#<;o1=lm4$333><673S9287?t4619yk24838h;6*<64862==n1m0;6):=e;ca?>of=3:1(9o4=80;6):=e;165>N38<10qo=mb;392?6=8r.8544>9g9K04b<@=:=7)?kb;68 14b28kh7)<>0;;34>\41=0:w99<:|l775<5k11/?;;557:8mh4nb:9je0<72->9i7o:;:k`3?6=,=8n6>9i7=?7:9j707=83.?>h4<509K053<3th8n:4>:783>5}#;031=4h4H53g?M27>2.:ho4;;%61a?7fk2.9==46019Y7<2=9r>6887;h;g>5<#<;o1mo54i`794?"3:l0j965fc683>!25m399i65fd783>!25m3;o>65`33394?"3:l08<:54i272>5<#<;o1?8?4H526?>{e;k<1=7850;2x 6?>283m7E:>d:J743=#9mh186*;2d82ef=#:8:15=>4Z2;7>4}3?:0vb9=?:3ab?!51=3?=46g6d;29 14b2hh07do::18'07c=i<10en950;&76`<4:l10ei850;&76`<6l;10c><>:18'07c=;9=07d=:1;29 14b2:?:7E:?5:9~f6b3280=6=4?{%1:=?7>m2B?=i5G4148 4be2=1/8?k51`a8L64e3-8:<77?0:X0=1<6s==86p`;3181gg=#;??195>4i8f94?"3:l0jn65fc683>!25m399i65fd783>!25m3;o>65`33394?"3:l08<:54i`794?"3:l0j965f30c94?"3:l089<54}c1g5?7=>3:19;%3gf?2<,=8n6"59902<=5U38695~20;3we8>>52ba8 6022<2;7d7k:18'07c=ik10en950;&76`<4:l10ei850;&76`<6l;10c><>:18'07c=;9=07do::18'07c=i<10e>?n:18'07c=;<;07pl3<729q/?47518g8L17c3A>;:6*>dc87?!25m3;jo6F<2c9'646=19:0V>7;:0y7365$50f>dd<3`i<6=4+43g977c<3`n=6=4+43g95a4<3f99=7>5$50f>66032cj97>5$50f>d3<3`9:m7>5$50f>63632wi?hl51;494?6|,:326<7i;I62`>N38?1/=il54:&76`<6ij1/><>59128^6?328q?;>4rn513>7eb3-9=97;78:k:`?6=,=8n6ll4;hc6>5<#<;o1m854ib594?"3:l08>h54ie494?"3:l0:h?54o202>5<#<;o1?=94;h165?6=,=8n6>;>;I631>=zj:oj6<49:183!5>13;2j6F;1e9K050<,8ni695+43g95de<,;;;64>?;[1:0?7|<>91qc:<0;0`b>"4><0>455f9e83>!25m3ki76gn5;29 14b2h?07dm8:18'07c=;;o07dj9:18'07c=9m807b==1;29 14b2::<76g<5083>!25m39>=6F;0498yg5b13;1:7>50z&0=<<61o1C80(95$50f>64b32co:7>5$50f>4b532e8><4?:%61a?57?21b?8?50;&76`<4=81C8=;4;|`0a=<62?0;6=u+38;95<`<@=;o7E:?6:&2`g<33->9i7?nc:&155<>891Q?4:51z647?{i<::1>i?4$246>0>?3`3o6=4+43g9eg==nk>0;6):=e;11a>=nl?0;6):=e;3g6>=h;;;1<7*;2d8042=76sm3d195?0=83:p(>76:0;e?M26l2B?<;5+1e`90>"3:l0:mn5+2029=56o>l3:1(9h4<2d98ma0=83.?>h4>d398k646290/8?k53158?l5293:1(9k=:085>5<7s-9257?6f:J75a=O<9<0(<3;p8:=5}o604?4c;2.8:84:899j=a<72->9i7om;:kb1?6=,=8n6l;4;ha4>5<#<;o1??k4;hf5>5<#<;o1=i<4;n115?6=,=8n6>>8;:k014<72->9i7=:1:J740=4gd3-8:<77?0:X0=1<6s==86p`;3181`1=#;??19564i8f94?"3:l0jn65fa483>!25m3k>76gl7;29 14b2:8n76gk6;29 14b28n976a<2083>!25m39;;65f34394?"3:l089<5G4178?xd4lm0:6;4?:1y'7"6lk0?7):=e;3bg>"59902<=5U38695~20;3we8>>52e78 6022<237d7k:18'07c=ik10el;50;&76`10e>;>:18'07c=;<;0D9>:;:a7ae=93<1<7>t$2;:>4?a3A>:h6F;079'5ad=<2.?>h4>ab9'646=19:0V>7;:0y7365$50f>dd<3`k>6=4+43g9e0==7>5$50f>6363A>;965rb2c`>4<4290;w)=69;02<>N39m1C8=84$234>12a3->9i7==d:&155<>891/=il5229'74>==kh0b9=?:3f4?!51=3?><6g>c983>!25m32o7c:=c;28?l7d13:1(96<729q/?47520:8L17c3A>;:6*;2d806a=#:8:15=>4$0fa>75c3g>9o7>4;h3`=?6=,=8n65j4n50`>4=:583>5}#;031><74H53g?M27>2.8=:4;4g9'07c=;;n0(???:823?!7cj3;2;6*<1986fg=i<::1>i74$246>0g53`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976a=ac83>!25m39;;65rb521>4<4290;w)=69;02<>N39m1C8=84$50f>64c3-8:<77?0:&2`g<5;2d??=4=d`9'733==hk0eh47d:l76f<632e9mo4?:%61a?57?21vn>o<:084>5<7s-9257<>c:J75a=O<9<0(9dc813>h3;909ho5+3779107o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f6g3280<6=4?{%1:=?46k2B?=i5G4148 14b2:8o7)<>0;;34>"6lk0886`;3181`f=#;??198?4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76g>c`83>!25m32o7c:=c;08?l7dj3:1(9h47d:l76f<232e9mo4?:%61a?57?21vn>o::086>5<7s-9257<>a:J75a=O<9<0(9dc807>h3;909hi5+3779107o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9"3:l08>i5+2029=56<,8ni6=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=o6km0;6):=e;:g?k25k3?07b76:33;?M26l2B?<;5+43g977b<,;;;64>?;%3gf?203g>8<7n4?;:k2g<<72->9i76k;o61g?7<3f8jn7>5$50f>66032wi?nh51;594?6|,:326??l;I62`>N38?1/8?k533f8 77720:;7)?kb;3a2>h3;909i=5+37791=6o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9n4:;:m1eg<72->9i7=?7:9~f6e028086=4?{%1:=?4602B?=i5G4148 14b2:8o7)<>0;;34>"6lk0?;6`;3181a4=#;??195>4i0a;>5<#<;o14i5a43a94>=n9j31<7*;2d8;`>h3:j0:76a=ac83>!25m39;;65rb2;`>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<2;2d??=4=e39'733==<;0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=i5ik0;6):=e;133>=zj:i36<48:183!5>138:o6F;1e9K050<,=8n6>n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb2;g>4<0290;w)=69;02g>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6<2d??=4=e59'733==<;0eh47d:l76f<632c:ol4?:%61a?>c3g>9o7<4;h3`f?6=,=8n65j4n50`>6=i5ik0;6):=e;133>=zj:ii6<48:183!5>138:o6F;1e9K050<,=8n6>4:l775<5m<1/?;;55928m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=n9jn1<7*;2d8;`>h3:j0>76a=ac83>!25m39;;65rb2;e>4<2290;w)=69;02e>N39m1C8=84$50f>64c3-8:<77?0:&2`g<6111e8>>52d48 6022290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3f8jn7>5$50f>66032wi?4k51;494?6|,:326??m;I62`>N38?1/8?k533f8 77720:;7)?kb;3a5>h3;909i:5+3779107o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9ll50;&76`<48>10qo=la;391?6=8r.8544=1`9K04b<@=:=7):=e;11`>"59902<=5+1e`906=i<::1>h64$246>0>73`;h47>5$50f>=b5<#<;o14i5a43a95>=n9jk1<7*;2d8;`>h3:j0976g>cc83>!25m32o7c:=c;18?j4fj3:1(9{e;j31=7850;2x 6?>2;;i7E:>d:J743=#<;o1??j4$333><673-;on7?69:l775<5m01/?;;55928m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3`;hn7>5$50f>=b54i0a`>5<#<;o14i5a43a90>=h:hh1<7*;2d8042=7?57;294~"41009=n5G40f8L1613->9i7==d:&155<>891/=il51c28j1572;oj7)=95;765>o6k10;6):=e;:g?k25k3:07d?l9;29 14b21n0b9n4<;:k2gf<72->9i76k;o61g?2<3`;hh7>5$50f>=b5<#<;o1?=94;|`0e4<62>0;6=u+38;964e<@=;o7E:?6:&76`<4:m1/><>59128 4be211e8>>52d`8 6022290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=9i7==d:&155<>891/=il59d9m066=:li0(>8::4:3?l7d03:1(9h47d:l76f<532c:oo4?:%61a?>c3g>9o7=4;h3`g?6=,=8n65j4n50`>1=9;%61a?55l2.9==46019'5ad=9:1e8>>52df8 6022<2;7d?l8;29 14b21n0b9290/8?k58e9m07e=921b=no50;&76`n4=;:k2gg<72->9i76k;o61g?5<3`;ho7>5$50f>=b5<#<;o14i5a43a91>=h:hh1<7*;2d8042=<>59128 4be2=h0(>?7:4`b?k24838ni6*<64862==n9j21<7*;2d8;`>h3:j0;76g>c883>!25m32o7c:=c;38?l7di3:1(9h4<0698yg5e83;187>50z&0=<<5901C8?86*;2d806a=#:8:15=>4$0fa>1g<,:;368ln;o604?4bn2.8:84:699j5f>=83.?>h47d:l76f<732c:o44?:%61a?>c3g>9o7?4;h3`e?6=,=8n65j4n50`>7=:483>5}#;031>2.8=:4;389'07c=;;n0(???:823?!7cj3>i7)=>8;7a=>h3;909j=5+37791=>o6kh0;6):=e;:g?k25k3807d?lb;29 14b21n0b9"49>0??45+43g977b<,;;;64>?;%3gf?2f3-9:47;m9:l775<5n81/?;;559:8m4e?290/8?k58e9m07e=821b=n750;&76`n4>;:k2gd<72->9i76k;o61g?4<3f8jn7>5$50f>66032wi?hj51;094?6|,:326?>=;I62`>N38?1/8?k5979'646=19:0b9=?:3d1?!51=3?c683>!25m3;o>65`2``94?"3:l08<:5G43f8?xd38:0:6?4?:1y'7"3:l02:6*=118:45=i<::1>k=4$246>02a3`;h;7>5$50f>4b532e9mo4?:%61a?57?2B?>i54}c1ba?7=:3:19;%61a??13-8:<77?0:l775<5n=1/?;;55428m4e0290/8?k51e08?j4fj3:1(9h::081>5<7s-9257"4><0>8k5f1b594?"3:l0:h?54o3ca>5<#<;o1?=94H50g?>{e;ll1=78j:183!5>1399?6F;1e9K050f;m`=<5<2do57<;;o360?67=;%3g3?0<,8n36;5+1e;92>"6lh0=7)?kc;48 4bc2?1/=ik56:&2`c<13-;n<784$0g2>3=#9l81:6*>e285?!7b<3<0(2.:i:49;%3f"6mk0=7)?jc;48 4cc2?1/=hk56:&2ac<13-;m<784$0d2>3=#9o81:6*>f285?!7a<3<0(2.:j:49;%3e"6nk0=7)?ic;78 4`c2<1/=kk52`;8 6432:>n7)=<1;1;7>"4;;0j96*<328b1>"4>>0>7)=98;78 17?2:8>7):>9;111>N40h1/8?k53318 =e=;<80(???:823?!52?33;7c:<0;0e2>"4><0>m>5f32294?=n91n1<75f33494?=n91i1<75fb783>M56>2P8594>{550>x=nj10;6E=>6:X0=1<6s==86p5f33594?=n;;l1<75f40294?"49<0?"5i>0?=n<9o1<7*<14874c=i;8>1=6*=a6874g=i:h<1<65`15c94?"49<0:845a30694>"5i>0:??5a2`49b>=h9=21<7*<14820<=i;8>1=6*=a68277=i:h<1i65`15594?"49<0:845a30696>"5i>0:??5a2`49`>=h9=<1<7*<14820<=i;8>1?6*=a68277=i:h<1o65`15794?"49<0:845a30690>"5i>0:??5a2`49f>=h9=>1<7*<14820<=i;8>196*=a68277=i:h<1m65`14194?"49<0:845a30692>"5i>0:??5a2`49=>=h9<81<7*<14820<=i;8>1;6*=a68277=i:h<1465`14394?"49<0:845a3069<>"5i>0:??5a2`493>=h9<:1<7*<14820<=i;8>156*=a68277=i:h<1:65`15d94?"49<0:845a3069e>"5i>0:??5a2`491>=h9=o1<7*<14820<=i;8>1n6*=a68277=i:h<1865`15f94?"49<0:845a3069g>"5i>0:??5a2`497>=h9=i1<7*<14820<=i;8>1h6*=a68277=i:h<1>65`15`94?"49<0:845a3069a>"5i>0:??5a2`495>=h9=91<7*<14820<=i;8>1j6*=a68277=i:h<1<65`16g94?"49<0:;i5a30694>"5i>0:;45a2`495>=h9>i1<7*<14823a=i;8>1=6*=a6823<=i:h<1<65`19694?"49<0:4>5a30694>"5i>0:;k5a2`495>=h9181<7*<1482<6=i;8>1=6*=a6823c=i:h<1<65f40494?"49<0?=85a30694>"5i>0?=<5a2`495>=n<8>1<7*<148750=i;8>1=6*=a68754=i:h<1<65f6783>!56=3<>7c=>4;28 7g02<>0b?o9:b98m32=83.8=8495:l051<63-8j;7;;;o0b2?d<3`<86=4+307920=i;8>1>6*=a6860>h5i?0j76g9f;29 6722??0b>?;:29'6d1===1e>l859:9j2`<72-9:978:;o120?2<,;k<68:4n3c5>==h49=0>7)3=07d8l:18'743=><1e?<:56:&1e2<2<2d9m;49;:k5f?6=,:;>6;;4n237>2=#:h=1995a2`491>=n>h0;6)=>5;46?k56<320(?o8:468j7g12=10e;750;&050<1=2d8=946;%0b3?333g8j:7=4;h4;>5<#;8?1:85a3069e>"5i>0>86`=a781?>o1?3:1(>?::778j6732k1/>l95559m6d0=921b:?4?:%121?023g9:87m4$3c4>025<#;8?1=?m4n237>5=#:h=1=?64n3c5>4=5<#;8?1=<94n237>5=#:h=1==>4n3c5>c=5<#;8?1=<94n237>7=#:h=1==>4n3c5>a=5+2`595565<#;8?1=<94n237>1=#:h=1==>4n3c5>g=5<#;8?1=<94n237>3=#:h=1==>4n3c5><=5<#;8?1=<94n237>==#:h=1==>4n3c5>2=5<#;8?1=<94n237>d=#:h=1==>4n3c5>0=5<#;8?1=<94n237>f=#:h=1==>4n3c5>6=5<#;8?1=<94n237>`=#:h=1==>4n3c5>4=5$236>c3!56=3l>7c=>4;18 7g02mo0b?o9:b98mc7=83.8=84i5:l051<33-8j;7jj;o0b2?d<3`l;6=4+3079b0=i;8>196*=a68ga>h5i?0j76gif;29 6722o?0b>?;:79'6d1=ll1e>l859:9jb`<72-9:97h:;o120?1<,;k<6ik4n3c5>==h49=037)3=07dhl:18'743=n<1e?<:59:&1e26k;4n237>d=#:h=1hh5a2`491>=nnh0;6)=>5;d6?k56<3h0(?o8:eg8j7g12=10ek750;&0505<#;8?1j85a3069`>"5i>0oi6`=a781?>oa?3:1(>?::g78j6732l1/>l95dd9m6d0=921bik4?:%121?`23g9:87h4$3c4>ac7290/?<;5809m742=92.9m:480:l1e35$236>=7!56=32:7c=>4;18 7g02>:0b?o9:898m=g=83.8=8471:l051<33-8j;79?;o0b2?><3`226=4+3079<4=i;8>196*=a6844>h5i?0<76g78;29 67221;0b>?;:79'6d1=?91e>l856:9j<2<72-9:976>;o120?1<,;k<6:>4n3c5>0=h49=037)3>07d6::18'743=081e?<:59:&1e2<082d9m;4<;:k;0?6=,:;>65?4n237>d=#:h=1;=5a2`496>=n0:0;6)=>5;:2?k56<3h0(?o8:628j7g12810e:k50;&0504;n35`?6=,:;>6<8l;o120?6<,;k<6<;:;o0b2?`<3f;=n7>5$236>40d3g9:87?4$3c4>4323g8j:7k4;n35e?6=,:;>6<8l;o120?4<,;k<6<;:;o0b2?b<3f;=57>5$236>40d3g9:87=4$3c4>4323g8j:7m4;n356<8l;o120?2<,;k<6<;:;o0b2?d<3f;=;7>5$236>40d3g9:87;4$3c4>4323g8j:7o4;n342?6=,:;>6<8l;o120?0<,;k<6<;:;o0b2??<3f;<97>5$236>40d3g9:8794$3c4>4323g8j:764;n340?6=,:;>6<8l;o120?><,;k<6<;:;o0b2?1<3f;5$236>40d3g9:8774$3c4>4323g8j:784;n346?6=,:;>6<8l;o120?g<,;k<6<;:;o0b2?3<3f;<=7>5$236>40d3g9:87l4$3c4>4323g8j:7:4;n344?6=,:;>6<8l;o120?e<,;k<6<;:;o0b2?5<3f;=j7>5$236>40d3g9:87j4$3c4>4323g8j:7<4;n35a?6=,:;>6<8l;o120?c<,;k<6<;:;o0b2?7<3f;=:7>5$236>40d3g9:87h4$3c4>4323g8j:7>4;h313?6=,:;>6<<9;o120?6<,;k<6<<=;o0b2?7<3`;997>5$236>4413g9:87?4$3c4>4453g8j:7>4;|q74743ty?4l4?:3y]0=g<5:om64463ty?454?:3y]0=><5:om6<47e3ty?4;4?:3y]0=0<5:om647>3ty?594?:3y]0<2<5:om6;|q7=6<72;qU84=4=2ge>c72>7>52z\7=7=:;ll1j=5rs5;2>5<5sW>2=63{t<0:1<77}Y<1l01>ki:g;8yv2?m3:1>vP;8d9>7``=n11v96k:181[2?l278ik4i7:p0=3=838pR96:;<1fb?ca3ty?:84?:30xZ10234>;<77k;<1eb??c349mi77k;<1e=??c349m477k;<1e`??c349mo77k;<1bf??c349j477k;<1be??c349h977k;<1`0??c349h?77k;<1`6??c349ih77k;<1ag??c349in77k;<1a3??c349i:77k;<1g0??c349o=77k;<1g7??c349nn77k;<1fe??c349n577k;<1f67f3ty3jk4?:2y]6l3:1>vP;9e9>7``=9?20q~:6b;296~X31k16?hh51758yv2>i3:1>vP;9`9>7``=9><0q~:69;296~X31016?hh51678yv2>03:1>vP;999>7``=9>:0q~:67;296~X31>16?hh517d8yv2f>3:1>vP;a79>7``=9?o0q~:n5;296~X3i<16?hh51748yv2f<3:1>vP;a59>7``=9=?0q~:n3;296~X3i:16?hh51568yv2f:3:1>vP;a39>7``=9<90q~:n1;296~X3i816?hh51408yv2f83:1>vP;a19>7``=9=n0q~:6f;296~X31o16?hh515a8yv2>m3:1>vP;9d9>7``=9=h0q~:66;296~X31?16?hh51518yv5c<3:1>vP;ae9>7a2=;;;0q~=k1;297~X3>=16?i?5333896e?28i37p}7}Yom:202?xu4i10;6>uQ471896g?2:8:70=6c;3`<>{t:?<1<7278j54k6:?0ba278jn4k6:?0ac<4:?16?hh5335896ca2:8m70=jf;45?85bn3471349nj7?>5:?0ac<69=16?hh510d896ca28;n70=jf;32`>;4mo0:=n523dd9b3=:;ll1j9523dd9b6=:;ll1j?523dd9ba=:;ll1jn523dd9bg=:;ll1jl523dd9<7=:;ll14=523dd93c=:;ll14o523dd9<3=:;ll148523dd9<1=:;ll14>523dd93`=:;ll1=?94=2ge>4423ty8jk4?:2y>056=l?16?kh5333896`b2h?0q~:?0;296~;38908><5241095f?53z?0bc278jh4<209>054=9j20q~:?1;291~;4n008=l523g:974g<5:lo6>?n;<1eg?56i27?;4ik08=l523`:974g<5:kj6>?n;<1`1?e0349h87m8;<1`7?e0349h>7m8;<1a`?e0349io7m8;<1af?e0349i;7m8;<1a2?e03ty8j;4?:2y>7c>=;;;01>h=:0ab?85bn398<6s|3g`94?g|5:lo6><>;<1ff?e0349nm7m8;<1f=?e0349n47m8;<1f7?e0349n>7m8;<1f5?e0349oh7m8;<1gg?e03ty8jl4?:5y>7ce=;;;01>j;:b5896b62j=01>j<:b58yv5f13:1?v3;4ih0o:63on:202?85fk3;h5634ee3ty8j>4?:dy>7f3=i<16?n:5a49>7f5=i<16?n<5a49>7gb=i<16?om5a49>7gd=i<16?o95a49>7g0=i<16?lm52``896ea28ii70=6c;3`e>;4k10:ol523`395fe54z?0g0278ni4<209>7o>:0a;?xu4k80;6:u23b79777<5:k86c99>7f?=9j301>mk:0a:?85bn32j7p}1}:;j>1h;523ca9777<5:3n650;5x96e32:8:70=n3;3`=>;4i=0:o4523b595f><5:ij6=83>p1>m<:e4896de2:8:70=6f;3`=>;4i;0:ol5rs2`e>5;4kh0:oo523bg95fb<5:h:6c69>7``=011v>ok:18185d:3n=70=ne;0bf>{t;ko1<7jt=2a1>646349j87?lc:?0e0<6kk16?4j51bf896ee28ih70=6f;3`f>;4k00:ol523`095fb<5:io6c`9>7dc=9j=01>ki:958yv5e;3:18v3;4j>08><5238f95f><5:h:67g0=;;;01>7m:0a;?85e93;hn63l8:e4896d62;ki7p}7}:;k<1h;523c296dd7>53z?0`1278h>4k6:?0gc<5ik1v>j?:18185c93n=70=l8;0bf>{t;m91<7:t=2f0>646349o97?l9:?0gc<6k116?l<51b;8yv5a<3:1=>u23d`9e0=:;lk1m8523d;9e0=:;l21m8523d19e0=:;l81m8523d39e0=:;mn1m8523ea9e0=:;m?1>ll4=2c5>4ee3492o7?lb:?0g=<6kj16?nj51bf896ca282h70=jf;624>;4mo0?7`5=;;;01>m6:0a;?85dl3;h46s|3d594?1|5:oi6><>;<1b7?7di278m94>c`9>77j:0ab?85f93;hm63{t;ml1<7:t=2gb>a0<5:o96><>;<1`e?7d1278oh4>c`9~w6c1290;4i:0:o5523`695f><5:3i6cc9>7``=>m1v>jj:18785b13n=70=j1;115>;4kh0:o5523bg95f?5bz?0a<<4:816?l=51ba896g228i370=6d;3`f>;4kk0:oo5238d95f><5:k96c89>055=9j=01>ki:7a8yv5bk3:1>v3;4mm09mo5rs2g7>5;41o0:ol523b;95fd<5:k96c`9>7a0=9jk01>kk:0a4?85bn31}:;l91h;523ef9777<5:ii6jl:202?85d?3;h563jm:18185cl3n=70=k7;0bf>{t;mk1<7a0<5:n=6?om;|q0b4<72;q6?k<52``896ca282o7p}6}:;h91>ll4=2c5>4ed349hj7?lc:p7d5=839p1>o;:3ca?85f>3;h563c`9>7f`=9jk0q~=n0;296~;4i?0:oi523`396dd52z?0=g<5ik16?4m51b;8yv5dk3:1>v3ll4}r1`2?6=:r78o:4=ac9>7f>=9j30q~<9e;296~;41j0:on5238f96dd7>52z?0=f<6km16?4k52``8yv4?n3:1>v3ll4}r0;0?6=:r78o54>ce9>7f?=:hh0q~<6a;296~;41o09mo5238g95fe52z?0gd<5ik16?n751ba8yv41j3:1>v37fb=9ji0qpl;7582>4<729q/8?k531;8L1613A;o96*=0`82`7=#:8818h5a42296c1:083>5}#<;o1?=74H525?M7c=2.9921vn5k8:082>5<7s->9i7=?9:J743=O9m?0(?>n:0f1?!46:38;i6`;3181b<=n;931<7*;2d804<=>52gc8m66>290/8?k531;8?xd1080:6<4?:1y'07c=;930D9>9;I3g1>"58h0:h?5+20095<2>6;:a2fg=93;1<7>t$50f>66>3A>;:6F>d49'65g=;9=0(??=:`28j1572;lh7b=?9;29 14b2::276sm6b:95?7=83:p(92B:h85+21c9751<,;;964h4n513>7`c3f9;57>5$50f>66>32wi:n951;394?6|,=8n6>>6;I632>N6l<1/>=o53158 77520>0b9=?:3df?j5713:1(9{e>j<1=7?50;2x 14b2::27E:?6:J2`0=#:9k1?=94$331><5>6;:at$2;:>6>d3A>;86F<8`9O7=e=;r.85:4<0b9'7<0=;9h0(>77:22g?xh3;908<=5rb2;0>4<7290;w)=69;1:7>"59902<=5G39c8H1562=q/?4953028 6?12::m7)=68;125>"41<086663-9=976ic:p022=839pR99;;<65a?7d?27?;94<089~w=cc29099v3:3g8067=:==:1??<4=462>64534??>7==2:?606<4:;1699:5330890222:8970;;6;116>;18008>?5261c9774<5?:i6><=;<43g?55:27=26g=l?16:>o530c8930a2j=01;9?:b5893162j=01;9=:b589=cc2;ki70870;1;2>;2l>08>?525ca9774<5<;26>:l;<72f?55:27>=n4<239>14b=;;8018?j:201?836n399>63:218067=:=;;1??<4=401>64534?9?7==2:?65d<4:;168ik535a891c52:8970;=8;116>{t>181<74ec34<3>733o70897;;g?804:33o708<3;;g?804<33o708<5;;g?804>33o708<7;;g?804033o708<9;;g?8>b138jn6s|4`:94?>ds4>o:77k;<6g3??c34>o477k;<4b`??c3477k;<4a7??c3477k;<4:7??c34<2877k;<4:`??c34<2i77k;<4:b??c3477k;<4b7??c3477k;<4`7??c3477k;<:fb??c34>3=77k;<6;6??c34>h<77k;<6`5??c34>hm77k;<6`f??c34>ho77k;<6``??c34>hi77k;<6`b??c34>o<77k;<6g5??c34>h>77k;<6`7??c34>h877k;<6`1??c34>h:77k;<6`3??c34>h477k;<6`=??c34>=j7l27>hl46d:?6`0<>l27=l27>h;46d:?6ag<>l27>i>46d:?6a1<>l27>i846d:?6a3<>l27>i:46d:?6a=<>l27>i446d:?6ad<>l27>?k46d:?605<>l27>8<46d:?607<>l27>8>46d:?601<>l27>8846d:?603<>l27=<446d:?54d<>l27=l27=l27=>>46d:?561<>l27=>846d:?563<>l27=>:46d:?56=<>l27=>446d:?6`2<>l27>nn46d:?730<>l273i<46d:?53g<>l27>ih46d:?070<>l278?k46d:?004<>l2788>46d:?000<>l2788:46d:?00=<>l2788446d:?00d<>l2788o46d:?073<>l278?:46d:?07=<>l278?446d:?07d<>l278?o46d:?07f<>l278?i46d:?65<<>l27>=o46d:?65f<>l27>=i46d:?65`<>l27>=k46d:?665<>l27>><46d:?667<>l27>>>46d:?65d<>l27?hh46d:?7a7<>l27>>546d:?54727=<1v8k=:18680e<3n=70864;f5?80f<3n=708l4;f5?83bi399=6s|5d394?3|5?h86i84=7;0>a0<5?k86i84=7a0>a0<5<>;|q6a5<722<4=l?16:l<5d79>2f4=l?169h653338yv3cn3:19v39b08g2>;1180o:639a08g2>;1k80o:63:e68064=z{0}:>ho1h;5269g9`3=:>0o1h;526cg9`3=:=l>1???4}r7gf?6==r7=mi4k6:?527=5i4k6:?5fa27>i>4<209~w31a2908wS88f:?5<5<5ik16::h531;8yv3bj3:14v3:ec8064=:4e?342m87?l9:?71`<6k1168;>51b:8913d28i3706ia;3`<>{t646342n?7?la:?;a1<6k11v9j;:18082c?399=637e282gf=:0l>1=nl4}r6g7?6=;r7?h;4<209><`5=9jh015k;:0ab?xu3??0;68;t=9af>j64j4=970>864j4=967>>64j4=965><64j4=96;>264j4=963>:64j4=6a5>im64j4=6f3>n:64j4=6f1>n864j4=6f7>n>64j4=6f5>hj64j4=6`a>hn64j4=6`e>i;64j4=6a2>i964j4=6a0>i?64j4=6a6>hh64j4=6`g>>964j4=66a>>h64j4=66g>>n64j4=66e>?;64j4=672>?964j4=615>9<64j4=61b>9i64j4=61`>9o64j4=61f>9m64j4=663>>:64j4=61;>9264j4=555>7ge3ty?n;4?:3y>0f?=;;;015h7:0ab?xu3j<0;6?u24b:9777<51l367}:4e>3ty?n?4?:3y>0f3=;;;015h8:0a;?xu3j80;6?u24b69777<51l<67}:4ec3ty?nk4?:3y>0a7=;;;015h6:0a:?xu3jl0;6?u24e29777<51l2628ii7p};bb83>7}:4ef3ty?no4?:3y>0fb=;;;015h6:0ag?xu3jh0;6?u24ba9777<51l267}:4e?3ty?n:4?:3y>0f7=;;;015h7:0aa?xu3il0;6?u24b29777<51l<651b`890cc28ij706j1;115>;2mo0:o4528d195fb52z?5=1<4:816;8<5d79~w3>e2909w0863;115>;0=80o:6s|69c94?4|5?396><>;<564?b13ty=444?:3y>2<7=;;;01::i:e48yv0?03:1>v39918064=:?=o1h;5rs7:4>5<5s4<3j7==1:?40a2wx:5850;0x93>b2:8:709;c;f5?xu10<0;6?u269f9777<5>>i6i84}r4;0?6=>;q68?>51b08974b2h=01?;>:`58975c2mn01?=i:ef897262mn01?:<:ef897222mn01?:8:ef8972>2mn01?:m:ef8972c2mn01?2mn01?=m:ef89117282=70:80;12f>;3?908=i52462974c<5==;6>mm7o8;<6f2?bc34>n47jk;<6fe?bc34>no7jk;<6fa?bc34>m<7jk;<6e6?bc34>m87jk;<6e2?bc3423<7o8;<::3?g03423?7jk;<:;1?bc3423;7jk;<:;=?bc3423n7jk;<:;`?bc3423j7jk;<::5?bc3422?7jk;<:;5?bc34=mo7o8;<:27?g034=mj7jk;<:35?bc342;?7jk;<:31?bc342;;7jk;<:3=?bc342;n7jk;<:3`?bc342;j7jk;<5e`?bc34=<47o8;<5;b?g034=78<;<436?0334<;>789;<436?1a34<;>76?;<436?>534<;>7?=5:?547<4:?16:=<5335893652:8m708?2;104>;18;0?=9526109040<5<><696l;<773?>b>2wx:4m50;0x93g32:8:709k6;f5?xu11k0;6?u26`19777<5>n>6i84}r4:e?6=:r7=m?4<209>3a2=l?1v;76:18180f9399=638d28g2>{t>021<764634=o>7j9;|q5=2<72;q6:4h5333892b62m<0q~866;296~;11l08><527e29`3=z{?3>6=4={<4:`?559272i3n=7p}9ac83>7}:>k91???4=97:>a052z?5f7<4:8164865d79~w3g>2909w08m1;115>;?=>0o:6s|6`:94?4|5?h;6><>;<:62?b13ty=m:4?:3y>2d`=;;;015;::e48yv0f>3:1>v39ad8064=:0<>1h;5rs7c6>5<5s42wx:om50;0x93e32:8:706ke;f5?xu1jk0;6?u26b19777<51no6i84}r4ae?6=:r7=o?4<209>{t>k21<7646342om7j9;|q5f2<72;q6:oh533389=b>2m<0q~8m6;296~;1jl08><528e:9`3=z{?h>6=4={<4a`?559273h:4k6:p<``=83op19j7:e489=ca2:8:70:92;3`<>;?n80:oo5250095f?<5<;86c`9><`5=9j2015k;:0a:?822m3;hn63;5882gd=:0ok1=no4=57b>4ef3ty3j?4?:ey>0a1=l?164k<53338913b28i2706i1;3`e>;29;0:oo5250195fd<51l?6c99>036=9j3019;l:0a:?8>ai3;h563;5`82g==z{1l>6=4j{<6g2?b1342m97==1:?71`<6kh164k?51b;8913>28i270;>2;3`e>;29:0:ol528g695fg<5=?j6cb9>036=9jh019;l:0aa?8>ai3;hn6s|75094?4|5>>96><>;<4`f?7d?2wx;n850;0x92e12:8:708lc;3`3>{t0=k1<764634|5==o6><=;<64a?55:27?;k4<239>0=6=;;80196>:201?82?:399>63<2181eg=:<191=n64}r051?6=kr7?><4<099>67c=lm168h;5de9><=6=lm164;h5a69>3ce=lm16;hl5a69>32>=lm16;;95a69>2c2=lm16:h=5a69>254=91i0q~::f;29=~;1im0j9639ad8b1>;1io0j9639b18b1>;1j80j9639b38b1>;1j:0j9639b58b1>;3>909mo5rs57a>5<>s4<3h7o:;<4;a?g234<3j7o:;<4:4?g234<2=7o:;<4:6?g234<2?7o:;<4:0?g234>>o7:`7893g52h?01;o<:`7893g32h?019;j:3ca?xu3>80;64u26cf9e0=:>ko1m8526cd9e0=:>j:1m8526b39e0=:>j81m8526b19e0=:>j>1m85247096dd=n7>52z?72d<5ik168:>5be9~w10d2909w0:9f;3`3>;3?90ii6s|47;94?4|5=026=k;168;k52``8yv>a>3:1>v37f48g2>;?nh09mo5rs9d0>5<5s42m>7j9;<:e0?4fj2wx4k>50;0x9=ca2m<015h>:3ca?xu4;=0;6?u246f9`3=::;21>ll4}r10a?6=:r7?;h4k6:?16<<5ik1v>:?:181820n3n=70<=a;0bf>{t;=81<7a0<5;8i6?om;|q001<72;q685?5d79>67e=:hh0q~=;6;296~;30;0o:63=2e81eg=z{=?36=4>4z?7g54n5:?7g1;5a49~w6522908w0:l0;f5?854=399=63=5182g2=z{:9m6=4<{<6`5?b13498j7==1:?17`<6k11v>:>:18082di3n=70=;1;115>;5<90:o55rs260>5<4s4>hn7j9;<177?5592798?4>c99~w6222908w0:lc;f5?853=399=63=4582g==z{:><6=4<{<6``?b1349?;7==1:?103<6k11v>:7:18082dm3n=70=;8;115>;5<10:o55rs26:>5<4s4>hj7j9;<17=?5592798l4>c99~w62f2908w0:k0;f5?853i399=63=4b82g==z{:>i6=4<{<6g5?b1349?n7==1:?10`<6k11v>=9:18082d:3n=70=<6;115>;5;90:o55rs214>5<4s4>h?7j9;<103?559279??4>c99~w65?2908w0:l4;f5?8540399=63=3582g==z{:926=4<{<6`1?b1349857==1:?173<6k11v>=n:18082d>3n=70=;5;10:o55rs21a>5<4s4>h;7j9;<10f?559279?l4>c99~w65d2908w0:l8;f5?854k399=63=3b82g==z{:9o6=4<{<6`=?b13498h7==1:?11d<6k11v?:i:180845m39?o63=50814c=::<:1>ll4}r01a?6=;r79>h4=ac9>66b=i>16>8l5a69~w75c2908w0<;5;o0j;63=5b8b3>{t::l1<7=t=31e>7ge348?=7o8;<06`?g03ty98<4?:2y>617=:hh01?:<:`58973b2h=0q~<;3;297~;5<:09mo522579e2=::5<4s48?97u225;96dd<5;>i6l94=341>d153z?10g<5ik16>9j5a69>635=i>1v?:k:180843l38jn63=2g8b3>;5=;0j;6s|23d94?5|5;8m6?om;<005?g0348>?7o8;|q174<72:q6>>?52``897542h=01?;;:`58yv44;3:1?v3=3281eg=:::?1m:522479e2=z{;9>6=4<{<001?4fj279?:4n7:?113>950;1x97502;ki70<<9;c4?842?3k<7p}=3883>6}:::31>ll4=31a>d1<5;?36l94}r643?6=0r7?;i4<209>776=9jn01?<6:0a:?845i3;h563=2c82g<=::;n1=n74=30`>4e>348947?l7:p02>=83=p199j:202?85583;ho63=2882g==::;k1=no4=30a>4ef3489h7?la:?16f<6kh1v996:185820n399=63<2182gg=::;k1=n64=30a>4ee3489h7?lb:?16f<6kk1v99n:18682?8399=63<2182gd=::;h1=n64=30g>4ed3489o7?lc:p02d=83>p196>:202?85583;h563=2e82ga=::;i1=n64}r64g?6=;r7?4?4<209>776=9j201?u24g696dd<5=l=6l94=426>d1m>7>53z?7b7<5ik168k:5a69>152=i>1v9h?:18082a838jn63;f38b3>;28:0j;6s|4dg94?5|5=on6?om;<6e4?g034?;>7o8;|q7af<72:q68hm52``891cb2h=018>>:`58yv2bi3:1?v3;e`81eg=:6}:ll4=5g5>d1<5=lo6l94}r6e0cg=:9l019h6:3ca?xu2;?0;6;u25549777<5h44>c99>17g=;=i018?>:0ab?xu2;<0;68u25579777<5<;36=<4>c89>111=<1k0q~;<4;291~;2<=08><5250595f?<5<:m68:4;889~w054290=w0;;3;115>;29?0:o45251g95f?<5=o?68:4;899~w055290;29<0:o45251d95fd<5=o?6

c`9>111=<1=0q~;<1;292~;2<808><5250695f?<5<:36c89>111=<1<0q~;<0;293~;2<908><5250195fe<5<:j6<:4>cb9>15>=9jk018:8:5;6?xu2:o0;65u252d9777<5<;96c`9>151=9jh018>9:0a;?83703;hn63:4687=1=z{<;j6=4:{<72e?55927?jn4>c99>0ab=9j3019k?:0aa?835?3;ho6s|53194?3|5?:o6i84=400>64634>m;7?l8:?7`a<6k1168h?51b;8yv35:3:19v3:238064=:4ee34>n=7?la:?66<<6kj1v8<>:1868359399=63;f282g==:4ee34?957?lb:p176=83>p18=k4<209>0``=9j2019jk:0a`?82b93;ho63:2882g<=z{<;n6=4;{<72a?55927?ii4>c99>0a`=9j2018<6:0a;?xu29m0;68u261a9`3=:=8n1???4=5ga>4e?34>n<7?lc:?662<6k11v8?l:186807j3n=70;>c;115>;3m00:o5524d295fg<5<8<614d=;;;019k8:0a;?82b83;h563:2682gd=z{<;26=4:{<43=?b134?:57==1:?7a5<6k1169?951b;891`>28i<7p}:2583><}:=:l1m8525529e0=:==;1m8525509e0=:==91m8525569e0=:==?1m8525549e0=:=;k1>ll4}r7`0?6=:r7>h84k6:?6g0<5ik1v8kl:18183ci3n=70;jd;0bf>{t=:=1<7a0<5<:=6?om;|q67=<72;q699>5d79>151=:hh0q~;<9;296~;2<80o:63:0981eg=z{<9j6=4={<776?b134?;n77}:==>1h;5251d96dd52z?60027>==4=ac9~w05b2909w0;;6;f5?836938jn6s|5cf94?4|5?=o6i84=4`f>7ge3ty>jk4?:3y>256=:hh01;>=:0:g?xu3lk0;6<:t=72:>d3<5?:j6l;4=72a>d3<5?:h6l;4=72g>d3<5=nh6?om;<72=?g234?:n7o:;<72g?g234?:h7o:;<72a?g234?:j7o:;<714?g234?9=7o:;<716?g234?9?7o:;<72e?g234>oi7o:;<6f6?g234?947o:;|q6`2<72:q69i95333890b?28i370;;7;:eb>{t=ki1<7kt=4`e>a0<5<;?6=;4>c99>141=9j2018?7:0a;?83em3;h46390182g==:=881=n64=430>4e?34?nh7?l8:?6ff<4:8169hh51b:890e728ij7p}:ed83>1}:>9:1=no4=4gg>4e>34?ni7==1:?6ac<6kh1v;>;:1868071399=63:2d82gg=:=j;1=n64=40`>4ec34?9:7?l9:p253=83?p1;>n:202?835m3;ho63:c082g<=:=;i1=nl4=405>4ee3ty=<;4?:4y>25d=;;;01817c=9j30q~8?8;296~;18m08><5253`95fe5cz?54`<4:8169<>51b:890b?28ij70;l0;3`<>;28>0:o55251495f?<5<:i6>n4>c89>0ae=9j3018<9:0a`?837l3;hm6s|5ec94?g|5<>;<7ff?b134?n?7o:;<7f0?g234?n97o:;<7f2?g234?n;7o:;<7fh;4?:2y>1a0=;;;01;>=:52f?807:3>:<6s|5e794?2|56><>;<7g2?b134?h97?la:?602;1::0j9639258b1>;1:<0j9639278b1>;1:>0j9639298b1>;1:00j96397b82gd=z{>h4>ce9>17d=9j20q~;l2;296~;2k<0:o452555903552z?6g0<6k11699954`58yv3d>3:1>v39038fb>;2<>0?5;5rs4a`>5<5s4<;>7h8;<773?2>m2wx9nj50;0x93652o2018:8:5;e?xu2kl0;6?u26109b<=:===18l>4}r7`b?6=:r7={t=m;1<7ce<5<><69o<;|q6`7<72;q6:=<5fe9>111=0q~;k3;296~;18;0=563:4687e0=z{=:7`890202=3<7p}:c983>7}:>981:n5255590<>52z?547<1l27>8:4;989~w0ef2909w08?2;4f?833?3>2m6s|5b`94?4|5?:96;h4=464>1?e3ty>j:4?:2y>1`5=l?16:?<5d79>254=9?<0q~;i8;297~;2m=0o:639228g2>;18;0::h5rs4d:>5<4s4?n97j9;<410?b134<;>7?9f:p1cg=839p18k9:e4893422m<01;>=:053?xu2nk0;6>u25d59`3=:>;<1h;52610952753z?6a=27=>:4k6:?547<6?;1v8hk:18083b13n=708=8;f5?807:3;a0<5?:96<9;;|q54c<72;q6:<852``893>728i<7p}92`83>6}:>:81???4=425>4ed34??;7:75:p27d=839p1;=<:202?837?3;hh63:4687<54>c99>111=<1o0q~8=d;297~;1;<08><5251`95fb<5<><696i;|q56`<72:q6:>853338906b28i370;;7;6:4>{t>;l1<7=t=714>64634?;j7?l8:?602<3181v;=?:1808040399=63:1182ga=:===184<4}r405?6=;r7=?44<209>147=9j2018:8:5;0?xu2n90;6?u250096dd<5?:965;4}r7e5?6=:r7>=>4=ac9>254=0?1v8h=:181836<38jn639038;3>{t=o91<77ge34<;>767;|q6b1<72;q69<852``893652130q~;i5;296~;29>09mo526109;2l10:oi5rs42:>5<3s4?;m76}:1>ll4=433>4ed34?:=7?lc:p15e=83?p18>k:3ca?83703;ho63:0d82gf=:=9l1=nj4=432>4ee3ty?i?4?:`y>0`4=;;;018??:0ab?83c13;h563:c182gf=:=9=1=no4=425>4ef34?;n7?la:?66g<6km169?m51bc8906c28i27p}91583>7}:>>81h;5260796dd516y>23`=;;;01;96:0aa?806;3;hm6391382g==:>831=nl4=734>4e?34<:47?l8:?504<6kj16:9<51b`8932228ih708;6;3`f>;1<00:on5265c95fd<5?>o6cb9>204=9jh01;;::0a`?802>3;hn6397582gf=:>>?1=nl4=71f>4ed34<8j7?lb:p225=838p1;98:e4893112;ki7p}91083><}:>:81m8526219e0=:>:>1m8526279e0=:>:<1m8526259e0=:>:21m85262;9e0=:>881>ll4}r40f?6=;r7=:=4k6:?57727==>4=ac9~w35d2908w0891;f5?804;3n=708{t>=:1<7=t=741>a0<5?9?6i84=760>7ge3ty=894?:2y>235=l?16:>;5d79>211=:hh0q~8;8;297~;1>=0o:639378g2>;15<4s4<=97j9;<403?b134u26759`3=:>:31h;5264596dd52z?52c27==:4=ac9~w30>2909w0880;f5?806038jn6s|67c94?4|5?=:6i84=73:>7ge3ty=;54?:3y>22g=l?16::752``8yv00?3:1?v39768064=:>8<1=n74=756>4e?3ty=;l4?:2y>22g=;;;01;96:0a:?800k3;h56s|64:94?2|5?<;6><>;<427?7d027=?h4>ce9>26`=9ji0q~8:9;290~;1>808><5265395fb<5?>96;1<<0:oi5265495fen7>54z?526<4:816:9851b:8932>28io708;a;3`g>{t>64634=o1=n64=772>4ec34<>>7?lc:p20c=83>p1;89:202?802:3;h46395482ga=:><<1=nm4}r46b?6=200=9j201;9;:0ag?800=3;ho6s|67a94?7?s4<<<7==1:?550<6k016::751bc8937428ii708>2;3`f>;1900:ol5260595fd<5?;36c89>213=9j301;:9:0a:?80313;h56394`82g<=:>=n1=n74=76f>4e>34<>=7?l9:?517<6k016:8;51b;8933128i270884;3`=>;1?<0:o45262g95f?<5?9m6:202?806=3;hm6397882gf=:>891=nm4=731>4ef34<:57?l8:?552<6kh16:<651b`8932628i3708;2;3`e>;1<<0:o55265495fg<5?>26c99>21c=9jk01;;>:0a;?802:3;hm6395482g==:><<1=no4=757>4e?34<<97?la:?57`<6k116:>h51bc8yv01m3:1==u26609777<5?;>64>c89>244=9j301;?6:0a:?806?3;h56391982gd=:>=91=n64=764>4e?34;1;m088n5rs71b>5<5s4<8m7==1:?553<6k11v;9m:18a80013;hh6394082gg=:>=?1=nl4=76:>4ee34;1?j0:o55262g95fd53z?56<<4:816:<851bc8931328ij7p}91`83>7}:>;81???4=71f>4ef3ty==o4?:3y>275=;;;01;:>:0ab?xu19j0;6?u26369777<5?>>628ij7p}91d83>7}:>;<1???4=76g>4ef3ty==k4?:3y>271=;;;01;;>:0ab?xu1:90;6?u263:9777<5??>67}:0>31>ll4=950>62d3ty3;h4?:3y><2c=:hh0159<:ef8yv>0:3:1>v377381eg=:0>91m:5rs95;>5<5s42<470l38jn637738g`>{t0>;1<77ge342<>7o8;|q;32<72;q64:952``89=162:>h7p}77b83>7}:0>i1>ll4=952>ab52z?;35<5ik164:?5a69~w=112909w0686;0bf>;??9088n5rs95a>5<5s426?om;|q;3d<72;q64;h5de9><2`=:hh0q~671;296~;?0809mo5288;9e2=z{1386=4<{<::7?4fj2734<4n7:?;==f;3k<7p}78g83>6}:01l1>ll4=9;2>d1<51k96l94}r:;`?6=;r734i4=ac9><=`=i>164l?5a69~w=>e2908w067b;0bf>;?0m0j;637a18b3>{t0131<7=t=9::>7ge3423n7o8;<::b?g03ty34:4?:2y><=1=:hh01566:`589=?b2h=0q~675;297~;?0<09mo528959e2=:00n1m:5rs9:0>5<4s423?7?;3k<7066b;c4?xu?1<0;6>u2892971e<513<6?>i;<::2?4fj2wx4;o50;0x9=0e2;ki706l0;3`e>{t0m;1<76t=9f:>646342=?7?lb:?;20<6kj164;951bf89=0b28i27069d;3`<>;?>10:oi5287`95fe59z?;`=<4:8164;=51bc89=0228ii70697;3`g>;?>l0:ol5287f95f?<51<36c69~w=g12909w06l5;f5?8>>138jn6s|8`794?4|51i?6i84=9;;>7ge3ty3mk4?:3y>fm3:1>v37cb8g2>;?i;09mo5rs9cg>5<5s42hn7j9;<:b5?4fj2wx4lm50;0x9=ef2m<015o?:3ca?xu?ik0;6?u28b;9`3=:00l1>ll4}r:be?6=:r73o54k6:?;=`<5ik1v5o6:1818>d?3n=7066d;0bf>{t0h21<7a0<513h6?om;|q;e2<72;q64n=5d79><0>3;hm6376b81eg=z{1<;6=4<{<:4g?7di273;:4>c99><3b=:hh0q~691;297~;??j0:oo5286595fg<51{t0?>1<7=t=95g>4ee342<47?la:?;20<5ik1v589:1808>0m3;hm6377882g==:0?=1>ll4}r:5cc9><2?=9jk01587:3ca?xu?=j0;6<;t=9f4>d3<51n36l;4=9f:>d3<51nj6l;4=9fa>d3<51nh6l;4=9fg>d3<51nn6l;4=9a1>645342h?7==2:?;g3<4:;164n9533089=e?2:89706l9;116>;?kh08>?528b`9774<51ih6><=;<:``?55:273o94<239>u28e59777<51=i6;?><0:ol5287595fd<51cc9~w=b4290>w06kb;115>;?>:0:o55287795f?<51<<6;?>>0:o55287;95f?54z?;`a<4:8164;951b;89=0?28ij7069b;3`<>{t0m<1<7=t=9ff>646342=47?l9:?;2g<6kh1v5l;:1878>d:399=6377482g==:0>l1=n74=9;5>4e03ty3n:4?:5y>0n3;h46378582g==z{1h36=4;{<:`2?559273;o4>c89><20=9j301569:0a;?xu?j00;68u28b59777<51=i6c99><5286a95f?<51=<6c99><5286a95f><51=<6c99><5286f95f?<51=36c99><5286f95f><51=36c99><5286g95f?<51=26c99><5286g95f><51=26c99><5284g95fg<51296;?1h0:o5528b295f>52z?4ac<5ik164>m51b;8yv1a=3:1>v38f481eg=:?ll1?9m4}r5ee?6=:r73``=lm1v:kj:18181bm38jn638eg8b3>{t?o>1<77ge34=ni7=;c:p3c?=838p1:h6:3ca?81bm3no7p}8ee83>7}:?ln1>ll4=6gf>d152z?4b6<5ik16;hj535a8yv1a03:1>v38f981eg=:?ln1hi5rs6g`>5<5s4=no7{t?o=1<77ge34=no7jk;|q4ag<72;q6;hl52``892cd2h=0q~9i0;296~;0mk088n527g396dd52z?4ag;?9<0j;6s|81d94?5|51:m6?om;<5e`?g0342:87o8;|q;4a<72:q64=j52``89=6a2h=015?i:`58yv>7j3:1?v370c81eg=:09n1m:5280g9e2=z{1:26=4<{<:3=?4fj2736k3k<7p}70483>6}:09?1>ll4=924>d1<51;i6l94}r:37?6=;r73<>4=ac9><53=i>164;?8:0j;637188b3>{t?ol1<7=t=6de>7ge342;=7o8;<:23ce=:hh01:hi:`589=702h=0q~6>1;297~;0nj088n52801965`<51;96?om;|q4a3<72;q6;h952``89=5d28ij7p}74e83>=}:04ee34=n=7?lc:?4a6<6km16;ho51b;892c>28i3709j4;3``>;0m>0:on5rs96`>5<>s42>87==1:?4`c<6kh16;h?51b`892c428ih709ja;3`e>;0m00:o4527d695fe<5>o<61>ll4}r:1f?6=:r73844k6:?;5c<5ik1v5303n=706>e;0bf>{t0;31<7a0<51;o6?om;|q;6=<72;q64985d79><4e=:hh0q~6=7;296~;?<<0o:6371c81eg=z{18=6=4={<:70?b1342:m72;ki7p}72583>7}:0=81h;5280:96dd52z?;7c273=:4=ac9~w=472909w066;38jn6s|82a94?4|51>j6i84=91`>7ge3ty3c1=9jh01:h=:0ab?81b038jn6s|7ea94?5|5>l36;0n:0:ol527dc96dd53z?4b<<6kh16;k:51b:892ba2;ki7p}8e183>6}:?o31=nl4=6d7>4ef34=n=7o?6=4<{<5ee?7dj27c`9>3`2=:hh0q~9k8;2950}:0<91m8528469e0=:0645342?>7==2:?;06<4:;1649:533089=222:89706;6;116>;?<>08>?5285:9774<51>26><=;<:74?55:2738<4<239>3a?=:hh0q~6;b;297~;?=:08><527g595fg<5>l96q64885333892ba28i2709j1;3`e>;0m:0:oo527dc95f><5>o?6;0m80:o4527d195fg<5>o>6;0m<0:o45rs972>5<3s42>57==1:?4a6<6k016;h:51bc892c028i37p}75383>6}:04e>34=n;7?la:p<66=83>p15=j:202?81a93;h4638fc82g<=:0881=n94}r:07?6=3c7=9j301:hm:0a;?8>783;h46s|82694?2|51>96><>;<5e3?7d127c89><54=9j20q~6<5;291~;?<:08><527g595f><5>l96c89~w=51290=w06;4;115>;0n10:o4527g195f?<5>n26c`9~w=50290=w06;5;115>;0n10:o5527g195fd<5>n26cc9~w=5?290=w06;6;115>;0n00:o4527g695f?<5>n26cb9~w=5>290=w06;7;115>;0n00:o5527g695fd<5>n26ce9~w=5f290=w06;8;115>;0nh0:o4527g795f?<5>nj6cb9~w=5e290=w06;9;115>;0nh0:o5527g795fd<5>nj6c99~w=56290?w06;0;115>;0lh0:ol527gg95f><519h628io706>6;3`<>;?;j0:o55rs64a>5<5s4==n7:181810938jn6386c800f=z{>==6=4={<542?4fj27<:o4kd:p33g=838p1:8n:3ca?811j3k<7p}87183>7}:?>:1>ll4=64b>62d3ty<;84?:3y>323=:hh01:8n:ef8yv1113:1>v386881eg=:??k1m:5rs64e>5<5s4==j7{t??21<77ge34==57o8;|q42`<72;q6;;k52``8920?2:>h7p}87283>7}:?>91>ll4=64;>ab52z?422<5ik16;;65a69~w20d2909w0997;17g>;0>m09mo5rs651>5<5s4==;7jk;<543?4fj2wx;:750;0x921>2;ki70961;c4?xu00k0;6>u279`96dd<5>=26l94=6;3>d153z?4<<<5ik16;5l5a69>31v:68:18081??38jn638888b3>;01h0j;6s|79794?5|5>2>6?om;<5;3?g034=257o8;|q4<6<72:q6;5=52``892>22h=01:77:`58yv1?93:1?v388081eg=:?191m:527859e2=z{>=m6=4<{<54b?4fj27<4<4n7:?4=3=3k<7p}87c83>6}:?>h1>ll4=65g>d1<5>3?6l94}r5432d=i>16;4=5a69~w2>c2908w0988;17g>;00o097>52z?426<5ik16;o651bc8yv1d13:14v38d08064=:?4ed34=>j7?ld:?423<6k016;;;51b:8920728io70993;3`g>{t?j21<77t=6f3>64634=>n7?la:?41a<6kk16;8h51ba8920128ij70995;3`=>;0>90:on5277195fb<5>3<7=:hh0q~96d;296~;0jj0o:6389181eg=z{>k<6=4={<5`1?b134=2n77}:?j91h;5278;96dd52z?4g727<554=ac9~w2g42909w09l1;f5?81>?38jn6s|7`094?4|5>i;6i84=6;5>7ge3ty3g`=l?16;4;52``8yv1f83:1>v38bd8g2>;01=09mo5rs6;e>5<5s4=in7j9;<5:7?4fj2wx;4m50;0x92df2m<01:6i:3ca?xu0j10;6?u27b49`3=:?k21>ll4}r563?6=;r7<;>4>cc9>33c=9jk01:8;:3ca?xu0=10;6>u276695fg<5>;0>?09mo5rs67b>5<4s4=<97?la:?435<6k116;8l52``8yv12k3:1?v387482gg=:?>:1=no4=67g>7ge3ty<9h4?:2y>320=9jk01:9>:0a;?812n38jn6s|77294?5|5>==6;0l90j9638d08b1>;0l;0j9638d28b1>;0l=0j9638d48b1>;0l?0j9638b`8067=:?kh1??<4=6`f>64534=ij7==2:?4g5<4:;16;n?5330892e52:89709l3;116>;0k=08>?527b79774<5>hh6><=;<5a`?55:27<984=ac9~w2e02908w09lf;115>;0?:0:ol5277g95f>57z?4`7<4:816;8l51b;8923c28ij709:f;3`f>;0>?0:o55277295f><5><86;0=o0:ol5277395f>54z?4`1<4:816;8j51b:8923a28i370991;3`=>{t?jn1<7:t=6f6>64634=>j7?l9:?425<6kh16;;=51b:8yv1dm3:1?v38d78064=:??:1=n74=640>4ef3ty3gg=;;;01:8k:0a;?810?3;h56388d82g2=z{>km6=4;{<5af?55927<:i4>c89>321=9j201:9l:0a;?xu0j90;69u27cg9777<5>=86c99~w2d6290>w09mf;115>;0?:0:o55277g95fd<5>2;6;0>o0:o45274795fe<5>296;0>o0:oo5274795fd<5>2?6;0?90:o45274795f?<5>2=6;0?90:oo5274795fg<5>236;0?80:o45274495f?<5>2j6;0?80:oo5274495f><5>2h6;0?h0:o5527c:95fb54z?4fa<4:816;8;51bf892?528i3709m8;3`<>{t>l=1<77ge34=887?l9:p2`b=838p1;kk:3ca?80b?39?o6s|6g094?4|5?l96?om;<4f3?bc3ty=i;4?:3y>2`0=:hh01;k8:`58yv0bk3:1>v39eb81eg=:>l<1?9m4}r4e5?6=:r7=j<4=ac9>2`0=lm1v;k::18180b=38jn639e78b3>{t>lh1<77ge347}:>l>1>ll4=7g6>d152z?5ad<5ik16:h:535a8yv0bn3:1>v39eg81eg=:>l>1hi5rs7g0>5<5s4h708j9;0bf>{t>lo1<7ab<5?l86?om;|q5b0<72;q6:k;52``8926c2h=0q~9?7;297~;08>09mo526g79e2=:?9i1m:5rs626>5<4s4=;97<:3ca?817=3k<709>6;c4?xu0880;6>u271396dd<5>:86l94=636>d153z?5bc<5ik16;=?5a69>342=i>1v;hk:18080al38jn639fg8b3>;09:0j;6s|6g`94?5|5?li6?om;<4e`?g034=:>7o8;|q5b<<72:q6:k752``893`e2h=01:?>:`58yv0a?3:1?v39f681eg=:>o31m:527029e2=z{?l?6=4<{<4e0?4fj27=j:4n7:?44ch709?b;03b>;08h09mo5rs7ff>5<5s4m31=nm4=7fa>4ec347?l9:?5a4<6k116:im51bf893ba28ih7p}84583><}:?=i1???4=7f4>4ef34;1lo0:oi526d295f152z?47<27<2909w09<8;f5?817k38jn6s|73194?4|5>>:6i84=634>7ge3ty<>?4?:3y>316=l?16;<852``8yv1593:1>v383g8g2>;09<09mo5rs603>5<5s4=8i7j9;<520?4fj2wx;ll4}r52`?6=:r70;0bf>{t?8h1<7a0<5>:m6?om;|q45=<72;q6;>85d79>35d=:hh0q~9<4;296~;0<;0o:6383581eg=z{?n86=4<{<4fb?7dj27=il4>c`9>2`6=:hh0q~8k4;297~;1n90:ol526d`95f><5?o:6?om;|q5`0<72:q6:k>51b`893ce28ij708j2;0bf>{t>m<1<7=t=7d2>4ef34m31>ll4}r4ge?6=;r7=j?4>c`9>2`b=9j201;jm:3ca?xu1lj0;6>u26g095fd<5?oo650;36813j3k>709;c;c6?813l3k>709;e;c6?813n3k>709:0;c6?81293k>709:2;c6?814>399>638368067=:?:k1??<4=61a>64534=8o7==2:?47a<4:;16;>k53308925a2:89709;0;116>;0<808>?5272:9774<5>926><=;<4g5?4fj2wx;9=50;1x922e2:8:708jf;3`e>;1mh0:o55rs665>5<0s4=?i7==1:?5`2<6k016:i751bc893be28ii708j2;3`<>;1lj0:o5526ed95fd55z?40c<4:816:i951b:893b>28i2708kb;3`e>;1lm0:o55rs66;>5<3s4=><7==1:?5`<<6k116:il51b:893bc28i27p}84883>1}:?<;1???4=7fa>4e>34ml1=no4}r512`?=9j201;h<:0a:?817i3;h;6s|73`94?2|5>9<6><>;<4f=?7d127=j>4>c99>2c>=9j20q~9=c;290~;0;h08><526dd95f?<5?oj6;1mh0:oo526ga95f><5>9>6m5333893`728i2708jb;3`=>;1l80:on526gg95f><5>9>6j5333893`728i3708jb;3`f>;1l80:oo5271295f><5>9>6k5333893`628i2708jc;3`=>;1l80:o45271095f><5>9>6h5333893`628i3708jc;3`f>;1l80:ol5271695f><5>9>65333893`528i2708jd;3`=>;1l;0:o45271495f><5>9?6;1l;0:o55271:95f><5>9>665333893b528ij708i6;3`<>;0;=0:oi5rs60b>5<3s4=857==1:?5`4<6km16;=k51b:8925328i37p}7f683>7}:0o=1>ll4=9db>4ed3ty3j54?:3y>=:hh015hm:0a;?xu?n00;6?u28g;96dd<51li67}:>=81>ll4=760>4ef3ty=884?:3y>213=:hh01;:8:0a:?xu1<67}:>=k1>ll4=76a>4ef3ty=8i4?:3y>21b=:hh01;:i:0a:?xu1m67}:><81>ll4=770>4ef3ty=984?:3y>203=:hh01;;8:0a:?xu1=?0;6?u264496dd<5??<67}:>>?1>ll4=755>4ef3ty9:h4?:2y><3?=:hh01587:0aa?8>1j3;h56s|26;94?4|51?n6?om;<:6`?7d02wx>;950;1x92c22;ki709j4;3`f>;0m>0:o45rs34a>5<5s4=om7303=9j20q~<80;297~;1lm09mo526ea95fd<5?nm66}Y0l=015k7:0a4?8>b?39;56s|8da94?5|V1oh706jd;3`3>;?mj08<45rs7:2>5<4sW<3=6398382g2=:>1;1?=74}r4`e?6=;rT=ol526bg96dd<5?ij6>>6;|q5g=<72:qU:n64=7ag>7ge34v3:d68g2>;2l109mo5rs4a3>5<5s4?io7j9;<7`4?4fj2wx>>k50;1x975b2;ki70<;5=k095<4s48?<78m521d8yv43:3:1?v3=4381eg=::=;1?9m4=37g>76a3ty9894?:2y>612=:hh01?:<:26`?842m38;j6s|25494?5|5;>=6?om;<071?53k2799k4=0g9~w72?2908w0<;8;0bf>;5<>088n52272965`53z?10d<5ik16>97535a897062;:m7p}=4b83>6}::=i1>ll4=36a>62d348=>7k4<4b9>604=:9l0q~<<2;297~;5;;09mo52223971e<5;?86?>i;|q171<72:q6>>:52``897542:>h70<:4;03b>{t::<1<7=t=315>7ge348897=;c:?110<58o1v?=7:180844038jn63=36800f=::<<1>=h4}r00e?6=;r79?l4=ac9>66?=;=i01?;8:32e?xu5;j0;6>u222a96dd<5;9i6>:l;<06;28<095<4s4>m9776a3ty?j<4?:2y>0c7=:hh019h?:26`?837:38;j6s|4dd94?5|5=om6?om;<6fa?53k27><<4=0g9~w1cc2908w0:jd;0bf>;3mj088n52512965`nn7>53z?7ag<5ik168ho535a891`a2;:m7p};e883>6}:ll4=5g;>62d34>mi739?o63;fe814c=z{1296=4<{<:;6?4fj2734<4<4b9><<>=:9l0q~664;297~;?1=09mo52881971e<51k86?>i;|q;=7<72:q644<52``89=?62:>h706n2;03b>{t00:1<7=t=9;3>7ge3423j7=;c:?;e4<58o1v56j:1808>?m38jn6378e800f=:0h:1>=h4}r:;g?6=;r734n4=ac9><=d=;=i0157i:32e?xu?0h0;6>u289c96dd<51226>:l;<::a?47n2wx45650;1x9=>?2;ki70677;17g>;?1m095<4s423:7?<3:1?v378581eg=:0191?9m4=9;a>76a3ty3cc=:hh01:hk:26`?8>6<38;j6s|80294?5|51;;6?om;<:3b?53k273=k4=0g9~w=6b2908w06?e;0bf>;?8m088n5280g965`53z?;4f<5ik164=l535a89=7c2;:m7p}70`83>6}:09k1>ll4=92:>62d342:o7=839p15>7:3ca?8>7?39?o6371c814c=z{1:=6=4<{<:32?4fj273<84<4b9><4g=:9l0q~6?4;297~;?8=09mo52811971e<51;26?>i;|q;47<72:q64=<52``89=662:>h706>8;03b>{t09:1<7=t=923>7ge34=mj7=;c:?;52<58o1v:9n:180810i38jn63878800f=:?0:1>=h4}r5;g?6=;r7<4n4=ac9>3=d=;=i01:7m:32e?xu00h0;6>u279c96dd<5>226>:l;<5:e?47n2wx;5650;1x92>?2;ki70977;17g>;010095<4s4=3:776a3ty<4?4?:2y>3=4=:hh01:6>:26`?81>>38;j6s|79294?5|5>2;6?om;<54b?53k27<584=0g9~w21b2908w098e;0bf>;0?m088n52786965`53z?43f<5ik16;:l535a892?42;:m7p}9f783>6}:>o<1>ll4=7d6>62d34=;o7=839p1:>7:3ca?817?39?o63816814c=z{>:=6=4<{<532?4fj27<<84<4b9>340=:9l0q~9?4;297~;08=09mo52711971e<5>;>6?>i;|q447<72:q6;=<52``892662:>h709>4;03b>{t?9:1<7=t=623>7ge34=h4}r4eg?6=;r7=jn4=ac9>2cd=;=i01:?>:32e?xu1nh0;6>u26gc96dd<5?l26>:l;<524?47n2wx:k650;1x93`?2;ki708i7;17g>;08o095<5s48>m76;0bf>;?9<095<5s4=2>7j:181817m38jn6380e814c=z{=286=4={<641?b134>3?7:e489=c52;ki7p}97b83>7}:>>h1h;5266a96dd52z?6a`27>ik4=ac9~w7142909w0=<5;f5?842938jn6s|26694?4|5:9m6i84=37a>7ge3ty9;84?:3y>717=l?16>8m52``8yv40>3:1>v3<428g2>;5=m09mo5rs354>5<5s49?97j9;<06a?4fj2wx>:650;0x96202m<01?;i:3ca?xu5?h0;6?u235:9`3=::?:1>ll4}r04f?6=:r78844k6:?124<5ik1v?9l:181853i3n=70<92;0bf>{t:>n1<7a0<5;<86?om;|q13`<72;q6?>85d79>604=:hh0q~<8f;296~;4;>0o:63=5281eg=z{;2;6=4={<1087=6:e4897322;ki7p}=8383>7}:;:k1h;5224496dd52z?07g2799:4=ac9~w7>22909w0=76b=l?16>8752``8yv4?03:1>v3:188g2>;3nh09mo5rs3::>5<5s4?:n7j9;<6e`?4fj2wx>5o50;0x907d2m<019hj:3ca?xu50k0;6?u250f9`3=:ll4}r0;g?6=:r7>=h4k6:?645<5ik1v?6k:181836n3n=70;?1;0bf>{t:1o1<7a0<5<:96?om;|q1=5<72;q69??5d79>155=:hh0q~<61;296~;2:;0o:63:0581eg=z{;396=4={<717?b134?;977}:=8k1h;524g`96dd53z?7`c<5ik168ij51bf891c628i37p}=9783>7}:52z?7a727?i<4=ac9~w04?2909w0;=8;115>;2:k0:oo5rs3;;>5<5s4>n<7:3ca?83d83;hn6s|28f94?4|5<826?om;<713?7dl2wx>4k50;0x9=`e2;ki706ia;3``>{t:0l1<77ge342h<7?lb:p6d6=838p15=k:3ca?8>4k3;hn6s|2`394?4|5>h26?om;<5al<50;0x92522;ki709<4;3`f>{t<<31<7?={<66e?4fj278?k4n5:?0044n5:?000b:3;h46s|2`694?4|51o?6?om;<:f6?7d12wx>l;50;0x935b2;ki708{t:?21<77ge34<8h7?l9:p63?=838p18;30:0:o45rs9g;>516:=k5d79>170=9j2018??:0a:?83c03;hn63:c182g<=:=9=1=n74=425>4ee34?;n7?l9:?66g<6k0169?m51b:891bd28i370;?d;3`<>{zf893<7>51zJ743=N;931=v?5}[1;`?7|<>>1qW?l1;0x7=b=:881qc:<0;28yk74080;6>52:m56>4290:wE:?6:l775<43td:?5:50;0xL1613g>8<7:4}o30<0<728qC8=84n513>0=zf893:7>51zJ743=i<::1:6sa12:4>5<6sA>;:6`;3184?xh6;121<7?tH525?k248320qc?<8883>4}O<9<0b9=?:89~j45?i3:1=vF;079m066=i2we=>6m:182M27>2d??=4m;|l27=e=83;pD9>9;o604?e4}O<9<0b9=?:g9~j45>83:1=vF;079m066=991vb<=61;295~N38?1e8>>5109~j45>:3:1=vF;079m066=9;1vb<=63;295~N38?1e8>>5129~j45><3:1=vF;079m066=9=1vb<=65;295~N38?1e8>>5149~j45>>3:1=vF;079m066=9?1vb<=67;295~N38?1e8>>5169~j45>03:1=vF;079m066=911vb<=69;295~N38?1e8>>5189~j45>i3:1=vF;079m066=9h1vb<=6b;295~N38?1e8>>51c9~j45>k3:1=vF;079m066=9j1vb<=6d;295~N38?1e8>>51e9~j45>m3:1=vF;079m066=9l1vb<=6f;295~N38?1e8>>51g9~j45f83:1=vF;079m066=:91vb<=n1;295~N38?1e8>>5209~j45f:3:1=vF;079m066=:;1vb<=n3;295~N38?1e8>>5229~j45f<3:1=vF;079m066=:=1vb<=n5;295~N38?1e8>>5249~j45f>3:1=vF;079m066=:?1vb<=n7;295~N38?1e8>>5269~j45f03:1=vF;079m066=:11vb<=n9;295~N38?1e8>>5289~j45fi3:1=vF;079m066=:h1vb<=nb;295~N38?1e8>>52c9~j45fk3:1=vF;079m066=:j1vb<=nd;295~N38?1e8>>52e9~j45fm3:1?vF;079m066=:l1e?5<50:m56ga290:wE:?6:l775<5n2we=>l?:182M27>2d??=4<0:m56d6290:wE:?6:l775<492we=>l=:182M27>2d??=4<2:m56d4290:wE:?6:l775<4;2we=>l;:182M27>2d??=4<4:m56d2290:wE:?6:l775<4=2we=>l9:182M27>2d??=4<6:m56d0290:wE:?6:l775<4?2we=>l7:182M27>2d??=4<8:m56d>290:wE:?6:l775<412we=>ln:182M27>2d??=4ll:182M27>2d??=4lj:182M27>2d??=4m?:182M27>2d??=4;0:m56e6290:wE:?6:l775<392we=>m=:182M27>2d??=4;2:m56e4290:wE:?6:l775<3;2we=>m;:182M27>2d??=4;4:m56e2290:wE:?6:l775<3=2we=>m9:182M27>2d??=4;6:m56e0290:wE:?6:l775<3?2we=>m7:182M27>2d??=4;8:m56e>290:wE:?6:l775<312we=>mn:182M27>2d??=4;a:m56ee290:wE:?6:l775<3j2we=>ml:182M27>2d??=4;c:m56ec290:wE:?6:l775<3l2we=>mj:182M27>2d??=4;e:m56ea290:wE:?6:l775<3n2d84?4>;|l27a6=83;pD9>9;o604?373td:?i?50;3xL1613g>8<7;>;|l27a4=83;pD9>9;o604?353td:?i=50;3xL1613g>8<7;<;|l27a2=83;pD9>9;o604?333td:?i;50;3xL1613g>8<7;:;|l27a0=83;pD9>9;o604?313td:?i950;3xL1613g>8<7;8;|l27a>=83;pD9>9;o604?3?3td:?i750;3xL1613g>8<7;6;|l27ag=83;pD9>9;o604?3f3td:?il50;3xL1613g>8<7;m;|l27ae=83;pD9>9;o604?3d3td:?ij50;3xL1613g>8<7;k;|l27ac=83;pD9>9;o604?3b3td:?ih50;3xL1613g>8<7;i;|l27`6=83;pD9>9;o604?073td:?h?50;3xL1613g>8<78>;|l27`4=83;pD9>9;o604?053td:?h=51;3xL1613g>8<78<;|l27`2=93;pD9>9;o604?033td:?h;51;3xL1613g>8<78:;|l27`0=93;pD9>9;o604?013td:?h951;3xL1613g>8<788;|l27`>=93;pD9>9;o604?0?3td:?h751;3xL1613g>8<786;|l27`g=93;pD9>9;o604?0f3td:?hl51;3xL1613g>8<78m;|l27`e=93;pD9>9;o604?0d3td:?hj51;3xL1613g>8<78k;|l27`c=93;pD9>9;o604?0b3td:?hh51;3xL1613g>8<78i;|l27c6=93;pD9>9;o604?173td:?k?51;3xL1613g>8<79>;|l27c4=93;pD9>9;o604?153td:?k=51;3xL1613g>8<79<;|l27c2=83;pD9>9;o604?133td:?k;50;3xL1613g>8<79:;|l27c0=83;pD9>9;o604?113td:?k950;3xL1613g>8<798;|l27c>=83;pD9>9;o604?1?3td:?k750;3xL1613g>8<796;|l27cg=83;pD9>9;o604?1f3td:?kl50;3xL1613g>8<79m;|l27ce=83;pD9>9;o604?1d3td:?kj50;3xL1613g>8<79k;|l27cc=83;pD9>9;o604?1b3td:?kh50;3xL1613g>8<79i;|l2056=83;pD9>9;o604?>73td:8=?50;3xL1613g>8<76>;|l2054=83;pD9>9;o604?>53td:8==50;3xL1613g>8<76<;|l2052=83;pD9>9;o604?>33td:8=;50;3xL1613g>8<76:;|l2050=83;pD9>9;o604?>13td:8=950;3xL1613g>8<768;|l205>=83;pD9>9;o604?>?3td:8=750;3xL1613g>8<766;|l205g=83;pD9>9;o604?>f3td:8=l50;3xL1613g>8<76m;|l205e=83;pD9>9;o604?>d3td:8=j50;3xL1613g>8<76k;|l205c=83;pD9>9;o604?>b3td:8=h50;3xL1613g>8<76i;|l2046=83;pD9>9;o604??73td:88<77>;|l2044=83;pD9>9;o604??53td:8<=50;3xL1613g>8<77<;|l2042=83;pD9>9;o604??33td:8<;50;3xL1613g>8<77:;|l2040=838pD9>9;o604??13td:8<950;0xL1613g>8<778;|l204>=838pD9>9;o604???3td:8<750;0xL1613g>8<776;|l204g=838pD9>9;o604??f3td:88<77m;|l204e=838pD9>9;o604??d3td:88<77k;|l204c=93;pD9>9;o604??b3td:88<77i;|l2076=93;pD9>9;o604?g73td:8??51;3xL1613g>8<7o>;|l2074=93;pD9>9;o604?g53td:8?=51;3xL1613g>8<7o<;|l2072=93;pD9>9;o604?g33td:8?;51;3xL1613g>8<7o:;|l2070=93;pD9>9;o604?g13td:8?950;3xL1613g>8<7o8;|l207>=83;pD9>9;o604?g?3td:8?750;3xL1613g>8<7o6;|l207g=83;pD9>9;o604?gf3td:8?l50;3xL1613g>8<7om;|l207e=83;pD9>9;o604?gd3td:8?j50;3xL1613g>8<7ok;|l207c=83;pD9>9;o604?gb3td:8?h50;3xL1613g>8<7oi;|l2066=83;pD9>9;o604?d73td:8>?50;3xL1613g>8<7l>;|l2064=83;pD9>9;o604?d53td:8>=50;3xL1613g>8<7l<;|l2062=83;pD9>9;o604?d33td:8>;50;3xL1613g>8<7l:;|l2060=838pD9>9;o604?d13td:8>950;3xL1613g>8<7l8;|l206>=83;pD9>9;o604?d?3td:8>750;3xL1613g>8<7l6;|l206g=83;pD9>9;o604?df3td:8>l50;3xL1613g>8<7lm;|l206e=83;pD9>9;o604?dd3td:8>j50;3xL1613g>8<7lk;|l206c=83;pD9>9;o604?db3td:8>h50;3xL1613g>8<7li;|l2016=83;pD9>9;o604?e73td:89?50;0xL1613g>8<7m>;|l2014=83;pD9>9;o604?e53td:89=50;3xL1613g>8<7m<;|l2012=838pD9>9;o604?e33td:89;50;0xL1613g>8<7m:;|l2010=838pD9>9;o604?e13td:89950;0xL1613g>8<7m8;|l201>=838pD9>9;o604?e?3td:89750;0xL1613g>8<7m6;|l201g=838pD9>9;o604?ef3td:89l50;0xL1613g>8<7mm;|l201e=838pD9>9;o604?ed3td:89j50;0xL1613g>8<7mk;|l201c=838pD9>9;o604?eb3td:89h50;0xL1613g>8<7mi;|l2006=838pD9>9;o604?b73td:88?50;0xL1613g>8<7j>;|l2004=838pD9>9;o604?b53td:88=50;0xL1613g>8<7j<;|l2002=838pD9>9;o604?b33td:88;50;3xL1613g>8<7j:;|l2000=83;pD9>9;o604?b13td:88950;3xL1613g>8<7j8;|l200>=83;pD9>9;o604?b?3td:88750;3xL1613g>8<7j6;|l200g=83;pD9>9;o604?bf3td:88l50;3xL1613g>8<7jm;|l200e=83;pD9>9;o604?bd3td:88j50;3xL1613g>8<7jk;|l200c=838pD9>9;o604?bb3td:88h50;0xL1613g>8<7ji;|l2036=838pD9>9;o604?c73td:8;?50;0xL1613g>8<7k>;|l2034=838pD9>9;o604?c53td:8;=50;0xL1613g>8<7k<;|l2032=838pD9>9;o604?c33td:8;;50;0xL1613g>8<7k:;|l2030=83;pD9>9;o604?c13td:8;950;3xL1613g>8<7k8;|l203>=83;pD9>9;o604?c?3td:8;750;3xL1613g>8<7k6;|l203g=83;pD9>9;o604?cf3td:8;l50;3xL1613g>8<7km;|l203e=83;pD9>9;o604?cd3td:8;j50;3xL1613g>8<7kk;|l203c=83;pD9>9;o604?cb3td:8;h50;3xL1613g>8<7ki;|l2026=83;pD9>9;o604?`73td:8:?50;3xL1613g>8<7h>;|l2024=83;pD9>9;o604?`53td:8:=50;3xL1613g>8<7h<;|l2022=83;pD9>9;o604?`33td:8:;50;3xL1613g>8<7h:;|l2020=83;pD9>9;o604?`13td:8:950;3xL1613g>8<7h8;|l202>=83;pD9>9;o604?`?3td:8:750;3xL1613g>8<7h6;|l202g=83;pD9>9;o604?`f3td:8:l50;3xL1613g>8<7hm;|l202e=83;pD9>9;o604?`d3td:8:j50;3xL1613g>8<7hk;|l202c=83;pD9>9;o604?`b3td:8:h50;3xL1613g>8<7hi;|l20=6=83;pD9>9;o604?7782we=96>:182M27>2d??=4>009~j42?:3:1=vF;079m066=9980qc?;8283>4}O<9<0b9=?:020?xh6<1>1<7?tH525?k2483;;86sa15:6>5<6sA>;:6`;318240=zf8>3:7>51zJ743=i<::1==84}o37<2<728qC8=84n513>4603td:85650;3xL1613g>8<7??8:m51>>290:wE:?6:l775<6801vb<:7a;295~N38?1e8>>511c8yk730k0;649a94?7|@=:=7c:<0;33g>{i9=2o6=4>{I632>h3;90:i;|l20<6=83;pD9>9;o604?7682we=97>:182M27>2d??=4>109~j42>:3:1=vF;079m066=9880qc?;9283>4}O<9<0b9=?:030?xh6<0>1<7?tH525?k2483;:86sa15;6>5<6sA>;:6`;318250=zf8>2:7>51zJ743=i<::1=<84}o37=2<728qC8=84n513>4703td:84650;3xL1613g>8<7?>8:m51?>290:wE:?6:l775<6901vb<:6a;295~N38?1e8>>510c8yk731k0;648a94?7|@=:=7c:<0;32g>{i9=3o6=4>{I632>h3;90:=i5rn06:a?6=9rB?<;5a422954c9;o604?7582we=9o>:182M27>2d??=4>209~j42f:3:1=vF;079m066=9;80qc?;a283>4}O<9<0b9=?:000?xh61<7?tH525?k2483;986sa15c6>5<6sA>;:6`;318260=zf8>j:7>51zJ743=i<::1=?84}o37e2<728qC8=84n513>4403td:8l650;3xL1613g>8<7?=8:m51g>290:wE:?6:l775<6:01vb<:na;295~N38?1e8>>513c8yk73ik0;64`a94?7|@=:=7c:<0;31g>{i9=ko6=4>{I632>h3;90:>i5rn06ba?6=9rB?<;5a422957c9;o604?7482we=9l>:182M27>2d??=4>309~j42e:3:1=vF;079m066=9:80qc?;b283>4}O<9<0b9=?:010?xh61<7?tH525?k2483;886sa15`6>5<6sA>;:6`;318270=zf8>i:7>51zJ743=i<::1=>84}o37f2<728qC8=84n513>4503td:8o651;3xL1613g>8<7?<8:m51d>290:wE:?6:l775<6;01vb<:ma;295~N38?1e8>>512c8yk73jk0;64ca94?7|@=:=7c:<0;30g>{i9=ho6=4>{I632>h3;90:?i5rn06aa?6=9rB?<;5a422956c9;o604?7382we=9m>:182M27>2d??=4>409~j42d:3:1=vF;079m066=9=80qc?;c283>4}O<9<0b9=?:060?xh61<7?tH525?k2483;?86sa15a6>5<6sA>;:6`;318200=zf8>h:7?51zJ743=i<::1=984$50b>=b73td:8n950;3xL1613g>8<7?;7:m51e?290:wE:?6:l775<6<11vb<:l9;295~N38?1e8>>515;8yk73kh0;6j7p`>4b`94?7|@=:=7c:<0;37f>{i9=ih6=4>{I632>h3;90:8n5rn06``?6=9rB?<;5a422951b9;o604?73n2we=9j?:182M27>2d??=4>519~j42c93:1=vF;079m066=9<;0qc?;d383>4}O<9<0b9=?:071?xh6?6sa15f7>5<6sA>;:6`;318211=zf8>o97?51zJ743=i<::1=8;4}o37`3<628qC8=84n513>4313td:8i951;3xL1613g>8<7?:7:m51b?280:wE:?6:l775<6=11vb<:k9;395~N38?1e8>>514;8yk73lh0:64e`95?7|@=:=7c:<0;36f>{i9=nh6<4>{I632>h3;90:9n5rn06g`?7=9rB?<;5a422950b:0yK0509;o604?72n2we=9k?:182M27>2d??=4>619~j42b93:1=vF;079m066=9?;0qc?;e383>4}O<9<0b9=?:041?xh65<6sA>;:6`;318221=zf8>n97>51zJ743=i<::1=;;4}o37a3<728qC8=84n513>4013td:8h950;3xL1613g>8<7?97:m51c?290:wE:?6:l775<6>11vb<:j9;295~N38?1e8>>517;8yk73mh0;64d`94?7|@=:=7c:<0;35f>{i9=oh6=4>{I632>h3;90::n5rn06f`?6=9rB?<;5a422953b9;o604?71n2we=9h?:182M27>2d??=4>719~j42a93:1=vF;079m066=9>;0qc?;f383>4}O<9<0b9=?:051?xh65<6sA>;:6`;318231=zf8>m97>51zJ743=i<::1=:;4}o37b3<728qC8=84n513>4113td:8k950;3xL1613g>8<7?87:m51`?290:wE:?6:l775<6?11vb<:i9;295~N38?1e8>>516;8yk73nh0;64g`94?7|@=:=7c:<0;34f>{i9=lh6=4>{I632>h3;90:;n5rn06e`?7=9rB?<;5a422952b9;o604?70n2we=8>?:182M27>2d??=4>819~j43793:1=vF;079m066=91;0qc?:0383>4}O<9<0b9=?:0:1?xh6=991<7?tH525?k2483;3?6sa1427>5<6sA>;:6`;3182<1=zf8?;97>51zJ743=i<::1=5;4}o3643<728qC8=84n513>4>13td:9=950;3xL1613g>8<7?77:m506?290:wE:?6:l775<6011vb<;?9;295~N38?1e8>>519;8yk728h0;651`95?7|@=:=7c:<0;3;f>"3:h038n5rn073g?6=9rB?<;5a42295=e9;o604?7?m2we=8>i:182M27>2d??=4>8g9~j43683:1=vF;079m066=90:0qc?:1083>4}O<9<0b9=?:0;2?xh6=881<7?tH525?k2483;2>6sa1430>5<6sA>;:6`;3182=6=zf8?:87>51zJ743=i<::1=4:4}o3650<728qC8=84n513>4?23td:9<850;3xL1613g>8<7?66:m5070290:wE:?6:l775<61>1vb<;>8;295~N38?1e8>>518:8yk72900;650c95?7|@=:=7c:<0;3:e>{i9<;i6<4>{I632>h3;90:5o5rn072g?7=9rB?<;5a42295=i4>:0yK0509;o604?7>m2we=8?i:082M27>2d??=4>9g9~j43583;1=vF;079m066=9h:0qc?:2082>4}O<9<0b9=?:0c2?xh6=;81=7?tH525?k2483;j>6sa1400>4<6sA>;:6`;3182e6=zf8?987?51zJ743=i<::1=l:4}o3660<728qC8=84n513>4g23td:9?850;3xL1613g>8<7?n6:m5040290:wE:?6:l775<6i>1vb<;=8;295~N38?1e8>>51`:8yk72:00;653c94?7|@=:=7c:<0;3be>{i9<8i6=4>{I632>h3;90:mo5rn071g?6=9rB?<;5a42295de>i4?:0yK0509;o604?7fm2we=82d??=4>ag9~j43483:1=vF;079m066=9k:0qc?:3083>4}O<9<0b9=?:0`2?xh6=:81<7?tH525?k2483;i>6sa1410>5<6sA>;:6`;3182f6=zf8?887>51zJ743=i<::1=o:4}o3670<728qC8=84n513>4d23td:9>850;3xL1613g>8<7?m6:m5050290:wE:?6:l775<6j>1vb<;<8;295~N38?1e8>>51c:8yk72;00;652c94?7|@=:=7c:<0;3ae>{i9<9i6=4>{I632>h3;90:no5rn070g?6=9rB?<;5a42295ge?i4?:0yK0509;o604?7em2we=8=i:182M27>2d??=4>bg9~j43383:1=vF;079m066=9j:0qc?:4083>4}O<9<0b9=?:0a2?xh6==81=7?tH525?k2483;h>6sa1460>5<6sA>;:6`;3182g6=zf8??87>51zJ743=i<::1=n:4}o3600<728qC8=84n513>4e23td:99850;3xL1613g>8<7?l6:m5020290:wE:?6:l775<6k>1vb<;;8;295~N38?1e8>>51b:8yk72<00;655c94?7|@=:=7c:<0;3`e>{i9<>i6=4>{I632>h3;90:oo5rn077g?6=9rB?<;5a42295fe8i4?:0yK0509;o604?7dm2we=8:i:182M27>2d??=4>cg9~j43283;1=vF;079m066=9m:0(95<6sA>;:6`;3182`7=zf8?>?7>51zJ743=i<::1=i=4}o3611<728qC8=84n513>4b33td:98;50;3xL1613g>8<7?k5:m5031290:wE:?6:l775<6l?1vb<;:7;295~N38?1e8>>51e58yk72=10;654;94?7|@=:=7c:<0;3g=>{i9{I632>h3;90:hl5rn076f?6=9rB?<;5a42295ad9n4?:0yK0509;o604?7cl2we=8;j:182M27>2d??=4>dd9~j432n3;1=vF;079m066=9ml0qc?:6182>4}O<9<0b9=?:0g3?xh6=?;1=7?tH525?k2483;n=6sa1441>4<6sA>;:6`;3182a7=zf8?=?7?51zJ743=i<::1=h=4}o3621<628qC8=84n513>4c33td:9;;51;3xL1613g>8<7?j5:m5001280:wE:?6:l775<6m?1vb<;97;395~N38?1e8>>51d58yk72>10:657;95?7|@=:=7c:<0;3f=>{i9<{I632>h3;90:il5rn075f?6=9rB?<;5a42295`d:n4?:0yK0509;o604?7bl2we=88j:182M27>2d??=4>ed9~j431n3:1=vF;079m066=9ll0qc?:7183>4}O<9<0b9=?:0d3?xh6=>;1<7?tH525?k2483;m=6sa1451>5<6sA>;:6`;3182b7=zf8?51zJ743=i<::1=k=4}o3631<728qC8=84n513>4`33td:9:;50;3xL1613g>8<7?i5:m5011290:wE:?6:l775<6n?1vb<;87;295~N38?1e8>>51g58yk72?10;656;94?7|@=:=7c:<0;3e=>{i9<=j6=4>{I632>h3;90:jl5rn074f?6=9rB?<;5a42295cd;n4?:0yK0509;o604?7al2we=89j:182M27>2d??=4>fd9~j430n3:1=vF;079m066=9ol0qc?:8183>4}O<9<0b9=?:323?xh6=1;1<7?tH525?k24838;=6sa14:1>5<6sA>;:6`;318147=zf8?3?7>51zJ743=i<::1>==4}o36<1<728qC8=84n513>7633td:95;50;3xL1613g>8<71290:wE:?6:l775<58?1vb<;77;395~N38?1e8>>52158yk72010;659;94?7|@=:=7c:<0;03=>{i9<2j6=4>{I632>h3;9094n4?:0yK050l;|l21=b=83;pD9>9;o604?47l2we=86j:182M27>2d??=4=0d9~j43?n3:1=vF;079m066=:9l0qc?:9183>4}O<9<0b9=?:333?xh6=0;1<7?tH525?k24838:=6sa14;1>5<6sA>;:6`;318157=zf8?2?7>51zJ743=i<::1><=4}o36=1<728qC8=84n513>7733td:94;51;3xL1613g>8<7<>5:&76d<0<=1vb<;66;295~N38?1e8>>52048yk721>0;658:94?7|@=:=7c:<0;02<>{i9<326=4>{I632>h3;909=45rn07:e?6=9rB?<;5a422964g5o4?:0yK0509;o604?46k2we=87k:182M27>2d??=4=1e9~j43>m3:1=vF;079m066=:8o0qc?:9g83>4}O<9<0b9=?:33e?xh6=h:1<7?tH525?k248389<6sa14c2>5<6sA>;:6`;318164=zf8?j>7>51zJ743=i<::1>?<4}o36e6<728qC8=84n513>7443td:9l:51;3xL1613g>8<7<=4:m50g2280:wE:?6:l775<5:<1vb<;n6;395~N38?1e8>>52348yk72i>0:65`:95?7|@=:=7c:<0;01<>{i9{I632>h3;909>45rn07be?7=9rB?<;5a422967gmo4>:0yK0509;o604?45k2we=8ok:082M27>2d??=4=2e9~j43fm3;1=vF;079m066=:;o0qc?:ag83>4}O<9<0b9=?:30e?xh6=k:1<7?tH525?k248388<6sa14`2>5<6sA>;:6`;318174=zf8?i>7>51zJ743=i<::1>><4}o36f6<728qC8=84n513>7543td:9o:50;3xL1613g>8<7<<4:m50d2290:wE:?6:l775<5;<1vb<;m6;295~N38?1e8>>52248yk72j>0;65c:94?7|@=:=7c:<0;00<>{i9{I632>h3;909?45rn07ae?6=9rB?<;5a422966gno4?:0yK0509;o604?44k2we=8lk:182M27>2d??=4=3e9~j43em3:1=vF;079m066=::o0qc?:bg83>4}O<9<0b9=?:31e?xh6=j:1<7?tH525?k24838?<6sa14a2>5<6sA>;:6`;318104=zf8?h>7>51zJ743=i<::1>9<4}o36g6<728qC8=84n513>7243td:9n:50;3xL1613g>8<7<;4:m50e2290:wE:?6:l775<5<<1vb<;l6;295~N38?1e8>>52548yk72k>0;6<7p`>5b:94?7|@=:=7D=?9;3x5?{];1n1=v6j7;Y5f7=:r93h7<>2;m066=:=20qc?:c883>4}O<9<0E>>6:0y2>x\6k80:w??=:|l775<5<01vb<;la;295~N38?1B?=751z39y_7d93;p><<5}o604?43i2we=8mm:182M27>2C8<44>{38~^4e628q9=?4rn513>72e3td:9nm50;3xL1613@9;57?t2;Y5f7=9r8:>7sa422961eoi4?:0yK05051zJ743=N;931=v<5}[3`5?7|:881qc:<0;07a>{i9{I632>h3;9098k5rn07g4?6=9rB?<;5a4229606h<4>:0yK050;%61e?54n2we=8j=:082M27>2d??=4=539'07g=;=;0qc?:d282>4}O<9<0b9=?:370?!25i39??6sa14f7>4<6sA>;:6`;318111=#<;k1?9;4}o36`0<628qC8=84n513>7323->9m7=;7:m50b1280:wE:?6:l775<5=?1/8?o535:8yk72l>0:6{i9{I632>h3;909955+43c971gh44>:0yK0502d??=4=5`9'07g=;:<0qc?:dc82>4}O<9<0b9=?:37a?!25i398;6sa14f`>4<6sA>;:6`;31811f=#<;k1?>64}o36`a<628qC8=84n513>73c3->9m7=<9:m50bb280:wE:?6:l775<5=l1/8?o532c8yk72lo0:6{i9{I632>h3;909:=5+43c976ei<4>:0yK050;%61e?35;2we=8k=:082M27>2d??=4=639'07g==;80qc?:e282>4}O<9<0b9=?:340?!25i3?9=6sa14g7>4<6sA>;:6`;318121=#<;k19?>4}o36a0<628qC8=84n513>7023->9m7;>f:m50c1280:wE:?6:l775<5>?1/8?o550g8yk72m>0:6{i9{I632>h3;909:55+43c914ei44>:0yK0502d??=4=6`9'07g=0k?0qc?:ec82>4}O<9<0b9=?:34a?!25i32ij6sa14g`>4<6sA>;:6`;31812f=#<;k14ok4}o36aa<628qC8=84n513>70c3->9m76md:m50cb280:wE:?6:l775<5>l1/8?o58ca8yk72mo0:6{i9{I632>h3;909;=5+43c9j<4>:0yK050;%61e?>e12we=8h=:082M27>2d??=4=739'07g=0k20qc?:f282>4}O<9<0b9=?:350?!25i32i;6sa14d7>4<6sA>;:6`;318131=#<;k14>?4}o36b0<628qC8=84n513>7123->9m760:6{i9{I632>h3;909;55+43c9<6>j44>:0yK0504?2we=8hn:082M27>2d??=4=7`9'07g=0:<0qc?:fc82>4}O<9<0b9=?:35a?!25i32896sa14d`>4<6sA>;:6`;31813f=#<;k14>:4}o36ba<628qC8=84n513>71c3->9m76<3:m50`b280:wE:?6:l775<5?l1/8?o57`f8yk72no0:6{i9?:;6<4>{I632>h3;9094=5+43c93g0:0yK050;%61e?1e=2we=;>=:082M27>2d??=4=839'07g=?k>0qc?90282>4}O<9<0b9=?:3:0?!25i3=i?6sa1727>4<6sA>;:6`;3181<1=#<;k1;o<4}o3540<628qC8=84n513>7>23->9m79m1:m5361280:wE:?6:l775<50?1/8?o57c28yk718>0:6{i9?:36<4>{I632>h3;909455+43c937?:0yK050n:082M27>2d??=4=8`9'07g=?:80qc?90c82>4}O<9<0b9=?:3:a?!25i3=8=6sa172`>4<6sA>;:6`;3181>4}o354a<628qC8=84n513>7>c3->9m79=f:m536b280:wE:?6:l775<50l1/8?o573g8yk718o0:6{i9?;;6<4>{I632>h3;9095=5+43c937e:0yK050;%61e?15j2we=;?=:082M27>2d??=4=939'07g=;:n0qc?91282>4}O<9<0b9=?:3;0?!25i3?:m6sa1737>4<6sA>;:6`;3181=1=#<;k14o84}o3550<628qC8=84n513>7?23->9m76<2:m5371280:wE:?6:l775<51?1/8?o57`g8yk719>0:6{i9?;36=4>{I632>h3;909555rn042=?6=9rB?<;5a422969;o604?4>j2we=;?l:182M27>2d??=4=9b9~j406l3:1=vF;079m066=:0n0qc?91d83>4}O<9<0b9=?:3;f?xh6>8l1<7?tH525?k248382j6sa1703>5<6sA>;:6`;3181e5=zf8<9=7>51zJ743=i<::1>l?4}o3567<728qC8=84n513>7g53td::?=50;3xL1613g>8<7>52`78yk71:?0;663594?7|@=:=7c:<0;0b3>{i9?836=4>{I632>h3;909m55rn041=?6=9rB?<;5a42296d?l4?:0yK0509;o604?4fj2we=;2d??=4=ab9~j405l3:1=vF;079m066=:hn0qc?92d83>4}O<9<0b9=?:3cf?xh6>;l1<7?tH525?k24838jj6sa1713>5<6sA>;:6`;3181f5=zf8<8=7>51zJ743=i<::1>o?4}o3577<728qC8=84n513>7d53td::>=50;3xL1613g>8<7>52c78yk71;?0;662594?7|@=:=7c:<0;0a3>{i9?936=4>{I632>h3;909n55rn040=?6=9rB?<;5a42296g?9;o604?4ej2we=;=l:182M27>2d??=4=bb9~j404l3:1=vF;079m066=:kn0qc?93d83>4}O<9<0b9=?:3`f?xh6>:l1<7?tH525?k24838ij6sa1763>5<6sA>;:6`;3181g5=zf851zJ743=i<::1>n?4}o3507<728qC8=84n513>7e53td::9=50;3xL1613g>8<7>52b78yk7165594?7|@=:=7c:<0;0`3>{i9?>36=4>{I632>h3;909o55rn047=?6=9rB?<;5a42296f?9;o604?4dj2we=;:l:182M27>2d??=4=cb9~j403l3:1=vF;079m066=:jn0qc?94d83>4}O<9<0b9=?:3af?xh6>=l1<7?tH525?k24838hj6sa1773>5<6sA>;:6T<8e821122tP:o<4>{2:g>xh3;909h=5rn0465?6=9rB?<;5a42296a79;o604?4c02we=>=<:182M27>2d??=4=d89~j454<3:1=vF;079m066=:mk0qc?<3483>4}O<9<0b9=?:3fa?xh6;:<1<7?tH525?k24838oo6sa1214>5<6sA>;:6`;3181`a=zf89847>51zJ743=i<::1>ik4}o307<<728qC8=84n513>7ba3td:?>o50;3xL1613g>8<7>52d08yk74;m0;632g94?7|@=:=7c:<0;0f0>{i9:9m6=4>{I632>h3;909i85rn0174?6=9rB?<;5a42296`09;o604?4b02we=>:<:182M27>2d??=4=e89~j453<3:1=vF;079m066=:lk0qc?<4483>4}O<9<0b9=?:3ga?xh6;=<1<7?tH525?k24838no6sa1264>5<6sA>;:6`;3181aa=zf89?47>51zJ743=i<::1>hk4}o300<<728qC8=84n513>7ca3td:?9o50;3xL1613g>8<7{i9:>i6=4>{I632>h3;909j<5rn017g?6=9rB?<;5a42296c49;o604?4a<2we=>:i:182M27>2d??=4=f49~j45283:1=vF;079m066=:o<0qc?<5083>4}O<9<0b9=?:3d4?xh6;<81<7?tH525?k24838m46sa1270>5<6sA>;:6`;3181b<=zf89>87>51zJ743=i<::1>ko4}o3010<728qC8=84n513>7`e3td:?8850;3xL1613g>8<7>52gg8yk74=00;634c94?7|@=:=7c:<0;134>{i9:?i6=4>{I632>h3;908<<5rn016g?6=9rB?<;5a4229754><;|l270c=83;pD9>9;o604?57<2we=>;i:182M27>2d??=4<049~j45183:1=vF;079m066=;9<0qc?<6083>4}O<9<0b9=?:224?Mg>3td:?;<50;3xL1613g>8<7=?8:m5604290:wE:?6:l775<4801vb<=94;295~N38?1e8>>531c8Ld?>m;Ic:?xh6;?<1<7?tH525?k24839;o6sa1244>5<6sA>;:6`;31804a=Oi01vb<=98;295~N38?1e8>>531g8yk74>00;65<62809nku\ce87`<<52898<;?;:24fbc=#<9k1?<=4$22b>6e<@8i87E<=2:J2g1=e0oi1<7752`f96gb|R0i1=v==:|J2ff=#;1=1?n5a1cg96dd4}3ik0vV9<<:0y7ef=h>j21<7*<1485g<=i;8>1=65`6b594?"49<0=o45a30696>=h>j<1<7*<1485g<=i;8>1?65`66d94?=e;091<7>50;2x 6?>2:387)<>0;;34>N40h1G8>?54z&0=2<4991/?48531d8 6??2:;:7)=65;13a>{i<::1=6sm4e495?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi8i951;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=;2co:7>5;hca>5<>{e"41008>n5+3969=4=#9mh186T<95821142tB:nl5a42290>oc>3:17dom:188mf1=831b?<4?::a2db=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>55:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6`g95?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1:6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:lh51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=?2co:7>5;hca>5<>{e>k:1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229<>oc>3:17dom:188mf1=831b?<4?::a2g7=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>59:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6c095?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1m6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:o=51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=j2co:7>5;hca>5<>{e>k>1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229g>oc>3:17dom:188mf1=831b?<4?::a2=b=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>5d:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm69g95?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1i6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:5h51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=n2co:7>5;hca>5<>{e>0:1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a422955=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f3?6280=6=4?{%3a`?7f82.8:847fb9'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:038ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??14km4$2;:>64d3-93877>;%3gf?28<7?=;hf5>5<>o49h0;66gn5;29?j5593:17pl99282>3<729q/=oj51`28 60221lh7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;90:?6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:4:51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=9=1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~20;3wC=oo4n513>43>od?3:17d=>a;29?lg22900c><>:188yg0>m3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y7365;|`5=c<62?0;6=u+1cf95d6<,:<>65hl;%1:=?55k2.849461:&2`g<33S9287?t4619yM7ei2d??=4>7:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6`295?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1=55fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;o>:085>5<7s-;ih7?n0:&020320;0(5;h12e?6=3`k>6=44o202>5<7?56;294~"6jm0:m=5+37794}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd1i:0:6;4?:1y'5gb=9h:0(>8::9d`?!5>1399o6*<858:5>"6lk0?7W=64;3x025=uA;im6`;3182f>oc>3:17dom:188mf1=831b?<4?::a2d2=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>51b9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b4>"4><03jn5+38;977e<,:2?64?4$0fa>1=];0>1=v:83;K5gga19'733=0oi0(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k2483;n7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=nk4>:783>5}#9kn1=l>4$246>=`d3-9257==c:&0<1<>92.:ho4;;[1:0?7|<>91qE?ma:l775<6n2co:7>5;hca>5<>{e>j:1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a422965=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f3e6280=6=4?{%3a`?7f82.8:847fb9'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:338ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??14km4$2;:>64d3-93877>;%3gf?28<7<=;hf5>5<>o49h0;66gn5;29?j5593:17pl9c282>3<729q/=oj51`28 60221lh7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;909?6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:n:51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=:=1bh;4?::kbf?6=3`i<6=44i23b>5<6<49:183!7el3;j<6*<648;bf=#;031??m4$2:7><7<,8ni695U38695~20;3wC=oo4n513>73>od?3:17d=>a;29?lg22900c><>:188yg>a:3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y7365;|`;ac<62?0;6=u+1cf95d6<,:<>65hl;%1:=?55k2.849461:&2`g<33S9287?t4619yM7ei2d??=4=7:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm46f95?0=83:p(1?5=4$0fa>1=];0>1=v:83;K5gga69'733=0oi0(>76:20`?!5?<393?6*>dc87?_5><3;p8:=5}I3ae>h3;90956gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi8:h51;494?6|,8ho6ak2.8544<2b9'7=2=;190(5;h12e?6=3`k>6=44o202>5<3<7?56;294~"6jm0:m:5+377991qE?ma:l775<5j2co:7>5;hca>5<>{e<1;1=7850;2x 4dc28k<7)=95;:eg>"41008>n5+39697=5<,8ni695U38695~20;3wC=oo4n513>7e>od?3:17d=>a;29?lg22900c><>:188yg2?:3;1:7>50z&2fa<6i>1/?;;58ga8 6?>2:8h7)=74;1;7>"6lk0?7W=64;3x025=uA;im6`;3181`>oc>3:17dom:188mf1=831b?<4?::a0f6=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>52d9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b4>"4><03jn5+38;977e<,:2?64?4$0fa>1=];0>1=v:83;K5gga19'733=0oi0(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k24839;7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th?oo4>:783>5}#9kn1=l>4$246>=`d3-9257==c:&0<1<>92.:ho4;;[1:0?7|<>91qE?ma:l775<492co:7>5;hca>5<>{e"41008>n5+3969=4=#9mh186T<95821142tB:nl5a422977=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f1ec280=6=4?{%3a`?7f82.8:847fb9'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:218ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??14km4$2;:>64d3-93877>;%3gf?28<7=;;hf5>5<>o49h0;66gn5;29?j5593:17pl;cg82>3<729q/=oj51`28 60221lh7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;90896gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi8i>51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=;?1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~20;3wC=oo4n513>61>od?3:17d=>a;29?lg22900c><>:188yg2d:3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y7365;|`7g6<62?0;6=u+1cf95d6<,:<>65hl;%1:=?55k2.849461:&2`g<33S9287?t4619yM7ei2d??=4<9:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm4b695?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1?l5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn9m::085>5<7s-;ih7?n0:&020320;0(5;h12e?6=3`k>6=44o202>5<h:7?56;294~"6jm0:m=5+37794}3?:0vD0;66g<1`83>>of=3:17b==1;29?xd3k>0:6;4?:1y'5gb=9h:0(>8::9d`?!5>1399o6*<858:5>"6lk0?7W=64;3x025=uA;im6`;3180`>oc>3:17dom:188mf1=831b?<4?::a0f>=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>53d9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b4>"4><03jn5+38;977e<,:2?64?4$0fa>1=];0>1=v:83;K5ggh4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo<=e;390?6=8r.8544=249'733=0oi0D13>:o6*<648;bf=O9kk0b9=?:538m76a2900el950;9l6dd=831vn?=k:087>5<7s-9257<=5:&02014>o4>{e:2=;h7)=95;:eg>N6jh1e8>>5429j65`=831bm:4?::m1eg<722wi>>h51;694?6|,:326?<:;%151?>ak2B:nl5a422901=nlm0;66gn7;29?l53k3:17b4?:1y'78::9d`?M7ei2d??=4;5:k14c<722cj;7>5;n0bf?6=3th98<4>:583>5}#;031>?;4$246>=`d3A;im6`;31872>ocl3:17do8:188m62d2900c?om:188yg42l3;1?7>50z&0=<<39j1/?;;58ga8L4df3g>8<7:8;h03b?6=3`k<6=44o3ca>5<85+3779ll50;9~f73b28086=4?{%1:=?26k2.8:847fb9K5gg5<>6<4;:183!5>138996*<648;bf=O9kk0b9=?:5c8mab=831bm:4?::k00f<722e9mo4?::a60`=9391<7>t$2;:>17d3-9=976ic:J2fd=i<::18o5f21d94?=ni>0;66a=ac83>>{e:==1=7:50;2x 6?>2;8>7)=95;:eg>N6jh1e8>>54b9j`a<722cj;7>5;h17g?6=3f8jn7>5;|`125<62:0;6=u+38;904e<,:<>65hl;I3ae>h3;90?h6g=0g83>>of?3:17b8::9d`?M7ei2d??=4;e:kg`?6=3`k<6=44i26`>5<m7d50z&0=<<5:<1/?;;58ga8L4df3g>8<7;?;hfg>5<4<4290;w)=69;62g>"4><03jn5G1cc8j1572<;0e?>i:188md1=831d>ll50;9~f72c280?6=4?{%1:=?45=2.8:847fb9K5gg0;66g<4b83>>i5ik0;66sm27195?5=83:p(>76:53`?!51=32mo6F>b`9m066==:1b>=h50;9je2<722e9mo4?::a67`=93>1<7>t$2;:>7423-9=976ic:J2fd=i<::1995fde83>>of?3:17d=;c;29?j4fj3:17pl=5382>6<729q/?47540a8 60221lh7E?ma:l775<2=2c95;|`174<62=0;6=u+38;9673<,:<>65hl;I3ae>h3;90>:6gkd;29?lg02900e>:l:188k7ge2900qo<:3;397?6=8r.8544;1b9'733=0oi0D5;hc4>5<5<7s-9257:>c:&0200?4<3290;w)=69;011>"4><03jn5G1cc8j15728;51;194?6|,:3269?l;%151?>ak2B:nl5a42291g=n:9l1<75fa683>>i5ik0;66sm22595?2=83:p(>76:306?!51=32mo6F>b`9m066==j1bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th99;4>:283>5}#;0318=`d3A;im6`;3186`>o58o0;66gn7;29?j4fj3:17pl=3882>1<729q/?4752378 60221lh7E?ma:l775<2m2coh7>5;hc4>5<h6=44o3ca>5<;7?53;294~"4100?=n5+377913>:o6*<648;bf=O9kk0b9=?:738m76a2900el950;9l6dd=831vn?;6:080>5<7s-9257:>c:&020344<5290;w)=69;;4?!51=3>=46T<95821142tB:nl5a422926=n9j=1<75`2``94?=zj=13;hi6*<64872==#i80:;55+21c95a4<@8hj7c:<0;47?l7d?3:17b4?:1y'78::5fb?M7ei2d??=495:k14c<722cj;7>5;n0bf?6=3th><84>:283>5}#;03181bf3A;im6`;31852>o58o0;66gn7;29?j4fj3:17pl;f782>1<729q/?4752378 6022=nj7E?ma:l775<1?2coh7>5;hc4>5<h6=44o3ca>5<3`no6=44i`594?=n;=i1<75`2``94?=zj<:86<4<:183!5>13>:o6*<6487`d=O9kk0b9=?:7c8m76a2900el950;9l6dd=831vn9h=:087>5<7s-9257<=5:&020<3lh1C=oo4n513>3d>o4>{e=981=7=50;2x 6?>2=;h7)=95;6ge>N6jh1e8>>56b9j65`=831bm:4?::m1eg<722wi8k>51;694?6|,:326?<:;%151?2ci2B:nl5a42292a=nlm0;66gn7;29?l53k3:17b4?:1y'78::5fb?M7ei2d??=49e:k14c<722cj;7>5;n0bf?6=3th?ih4>:583>5}#;031>?;4$246>1bf3A;im6`;3185b>ocl3:17do8:188m62d2900c?om:188yg3783;1?7>50z&0=<<39j1/?;;54ec8L4df3g>8<79?;h03b?6=3`k<6=44o3ca>5<no7?54;294~"41009>85+37790ag<@8hj7c:<0;52?lbc2900el950;9j71e=831d>ll50;9~f1`a28086=4?{%1:=?26k2.8:84;d`9K5gg5<138996*<6487`d=O9kk0b9=?:618mab=831bm:4?::k00f<722e9mo4?::a0cc=9391<7>t$2;:>17d3-9=97:ka:J2fd=i<::1;95f21d94?=ni>0;66a=ac83>>{e2;8>7)=95;6ge>N6jh1e8>>5749j`a<722cj;7>5;h17g?6=3f8jn7>5;|`7ba<62:0;6=u+38;904e<,:<>69jn;I3ae>h3;90<:6g=0g83>>of?3:17b8::5fb?M7ei2d??=487:kg`?6=3`k<6=44i26`>5<50z&0=<<5:<1/?;;54ec8L4df3g>8<796;hfg>5<4<1290;w)?md;3b3>"4><0?hl5+38;977e<,:2?6>6<;%3gf?25;|`54f<62?0;6=u+1cf95d1<,:<>69jn;%1:=?55k2.8494<829'5ad=<2P8594={9g4>1142tB:nl5a42293g=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f36e280=6=4?{%3a`?7f?2.8:84;d`9'76;:2:0?!7cj3>0V>7;:3y;a2<3?:0vD0;66g<1`83>>of=3:17b==1;29?xd18h0:6;4?:1y'5gb=9h=0(>8::5fb?!5>1399o6*<8580<6=#9mh186T<9581=c02==86pF>b`9m066=?m1bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>7}?m>0?;>4rH0`b?k2483=n7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th>8;4>:783>5}#9kn1=l94$246>1bf3-9257==c:&0<1<40:1/=il54:X0=1<5s1o<699<:|J2fd=i<::1;k5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8:::085>5<7s-;ih7?n7:&020<3lh1/?47533a8 6>32:287)?kb;68^6?32;q3i:4;728~L4df3g>8<76?;hf5>5<>o49h0;66gn5;29?j5593:17pl:4582>3<729q/=oj51`58 6022=nj7)=69;11g>"40=084>5+1e`90>\41=09w5k8:550>xN6jh1e8>>5809j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><0?hl5+38;977e<,:2?6>6<;%3gf?25;|`607<62?0;6=u+1cf95d1<,:<>69jn;%1:=?55k2.8494<829'5ad=<2P8594={9g4>1142tB:nl5a4229<6=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f026280=6=4?{%3a`?7f?2.8:84;d`9'76;:2:0?!7cj3>0V>7;:3y;a2<3?:0vD33`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd2<90:6;4?:1y'5gb=9h=0(>8::5fb?!5>1399o6*<8580<6=#9mh186T<9581=c02==86pF>b`9m066=0<1bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>7}?m>0?;>4rH0`b?k24832=7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th>il4>:783>5}#9kn1=l>4$246>1bf3-9257==c:&0<1<>92.:ho4;;[1:0?7|<>91qE?ma:l7755;hca>5<>{e=l31=7850;2x 4dc28k;7)=95;6ge>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229<==nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f0c?280=6=4?{%3a`?7f82.8:84;d`9'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:9;8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??18io4$2;:>64d3-93877>;%3gf?28<76n;hf5>5<>o49h0;66gn5;29?j5593:17pl:e782>3<729q/=oj51`28 6022=nj7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;903n6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9h;51;494?6|,8ho6b`9m066=0j1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~20;3wC=oo4n513>=b>od?3:17d=>a;29?lg22900c><>:188yg3b;3;1:7>50z&2fa<6i91/?;;54ec8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y7365;|`6ag<62?0;6=u+1cf95d6<,:<>69jn;%1:=?55k2.849461:&2`g<33S9287?t4619yM7ei2d??=47f:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm5e495?0=83:p(om6*<98806f=#;1>15<5+1e`90>\41=0:w99<:|J2fd=i<::15=5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8li:085>5<7s-;ih7?n0:&020<3lh1/?47533a8 6>320;0(5;h12e?6=3`k>6=44o202>5<1142tB:nl5a4229=7=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f0b2280=6=4?{%3a`?7f82.8:84;d`9'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:818ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??18io4$2;:>64d3-93877>;%3gf?28<77;;hf5>5<>o49h0;66gn5;29?j5593:17pl97e82>3<729q/=oj51`28 6022=nj7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;90296gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:>751;494?6|,8ho6b`9m066=1?1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~>b?3wC=oo4n513><1>od?3:17d=>a;29?lg22900c><>:188yg04?3;1:7>50z&2fa<6i91/?;;56028 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y;a25;|`573<62?0;6=u+1cf95d6<,:<>6;??;%1:=?55k2.849461:&2`g<33S9287?t8d59yM7ei2d??=469:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm62795?0=83:p(15<5+1e`90>\41=0:w5k8:|J2fd=i<::15l5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn;=;:085>5<7s-;ih7?n0:&020<1991/?47533a8 6>320;0(5;h12e?6=3`k>6=44o202>5<4}?m>0vD0;66g<1`83>>of=3:17b==1;29?xd1;;0:6;4?:1y'5gb=9h:0(>8::733?!5>1399o6*<858:5>"6lk0?7W=64;3x<`1=uA;im6`;318:`>oc>3:17dom:188mf1=831b?<4?::a231=93<1<7>t$0`g>4g73-9=978>0:&0=<<4:j1/?5:5909'5ad=<2P8594>{9g4>xN6jh1e8>>59d9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b4>"4><0===5+38;977e<,:2?64?4$0fa>1=];0>1=v6j7;K5gga19'733=>8:0(>76:20`?!5?<33:7)?kb;68^6?328q3i:4rH0`b?k2483k;7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th=:94>:783>5}#9kn1=l>4$246>3773-9257==c:&0<1<>92.:ho4;;[1:0?7|0l=1qE?ma:l7755;hca>5<>{e>?91=7850;2x 4dc28k;7)=95;424>"41008>n5+3969=4=#9mh186T<9582=c02tB:nl5a4229e7=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f305280=6=4?{%3a`?7f82.8:849119'76;:838 4be2=1Q?4:51z:f3?{O9kk0b9=?:`18ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1:<>4$2;:>64d3-93877>;%3gf?28<7o;;hf5>5<>o49h0;66gn5;29?j5593:17pl96182>3<729q/=oj51`28 6022?;;7)=69;11g>"40=02=6*>dc87?_5><3;p4h95}I3ae>h3;90j96gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi::<51;494?6|,8ho6b`9m066=i?1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~>b?3wC=oo4n513>d1>od?3:17d=>a;29?lg22900c><>:188yg0083;1:7>50z&2fa<6i91/?;;56028 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y;a25;|`52c<62?0;6=u+1cf95d6<,:<>6;??;%1:=?55k2.849461:&2`g<33S9287?t8d59yM7ei2d??=4n9:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm66595?0=83:p(15<5+1e`90>\41=0:w5k8:|J2fd=i<::1ml5fd783>>ofj3:1D?><;:k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1:<>4$2;:>64d3-93877>;%3gf?28<7om;hf5>5<>o49h0;66gn5;29?j5593:17pl93`82>3<729q/=oj51`28 6022?;;7)"41008>n5+3969=4=#9mh1=85U38695~>b?3wC=oo4n513>deM47;21bo:4?::k05d<722cj97>5;n115?6=3th=>44>:783>5}#9kn1=l>4$246>3773-9257==c:&0<1<>92.:ho4;;[1:0?7|<>91qE?ma:l7755;hca>5<>{e>;21=7850;2x 4dc28k;7)=95;424>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229e`=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f340280=6=4?{%3a`?7f82.8:849119'76;:838 4be2=1Q?4:51z647?{O9kk0b9=?:`d8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1:<>4$2;:>64d3-93877>;%3gf?28<7l?;hf5>5<>o49h0;66gn5;29?j5593:17pl92482>3<729q/=oj51`28 6022?;;7)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;90i=6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi:?:51;494?6|,8ho6b`9m066=j;1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~20;3wC=oo4n513>g5>od?3:17d=>a;29?lg22900c><>:188yg05:3;1:7>50z&2fa<6i91/?;;56028 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y7365;|`;36<62=0;6=u+38;9673<,:<>65;m;I3ae>h3;90i96gkd;29?lg02900e>:l:188k7ge2900qo689;391?6=8r.8544=179'5gb=:k90(>8::97a?!56032i?6F>b`9m066=j?1b=n650;9j5f?=831b=no50;9j5fd=831d?=950;9~f=1b280>6=4?{%1:=?46>2.:ni4=cc9'733=0?7:9`0?M7ei2d??=4m7:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi4:<51;694?6|,:326?<:;%151?>2j2B:nl5a4229f==nlm0;66gn7;29?l53k3:17bn6*<198;f7=O9kk0b9=?:c;8m4e?2900e8::97a?!56032i>6F>b`9m066=jh1b=n650;9j5f?=831b=no50;9j5fd=831d?=950;9~f=16280?6=4?{%1:=?45=2.8:8475c9K5gg0;66g<4b83>>i5ik0;66sm86595?3=83:p(>76:335?!7el38i?6*<648;1g=#;8214o?4H0`b?k2483hh7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd??j0:684?:1y'7n6*<198;f4=O9kk0b9=?:cf8m4e?2900e138::6*>be81f7=#;??148l4$23;>=d73A;im6`;318ab>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm86`95?3=83:p(>76:335?!7el38h56*<648;1g=#;8214o>4H0`b?k2483i;7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd?>o0:694?:1y'78::97a?M7ei2d??=4l1:kg`?6=3`k<6=44i26`>5<>03;1?7>50z&0=<<39j1/?;;584`8L4df3g>8<7m<;h03b?6=3`k<6=44o3ca>5<85+3779<0d<@8hj7c:<0;a7?lbc2900el950;9j71e=831d>ll50;9~f=g428086=4?{%1:=?26k2.8:8475c9K5gg5<138996*<648;1g=O9kk0b9=?:b48mab=831bm:4?::k00f<722e9mo4?::at$2;:>17d3-9=976:b:J2fd=i<::1o:5f21d94?=ni>0;66a=ac83>>{e00;1=7:50;2x 6?>2;8>7)=95;:6f>N6jh1e8>>5c99j`a<722cj;7>5;h17g?6=3f8jn7>5;|`;e4<62:0;6=u+38;904e<,:<>65;m;I3ae>h3;90h56g=0g83>>of?3:17b8::97a?M7ei2d??=4la:kg`?6=3`k<6=44i26`>5<?l3;187>50z&0=<<5:<1/?;;584`8L4df3g>8<7ml;hfg>5<4<4290;w)=69;62g>"4><039o5G1cc8j1572jn0e?>i:188md1=831d>ll50;9~f=>e280?6=4?{%1:=?45=2.8:8475c9K5gg0;66g<4b83>>i5ik0;66sm88g95?5=83:p(>76:53`?!51=32>n6F>b`9m066=ko1b>=h50;9je2<722e9mo4?::a<=?=93>1<7>t$2;:>7423-9=976:b:J2fd=i<::1h=5fde83>>of?3:17d=;c;29?j4fj3:17pl79e82>6<729q/?47540a8 60221?i7E?ma:l7755;|`;<2<62=0;6=u+38;9673<,:<>65;m;I3ae>h3;90o>6gkd;29?lg02900e>:l:188k7ge2900qo66c;397?6=8r.8544;1b9'733=05;hc4>5<5<7s-9257:>c:&020a34<3290;w)=69;011>"4><039o5G1cc8j1572m<0eij50;9je2<722c88n4?::m1eg<722wi44951;194?6|,:3269?l;%151?>2j2B:nl5a4229`2=n:9l1<75fa683>>i5ik0;66sm89295?2=83:p(>76:306?!51=32>n6F>b`9m066=l11bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th3o84>:783>5}#9kn1=l94$246>=3e3-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b`9m066=l01bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>4}3?<0vD0;66g<1`83>>of=3:17b==1;29?xd?km0:6;4?:1y'5gb=9h=0(>8::97a?!5>1399o6*<8580<6=#9mh186T<95821122tB:nl5a4229`g=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f=ed280=6=4?{%3a`?7f?2.8:8475c9'76;:2:0?!7cj3>0V>7;:0y7305;|`;gg<62?0;6=u+1cf95d1<,:<>65;m;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6jh1e8>>5de9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><039o5+38;977e<,:2?6>6<;%3gf?28<7jj;hf5>5<>o49h0;66gn5;29?j5593:17pl7c882>3<729q/=oj51`58 60221?i7)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2fd=i<::1hk5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5m7:085>5<7s-;ih7?n7:&02032:287)?kb;68^6?328q?;84rH0`b?k2483o;7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3o:4>:783>5}#9kn1=l94$246>=3e3-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b`9m066=m81bh;4?::kbf?6=3`i<6=44i23b>5<6>43-;on7:4Z2;7>4}3?<0vD0;66g<1`83>>of=3:17b==1;29?xd?k:0:6;4?:1y'5gb=9h=0(>8::97a?!5>1399o6*<8580<6=#9mh186T<95821122tB:nl5a4229a6=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f=e5280=6=4?{%3a`?7f?2.8:8475c9'76;:2:0?!7cj3>0V>7;:0y7305;|`;``<62?0;6=u+1cf95d6<,:<>65;m;%1:=?55k2.849461:&2`g<33S9287?t4679yM7ei2d??=4j5:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm8ef95?0=83:p(n6*<98806f=#;1>15<5+1e`90>\41=0:w99::|J2fd=i<::1i;5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5jl:085>5<7s-;ih7?n0:&020320;0(<97sG1cc8j1572l=0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?<0vD0;66g<1`83>>of=3:17b==1;29?xd?lh0:6;4?:1y'5gb=9h:0(>8::97a?!5>1399o6*<858:5>"6lk0?7W=64;3x023=uA;im6`;318f=>oc>3:17dom:188mf1=831b?<4?::at$0`g>4g73-9=976:b:&0=<<4:j1/?5:5909'5ad=<2P8594>{556>xN6jh1e8>>5e`9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b4>"4><039o5+38;977e<,:2?64?4$0fa>1=];0>1=v:85;K5gga19'733=076:20`?!5?<33:7)?kb;68^6?328q?;84rH0`b?k2483oh7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th3oh4>:783>5}#9kn1=l>4$246>=3e3-8;;76ie:&0=<<4:j1/?5:5909'5ad=9<1Q?4:51z641?{O9kk0b9=?:df8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<5<7s-9257<>6:&2fa<5j:1/?;;57e58 67?218m7E?ma:l775t$2;:>7713-;ih71/?<6583d8L4df3g>8<7h?;h3`5;h3`e?6=3`;hn7>5;n133?6=3th:583>5}#;031>?;4$246>2b03A;im6`;318e5>ocl3:17do8:188m62d2900c?om:188yg1a<3;197>50z&0=<<59?1/=oj52c18 6022>n<7)=>8;:1a>N6jh1e8>>5f39j5f>=831b=n750;9j5fg=831b=nl50;9l751=831vn:h6:086>5<7s-9257<>6:&2fa<5kk1/?;;57e58 67?218n7E?ma:l7751<7>t$2;:>7423-9=979k7:J2fd=i<::1j95fde83>>of?3:17d=;c;29?j4fj3:17pl8f282>0<729q/?4752048 4dc2;h87)=95;5g3>"49103>i5G1cc8j1572o?0e2900e>8:188yg1a03;197>50z&0=<<59?1/=oj52b`8 6022>n<7)=>8;:1`>N6jh1e8>>5f79j5f>=831b=n750;9j5fg=831b=nl50;9l751=831vn:kl:087>5<7s-9257<=5:&020<0l>1C=oo4n513>c1>o4>{e?o81=7;50;2x 6?>2;;=7)?md;0a6>"4><00<729q/?4752048 4dc2;i27)=95;5g3>"49103>n5G1cc8j1572o30e2900e>8:188yg1bj3;187>50z&0=<<5:<1/?;;57e58L4df3g>8<7hn;hfg>5<4<4290;w)=69;62g>"4><0i:188md1=831d>ll50;9~f=7328086=4?{%1:=?26k2.8:848d69K5gg5<lo6<4;:183!5>138996*<6484`2=O9kk0b9=?:gf8mab=831bm:4?::k00f<722e9mo4?::a<4`=9391<7>t$2;:>17d3-9=979k7:J2fd=i<::1jh5f21d94?=ni>0;66a=ac83>>{e09l1=7:50;2x 6?>2;8>7)=95;5g3>N6jh1e8>>5fg9j`a<722cj;7>5;h17g?6=3f8jn7>5;|`;5`<62:0;6=u+38;904e<,:<>6:j8;I3ae>h3;90:<=5f21d94?=ni>0;66a=ac83>>{e09n1=7:50;2x 6?>2;8>7)=95;5g3>N6jh1e8>>51138mab=831bm:4?::k00f<722e9mo4?::a<4b=9391<7>t$2;:>17d3-9=979k7:J2fd=i<::1==<4i32e>5<138996*<6484`2=O9kk0b9=?:020?lbc2900el950;9j71e=831d>ll50;9~f=7d28086=4?{%1:=?26k2.8:848d69K5gg;;h03b?6=3`k<6=44o3ca>5<85+37793a1<@8hj7c:<0;331>ocl3:17do8:188m62d2900c?om:188yg>6j3;1?7>50z&0=<<39j1/?;;57e58L4df3g>8<7??6:k14c<722cj;7>5;n0bf?6=3th3<:4>:583>5}#;031>?;4$246>2b03A;im6`;318242=nlm0;66gn7;29?l53k3:17b4?:1y'78::6f4?M7ei2d??=4>099j65`=831bm:4?::m1eg<722wi4=;51;694?6|,:326?<:;%151?1c?2B:nl5a422955?>o4>{e0831=7=50;2x 6?>2=;h7)=95;5g3>N6jh1e8>>511c8m76a2900el950;9l6dd=831vn5><:087>5<7s-9257<=5:&020<0l>1C=oo4n513>46e3`no6=44i`594?=n;=i1<75`2``94?=zj1;36<4<:183!5>13>:o6*<6484`2=O9kk0b9=?:02`?l47n3:17do8:188k7ge2900qo6?1;390?6=8r.8544=249'733=?m=0D5;hc4>5<h6=44o3ca>5<o58o0;66gn7;29?j4fj3:17pl8fg82>1<729q/?4752378 6022>n<7E?ma:l775<68o1bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th3=>4>:283>5}#;03182b03A;im6`;318255=n:9l1<75fa683>>i5ik0;66sm7ga95?2=83:p(>76:306?!51=3=o;6F>b`9m066=98;0eij50;9je2<722c88n4?::m1eg<722wi49?51;494?6|,8ho6<97sG1cc8j15728;97dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th38=4>:783>5}#9kn1=l94$246>2b03-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b`9m066=9890ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?ma:l775<69=1bh;4?::kbf?6=3`i<6=44i23b>5<36<49:183!7el3;j;6*<6484`2=#;031??m4$2:7>6>43-;on7:4Z2;7>4}3?<0vD5;hca>5<>{e0==1=7850;2x 4dc28k<7)=95;5g3>"41008>n5+39697=5<,8ni695U38695~20=3wC=oo4n513>4713`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd?8::6f4?!5>1399o6*<8580<6=#9mh186T<95821122tB:nl5a4229541>od?3:17d=>a;29?lg22900c><>:188yg>3=3;1:7>50z&2fa<6i>1/?;;57e58 6?>2:8h7)=74;1;7>"6lk0?7W=64;3x023=uA;im6`;31825==nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f=23280=6=4?{%3a`?7f?2.8:848d69'76;:2:0?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a<15=93<1<7>t$0`g>4g03-9=979k7:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9kk0b9=?:03b?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`;07<62?0;6=u+1cf95d1<,:<>6:j8;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6jh1e8>>510`8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e2=#;??1;i94$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7ei2d??=4>1b9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><06<;%3gf?28<7?>d:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm84c95?0=83:p(15<5+1e`90>\41=0:w99::|J2fd=i<::1=a19'733=?m=0(>76:20`?!5?<33:7)?kb;68^6?328q?;84rH0`b?k2483;:j6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi48651;494?6|,8ho66pF>b`9m066=9;:0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<;7?56;294~"6jm0:m=5+37793a1<,:326>4}3?<0vD5;hca>5<>{e0<<1=7850;2x 4dc28k;7)=95;5g3>"41008>n5+3969=4=#9mh186T<95821122tB:nl5a4229574>od?3:17d=>a;29?lg22900c><>:188yg>2=3;1:7>50z&2fa<6i91/?;;57e58 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a<02=93<1<7>t$0`g>4g73-9=979k7:&0=<<4:j1/?5:5909'5ad=<2P8594>{556>xN6jh1e8>>51368ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1;i94$2;:>64d3-93877>;%3gf?28<7?=5:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm85c95?0=83:p(<7<,8ni6<;4Z2;7>4}3?<0vD2co:7>5;hca>5<>{e??h1=7:50;2x 6?>2;8>7)=95;567>N6jh1e8>>51358mab=831bm:4?::k00f<722e9mo4?::a327=93?1<7>t$2;:>7713-;ih78<7?=8:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;:851;794?6|,:326??9;%3a`?4dj2.8:848529'74>=?hh0D1<7>t$2;:>7423-9=979:3:J2fd=i<::1=?o4ief94?=ni>0;66g<4b83>>i5ik0;66sm76295?3=83:p(>76:335?!7el38i?6*<648416=#;821;lo4H0`b?k2483;9n6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e?>?1=7;50;2x 6?>2;;=7)?md;0`f>"4><0<9>5+30:93dg<@8hj7c:<0;31g>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm77;95?2=83:p(>76:306?!51=3=>?6F>b`9m066=9;n0eij50;9je2<722c88n4?::m1eg<722wi;;h51;794?6|,:326??9;%3a`?4e;2.8:848529'74>=?h30Dt$2;:>7713-;ih78<7?=f:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi;;651;694?6|,:326?<:;%151?12;2B:nl5a4229566>o4>{e??o1=7;50;2x 6?>2;;=7)?md;0a6>"4><0<9>5+30:93d><@8hj7c:<0;305>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm76195?3=83:p(>76:335?!7el38h56*<648416=#;821;l64H0`b?k2483;8>6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e??=1=7:50;2x 6?>2;8>7)=95;567>N6jh1e8>>51218mab=831bm:4?::k00f<722e9mo4?::a3<7=9391<7>t$2;:>17d3-9=979:3:J2fd=i<::1=>:4i32e>5<3;6<4<:183!5>13>:o6*<648416=O9kk0b9=?:016?l47n3:17do8:188k7ge2900qo989;390?6=8r.8544=249'733=?<90D2coh7>5;hc4>5<h6=44o3ca>5<o58o0;66gn7;29?j4fj3:17pl88c82>1<729q/?4752378 6022>?87E?ma:l775<6;11bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th<5l4>:283>5}#;03182343A;im6`;31827<=n:9l1<75fa683>>i5ik0;66sm79;95?2=83:p(>76:306?!51=3=>?6F>b`9m066=9:k0eij50;9je2<722c88n4?::m1eg<722wi;4751;194?6|,:3269?l;%151?12;2B:nl5a422956d4<3290;w)=69;011>"4><0<9>5G1cc8j157289h7djk:188md1=831b?9m50;9l6dd=831vn:77:080>5<7s-9257:>c:&020<0=:1C=oo4n513>45c3`8;j7>5;hc4>5<:l:188k7ge2900qo967;397?6=8r.8544;1b9'733=?<90D5;|`4<6<62=0;6=u+38;9673<,:<>6:;<;I3ae>h3;90:8=5fde83>>of?3:17d=;c;29?j4fj3:17pl89782>6<729q/?47540a8 6022>?87E?ma:l775<6<81b>=h50;9je2<722e9mo4?::a3=7=93>1<7>t$2;:>7423-9=979:3:J2fd=i<::1=9<4ief94?=ni>0;66g<4b83>>i5ik0;66sm78795?5=83:p(>76:53`?!51=3=>?6F>b`9m066=9=90e?>i:188md1=831d>ll50;9~f21a280?6=4?{%1:=?45=2.8:848529K5gg5<4<4290;w)=69;62g>"4><0<9>5G1cc8j15728>>7d50z&0=<<5:<1/?;;57418L4df3g>8<7?;6:kg`?6=3`k<6=44i26`>5<>of?3:17b8::670?M7ei2d??=4>499j`a<722cj;7>5;h17g?6=3f8jn7>5;|`46:;<;I3ae>h3;90:845f21d94?=ni>0;66a=ac83>>{e?>21=7:50;2x 6?>2;8>7)=95;567>N6jh1e8>>515c8mab=831bm:4?::k00f<722e9mo4?::a3gb=93<1<7>t$0`g>4g03-9=979:3:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9kk0b9=?:06a?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`4ff<62?0;6=u+1cf95d1<,:<>6:;<;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6jh1e8>>515a8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e2=#;??1;8=4$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7ei2d??=4>4e9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><0<9>5+38;977e<,:2?6>6<;%3gf?28<7?;e:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm7b195?0=83:p(?6*<98806f=#;1>1?5=4$0fa>1=];0>1=v:85;K5gg5<>o49h0;66gn5;29?j5593:17pl8c382>3<729q/=oj51`58 6022>?87)=69;11g>"40=084>5+1e`90>\41=0:w99::|J2fd=i<::1=8>4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9l1;392?6=8r.:ni4>a69'733=?<90(>76:20`?!5?<393?6*>dc87?_5><3;p8:;5}I3ae>h3;90:9<5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn:m?:085>5<7s-;ih7?n7:&020<0=:1/?47533a8 6>32:287)?kb;68^6?328q?;84rH0`b?k2483;>>6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;oh51;494?6|,8ho6<97sG1cc8j15728?87dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th:783>5}#9kn1=l94$246>2343-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b`9m066=9<>0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?ma:l775<6=<1bh;4?::kbf?6=3`i<6=44i23b>5<hj6<49:183!7el3;j;6*<648416=#;031??m4$2:7>6>43-;on7:4Z2;7>4}3?<0vD2co:7>5;hca>5<>{e?m<1=7850;2x 4dc28k;7)=95;567>"41008>n5+3969=4=#9mh186T<95821122tB:nl5a4229501>od?3:17d=>a;29?lg22900c><>:188yg1c=3;1:7>50z&2fa<6i91/?;;57418 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a3a2=93<1<7>t$0`g>4g73-9=979:3:&0=<<4:j1/?5:5909'5ad=<2P8594>{556>xN6jh1e8>>514;8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1;8=4$2;:>64d3-93877>;%3gf?28<7?:a:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm7e095?0=83:p(?6*<98806f=#;1>15<5+1e`90>\41=0:w99::|J2fd=i<::1=8l4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9k1;392?6=8r.:ni4>a19'733=?<90(>76:20`?!5?<33:7)?kb;68^6?328q?;84rH0`b?k2483;>o6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;i>51;494?6|,8ho66pF>b`9m066=95;h12e?6=3`k>6=44o202>5<4}3?<0vD5;hca>5<>{e?j<1=7850;2x 4dc28k;7)=95;567>"58>03jh5+38;977e<,:2?64?4$0fa>438<7?:f:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm6d595?2=83:p(>76:306?!51=3b`9m066=9?:0eij50;9je2<722c88n4?::m1eg<722wi:hj51;794?6|,:326??9;%3a`?4e;2.8:849cg9'74>=?;=0Dt$2;:>7713-;ih78<7?92:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi:h851;694?6|,:326?<:;%151?0dn2B:nl5a4229535>o4>{e>li1=7;50;2x 6?>2;;=7)?md;0a7>"4><0=ok5+30:9370<@8hj7c:<0;350>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm6g395?3=83:p(>76:335?!7el38hn6*<6485gc=#;821;?84H0`b?k2483;=96g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e>l?1=7:50;2x 6?>2;8>7)=95;4`b>N6jh1e8>>51748mab=831bm:4?::k00f<722e9mo4?::a2`d=93?1<7>t$2;:>7713-;ih78<7?97:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi:k>51;794?6|,:326??9;%3a`?4dj2.8:849cg9'74>=?;?0D1<7>t$2;:>7423-9=978lf:J2fd=i<::1=;74ief94?=ni>0;66g<4b83>>i5ik0;66sm6dc95?3=83:p(>76:335?!7el38i>6*<6485gc=#;821;?:4H0`b?k2483;=m6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e>ll1=7;50;2x 6?>2;;=7)?md;0`=>"4><0=ok5+30:9372<@8hj7c:<0;35f>o6k10;66g>c883>>o6kh0;66g>cc83>>i48>0;66sm6d195?2=83:p(>76:306?!51=3b`9m066=9?i0eij50;9je2<722c88n4?::m1eg<722wi;=j51;194?6|,:3269?l;%151?0dn2B:nl5a422953b4<4290;w)=69;62g>"4><0=ok5G1cc8j1572850z&0=<<5:<1/?;;56bd8L4df3g>8<7?9f:kg`?6=3`k<6=44i26`>5<>of?3:17b0:694?:1y'78::7ae?M7ei2d??=4>709j`a<722cj;7>5;h17g?6=3f8jn7>5;|`453<62:0;6=u+38;904e<,:<>6;mi;I3ae>h3;90:;?5f21d94?=ni>0;66a=ac83>>{e?9?1=7:50;2x 6?>2;8>7)=95;4`b>N6jh1e8>>51618mab=831bm:4?::k00f<722e9mo4?::a343=9391<7>t$2;:>17d3-9=978lf:J2fd=i<::1=::4i32e>5<:86<4;:183!5>138996*<6485gc=O9kk0b9=?:056?lbc2900el950;9j71e=831d>ll50;9~f27328086=4?{%1:=?26k2.8:849cg9K5gg5<85+37792f`<@8hj7c:<0;343>ocl3:17do8:188m62d2900c?om:188yg16;3;1?7>50z&0=<<39j1/?;;56bd8L4df3g>8<7?88:k14c<722cj;7>5;n0bf?6=3th=jk4>:583>5}#;031>?;4$246>3ea3A;im6`;31823<=nlm0;66gn7;29?l53k3:17b4?:1y'78::7ae?M7ei2d??=4>7`9j65`=831bm:4?::m1eg<722wi:kj51;694?6|,:326?<:;%151?0dn2B:nl5a422952d>o4>{e?8;1=7=50;2x 6?>2=;h7)=95;4`b>N6jh1e8>>516a8m76a2900el950;9l6dd=831vn;hm:087>5<7s-9257<=5:&020<1ko1C=oo4n513>41c3`no6=44i`594?=n;=i1<75`2``94?=zj>;;6<4<:183!5>13>:o6*<6485gc=O9kk0b9=?:05f?l47n3:17do8:188k7ge2900qo8i9;390?6=8r.8544=249'733=>jl0D5;hc4>5<h6=44o3ca>5<o58o0;66gn7;29?j4fj3:17pl9f682>1<729q/?4752378 6022?im7E?ma:l775<6081bhi4?::kb3?6=3`9?o7>5;n0bf?6=3th<:283>5}#;03183ea3A;im6`;3182<7=n:9l1<75fa683>>i5ik0;66sm6g695?2=83:p(>76:306?!51=3b`9m066=9190eij50;9je2<722c88n4?::m1eg<722wi;>751;494?6|,8ho6<97sG1cc8j157282?7dj9:188mdd=831bo:4?::k05d<722cj97>5;n115?6=3th:783>5}#9kn1=l94$246>3ea3-9257==c:&0<1<40:1/=il54:X0=1<6s==>6pF>b`9m066=91?0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<?1qE?ma:l775<60?1bh;4?::kbf?6=3`i<6=44i23b>5<>;6<49:183!7el3;j;6*<6485gc=#;031??m4$2:7>6>43-;on7:4Z2;7>4}3?<0vD5;hca>5<>{e?:l1=7850;2x 4dc28k<7)=95;4`b>"41008>n5+39697=5<,8ni695U38695~20=3wC=oo4n513>4>?3`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd0;l0:6;4?:1y'5gb=9h=0(>8::7ae?!5>1399o6*<8580<6=#9mh186T<95821122tB:nl5a42295=?>od?3:17d=>a;29?lg22900c><>:188yg14l3;1:7>50z&2fa<6i>1/?;;56bd8 6?>2:8h7)=74;1;7>"6lk0?7W=64;3x023=uA;im6`;3182?n:188md3=831d???50;9~f25d280=6=4?{%3a`?7f?2.8:849cg9'76;:2:0?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a36d=93<1<7>t$0`g>4g03-9=978lf:&0=<<4:j1/?5:53918 4be2=1Q?4:51z641?{O9kk0b9=?:0:`?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`47d<62?0;6=u+1cf95d1<,:<>6;mi;%1:=?55k2.8494<829'5ad=<2P8594>{556>xN6jh1e8>>519f8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e2=#;??1:nh4$2;:>64d3-9387=73:&2`g<33S9287?t4679yM7ei2d??=4>8d9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><0=ok5+38;977e<,:2?6>6<;%3gf?28<7?7f:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm74095?0=83:p(15<5+1e`90>\41=0:w99::|J2fd=i<::1=4>4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo9:1;392?6=8r.:ni4>a19'733=>jl0(>76:20`?!5?<33:7)?kb;68^6?328q?;84rH0`b?k2483;2=6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi;8>51;494?6|,8ho66pF>b`9m066=9080ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?<0vD;2co:7>5;hca>5<>{e?=o1=7850;2x 4dc28k;7)=95;4`b>"41008>n5+3969=4=#9mh186T<95821122tB:nl5a42295<2>od?3:17d=>a;29?lg22900c><>:188yg13l3;1:7>50z&2fa<6i91/?;;56bd8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y730oc>3:17dom:188mf1=831b?<4?::a31e=93<1<7>t$0`g>4g73-9=978lf:&0=<<4:j1/?5:5909'5ad=<2P8594>{556>xN6jh1e8>>51848ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??1:nh4$2;:>64d3-93877>;%3gf?28<7?67:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm75095?0=83:p(<7<,8ni6<;4Z2;7>4}3?<0vD02co:7>5;hca>5<>{e;;:1=7950;2x 6?>2;;=7)?md;0f2>"4><03jn5G1cc8j15728327d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd3=l0:684?:1y'76<729q/?4752048 4dc2;on7)=95;:eg>"4910?8i5G1cc8j157283i7d?l8;29?l7d13:17b=?7;29?xd?n80:684?:1y'76<729q/?4752048 4dc2;l87)=95;:eg>"4910?8>5G1cc8j157283o7d?l8;29?l7d13:17b=?7;29?xd29=0:6>4?:1y'7om6F>b`9m066=90o0e2900c>>8:188yg36=3;1?7>50z&0=<<59?1/=oj52dg8 6022=nj7)=>8;67g>N6jh1e8>>518d8m4e?2900e6;397?6=8r.8544=179'5gb=:lo0(>8::5fb?!5603>?o6F>b`9m066=9h:0e2900c>>8:188yg36?3;1?7>50z&0=<<59?1/=oj52dg8 6022=nj7)=>8;67f>N6jh1e8>>51`38m4e?2900e8;397?6=8r.8544=179'5gb=:lo0(>8::5fb?!5603>?n6F>b`9m066=9h80e2900c>>8:188yg3em3;1?7>50z&0=<<59?1/=oj52dg8 6022=nj7)=>8;672>N6jh1e8>>51`18m4e?2900e8::5fb?M7ei2d??=4>a59j5f>=831b=n750;9l751=831vn9;6:087>5<7s-9257<>6:&2fa<5nk1/?;;58ga8L4df3g>8<7?n5:k2g=<722c:o44?::k2gd<722e8<:4?::a256=93?1<7>t$2;:>7713-;ih78<7?n6:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi9<<51;494?6|,:326??9;%3a`?4c82.8:84;d`9K5gg5;h3`e?6=3`;hn7>5;h3`g?6=3f9;;7>5;|`656<62?0;6=u+38;9640<,8ho6?mi;%151?2ci2B:nl5a42295d>5<5<5<69jn;I3ae>h3;90:m45f1b:94?=n9j31<75f1bc94?=h;9=1<75rb4gg>4<3290;w)=69;022>"6jm09j55+37790ag<,:;369:=;I3ae>h3;90:ml5f1b:94?=n9j31<75f1bc94?=h;9=1<75rb9d7>4<3290;w)=69;022>"6jm09oi5+3779h3;90:mo5f1b:94?=n9j31<75f1bc94?=h;9=1<75rb30b>4<3290;w)=69;022>"6jm09oi5+3779h3;90:mn5f1b:94?=n9j31<75f1bc94?=h;9=1<75rb543>4<2290;w)=69;022>"6jm09i:5+3779h3;90:mi5f1b:94?=n9j31<75f1bc94?=n9jh1<75`31594?=zj=?h6<4::183!5>138::6*>be81aa=#;??14km4$23;>1323A;im6`;3182e`=n9j21<75f1b;94?=n9jk1<75f1b`94?=h;9=1<75rb30a>4<2290;w)=69;022>"6jm09o55+3779h3;90:mk5f1b:94?=n9j31<75f1bc94?=n9jh1<75`31594?=zj;8o6<48:183!5>138::6*>be81g0=#;??14km4H0`b?k2483;i<6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e:;i1=7850;2x 6?>2;;=7)?md;0`2>"4><03jn5+30:9002<@8hj7c:<0;3a5>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e=921=7850;2x 6?>2;;=7)?md;0af>"4><0?hl5+30:9001<@8hj7c:<0;3a6>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e=;o1=7950;2x 6?>2;;=7)?md;0f7>"4><0?hl5G1cc8j15728h87d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd2:?0:6;4?:1y'7om6*<19877d=O9kk0b9=?:0`7?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17b=?7;29?xd28l0:6;4?:1y'7om6F>b`9m066=9k?0e2900e8::5fb?M7ei2d??=4>b79j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn8>n:087>5<7s-9257<>6:&2fa<4881/?;;54ec8 67?2=?<7E?ma:l775<6j>1b=n650;9j5f?=831b=no50;9l751=831vn8?>:085>5<7s-9257<>6:&2fa<5j=1/?;;54ec8L4df3g>8<7?m8:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722e8<:4?::a15b=93>1<7>t$2;:>7713-;ih7=?0:&020<3lh1/?<654538L4df3g>8<7?m9:k2g=<722c:o44?::k2gd<722e8<:4?::a243=93>1<7>t$2;:>7713-;ih78<7?ma:k2g=<722c:o44?::k2gd<722e8<:4?::a22?=93=1<7>t$2;:>7713-;ih74de3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`556<62>0;6=u+38;9640<,8ho6?m<;%151?0682B:nl5a42295ge5<5<5<ko4$246>3773-9:47:;0:J2fd=i<::1=oj4i0a;>5<5<5<6;??;%125<5<k94$246>3773-9:47:5<5<5<6;??;%125<5<ij4$246>3773-9:47::3:J2fd=i<::1=n?4i0a;>5<5<i74$246>=3e3-9:47:5<5<5<<,:<>65;m;%125<5<5<65;m;I3ae>h3;90:o95f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj1138::6*>be81`g=#;??148l4$23;>12f3A;im6`;3182g0=n9j21<75f1b;94?=n9jk1<75`31594?=zj1138::6*>be81b6=#;??148l4$23;>12f3A;im6`;3182g3=n9j21<75f1b;94?=h;9=1<75rb6fe>4<2290;w)=69;022>"6jm09h45+37793a1<,:;369=k;I3ae>h3;90:o:5f1b:94?=n9j31<75f1bc94?=n9jh1<75`31594?=zj>o:6<49:183!5>138::6*>be81`==#;??1;i94$23;>15c3A;im6`;3182g==n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75`31594?=zj>o86<48:183!5>138::6*>be81g7=#;??1;i94H0`b?k2483;h56g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e?lk1=7:50;2x 6?>2;;=7)?md;0gf>"4><0o6k10;66g>c883>>o6kh0;66a<0683>>{e?l31=7=50;2x 6?>2;;=7)?md;0e7>"4><0o6k10;66g>c883>>i48>0;66sm74`95?3=83:p(>76:335?!7el38o56*<648416=#;8218>m4H0`b?k2483;ho6g>c983>>o6k00;66g>c`83>>o6kk0;66a<0683>>{e?2;;=7)?md;0g<>"4><0<9>5+30:906e<@8hj7c:<0;3``>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e?2;;=7)?md;0`6>"4><0<9>5G1cc8j15728in7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd0>?0:694?:1y'7?6*<19870==O9kk0b9=?:0ae?l7d03:17d?l9;29?l7di3:17b=?7;29?xd0><0:6>4?:1y'7?6*<19870==O9kk0b9=?:0f3?l7d03:17d?l9;29?j57?3:17pl9d682>0<729q/?4752048 4dc2;n27)=95;4`b>"4910??o5G1cc8j15728n:7d?l8;29?l7d13:17d?la;29?l7dj3:17b=?7;29?xd1l00:6;4?:1y'7b`9m066=9m90e2900e>8:188yg0b:3;187>50z&0=<<59?1/=oj52e`8 6022?im7)=>8;673>N6jh1e8>>51e68m4e?2900e>8:188yg0b93;1?7>50z&0=<<59?1/=oj52g18 6022?im7)=>8;673>N6jh1e8>>51e78m4e?2900e0(>8::9d`?M7ei2d??=4>d79j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn5h7:084>5<7s-9257<>6:&2fa<5m=1/?;;58ga8L4df3g>8<7?k7:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi4k751;594?6|,:326??9;%3a`?4b<2.8:847fb9K5gg5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th?hk4>:283>5}#;031><84$0`g>7`53-9=97:ka:&05=<3<<1C=oo4n513>4b>3`;h47>5;h3`=?6=3f9;;7>5;|`7`a<62>0;6=u+38;9640<,8ho6?h6;%151?2ci2B:nl5a42295ag5<5<5<om4$246>3773A;im6`;3182`g=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb761>4<1290;w)=69;022>"6jm09h:5+3779246<@8hj7c:<0;3gg>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66a<0683>>{e>=91=7:50;2x 6?>2;;=7)?md;0g`>"4><0===5+30:9007<@8hj7c:<0;3g`>o6k10;66g>c883>>o6kh0;66a<0683>>{e>=?1=7950;2x 6?>2;;=7)?md;0ag>"4><0===5G1cc8j15728nn7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd1b`9m066=9ml0e2900e8::733?!5603>>=6F>b`9m066=9l:0e2900e8::733?M7ei2d??=4>e09j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn;:n:085>5<7s-9257<>6:&2fa<5l>1/?;;56028L4df3g>8<7?j2:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722e8<:4?::a21d=93>1<7>t$2;:>7713-;ih78<7?j3:k2g=<722c:o44?::k2gd<722e8<:4?::a21b=93=1<7>t$2;:>7713-;ih74c33`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`50`<62?0;6=u+38;9640<,8ho6?j8;%151?0682B:nl5a42295`35<5<5<6;??;%125<5<=7?57;294~"41009=;5+1cf96ge<,:<>6;??;I3ae>h3;90:i:5f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj??96<49:183!5>138::6*>be81`2=#;??1:<>4H0`b?k2483;n46g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm64195?2=83:p(>76:335?!7el38oh6*<648555=#;82189k4H0`b?k2483;n56g>c983>>o6k00;66g>c`83>>i48>0;66sm64795?1=83:p(>76:335?!7el38io6*<648555=O9kk0b9=?:0gb?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl95782>3<729q/?4752048 4dc2;n<7)=95;424>N6jh1e8>>51d`8m4e?2900e>8:188yg02?3;187>50z&0=<<59?1/=oj52ef8 6022?;;7)=>8;67a>N6jh1e8>>51da8m4e?2900e>8:188yg00<3;1;7>50z&0=<<59?1/=oj52ca8 6022?;;7E?ma:l775<6mm1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f312280=6=4?{%1:=?46>2.:ni4=d69'733=>8:0D=<<80D5;n133?6=3th3:54>:683>5}#;031><84$0`g>7e53-9=976:b:J2fd=i<::1=k?4i0a;>5<5<5<5<i7?54;294~"41009=;5+1cf96`?<,:<>65;m;I3ae>h3;90:j?5f1b:94?=n9j31<75f1bc94?=h;9=1<75rb97g>4<0290;w)=69;022>"6jm09i<5+3779<0d<@8hj7c:<0;3e7>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm87`95?1=83:p(>76:335?!7el38m<6*<648;1g=O9kk0b9=?:0d7?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl8e482>6<729q/?4752048 4dc2;l97)=95;5g3>N6jh1e8>>51g78m4e?2900e8::6f4?M7ei2d??=4>f79j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn:jn:087>5<7s-9257<>6:&2fa<5m01/?;;57e58L4df3g>8<7?i7:k2g=<722c:o44?::k2gd<722e8<:4?::a3a?=93=1<7>t$2;:>7713-;ih71C=oo4n513>4`?3`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`4a2<62>0;6=u+38;9640<,8ho6?h?;%151?1c?2B:nl5a42295c?5<5<5<k<4$246>2343A;im6`;3182bd=n9j21<75f1b;94?=h;9=1<75rb643>4<0290;w)=69;022>"6jm09o?5+3779305<@8hj7c:<0;3ef>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm74495?2=83:p(>76:335?!7el38n56*<648416=O9kk0b9=?:0d`?l7d03:17d?l9;29?l7di3:17b=?7;29?xd0=<0:6:4?:1y'7?6F>b`9m066=9on0e2900e>8:188yg11;3;1;7>50z&0=<<59?1/=oj52g28 6022>?87E?ma:l775<6nl1b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f3bc28086=4?{%1:=?46>2.:ni4=f39'733=>jl0Dt$2;:>7713-;ih77673`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`5`7<62=0;6=u+38;9640<,8ho6?k6;%151?0dn2B:nl5a42296575<5<6;mi;I3ae>h3;909138::6*>be81b5=#;??1:nh4H0`b?k24838;?6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e0l21=7<50;2x 6?>28in7)=95;:eg>"58h0:h?5G1cc8j1572;:?7d?l7;29?j4fj3:17pl7ee82>7<729q/?4751bg8 60221lh7)N6jh1e8>>52178m4e02900c?om:188yg0?:3;1>7>50z&0=<<6kl1/?;;58ga8 76f28n97E?ma:l775<58?1b=n950;9l6dd=831vn;mj:081>5<7s-9257=?3:&020=o53158L4df3g>8<7t$2;:>6643-9=976ic:&14d<48>1C=oo4n513>76?3`;h;7>5;n0bf?6=3th=on4>:383>5}#;031?==4$246>=`d3-8;m7=?7:J2fd=i<::1>=74i0a4>5<6603A;im6`;31814d=n9j=1<75`2``94?=zj?2;6<4<:183!5>139346*<648;bf=#:9k1?=94H0`b?k24838;n6g>c683>>o6000;6E5<7s-;ih7?n7:&020<3lh1/?47533a8 6>32:287)?kb;68^6?32;q3i:4;728~L4df3g>8<7>i4:80;66sm5ca95?0=83:p(om6*<98806f=#;1>1?5=4$0fa>1=];0>1>v6j7;647?{O9kk0b9=?:32g?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`;30<62:0;6=u+38;9640<,8ho6?hk;%151?>2j2B:nl5a422965c5<k<4$246>=3e3A;im6`;31814c=n9j21<75f1b;94?=h;9=1<75rb6d2>4<4290;w)=69;022>"6jm09ji5+37793a1<@8hj7c:<0;024>o6k10;66g>c883>>i48>0;66sm7g`95?5=83:p(>76:335?!7el38m>6*<6484`2=O9kk0b9=?:332?l7d03:17d?l9;29?j57?3:17pl86e82>6<729q/?4752048 4dc2;lo7)=95;567>N6jh1e8>>52008m4e?2900e8::670?M7ei2d??=4=129j5f>=831b=n750;9l751=831vn;k6:080>5<7s-9257<>6:&2fa<5nm1/?;;56bd8L4df3g>8<7<>4:k2g=<722c:o44?::m042<722wi:k=51;194?6|,:326??9;%3a`?4a:2.8:849cg9K5gg5;n133?6=3th?;84>:783>5}#9kn1=l>4$246>=`d3-9257==c:&0<1<>92.:ho4;;[1:0?4|<>?18:=5}I3ae>h3;909=;5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn5k>:085>5<7s-;ih7?n0:&020320;0(5;n115?6=3th=;o4>:783>5}#9kn1=l>4$246>3773-9257==c:&0<1<>92.:ho4;;[1:0?7|<>91qE?ma:l775<5911bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni695U38695~20;3wC=oo4n513>77>3`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd4;<0:6;4?:1y'5gb=9h:0(>8::9d`?!5>1399o6*<858:5>"6lk0:96T<95821142tB:nl5a422964g>od?3:17d=>a;29?lg22900c><>:188yg54n3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a717=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>520a8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??14km4$2;:>64d3-93877>;%3gf?28<7<>d:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm35795?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>a19'733=0oi0(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k24838:j6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi?9651;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=:;:0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?:0vD5;hca>5<>{e;=k1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229674>od?3:17d=>a;29?lg22900c><>:188yg53j3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a760=93<1<7>t$0`g>4g73-9=976ic:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>52368ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??14km4$2;:>64d3-93877>;%3gf?28<7<=5:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm32:95?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>?84ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo=<9;392?6=8r.:ni4>a19'733=0oi0(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k248389;6gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi?>o51;494?6|,8ho6ak2.8544<2b9'7=2=181/=il54:X0=1<6s==86pF>b`9m066=:;20ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<4}3?:0vD5;hca>5<>{e;:i1=7850;2x 4dc28k;7)=95;:eg>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a422967g>od?3:17d=>a;29?lg22900c><>:188yg54l3;1:7>50z&2fa<6i91/?;;58ga8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a14?=93<1<7>t$0`g>4g03-9=97:ka:&0=<<4:j1/?5:53918 4be28?0V>7;:3y;a2<3?:0vD5;hca>5<>{e=8h1=7850;2x 4dc28k<7)=95;6ge>"41008>n5+39697=5<,8ni695U38696~>b?3>5;n115?6=3th>=n4>:783>5}#9kn1=l94$246>1bf3-9257==c:&0<1<40:1/=il54:X0=1<5s1o<699<:|J2fd=i<::1>?k4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;>d;392?6=8r.:ni4>a69'733=76:20`?!5?<393?6*>dc87?_5><38p4h954619yM7ei2d??=4=2g9j`3<722cjn7>5;ha4>5<4<1290;w)?md;3b3>"4><0?hl5+38;977e<,:2?6>6<;%3gf?2oc>3:17dom:188mf1=831b?<4?::a14`=93<1<7>t$0`g>4g03-9=97:ka:&0=<<4:j1/?5:53918 4be2=1Q?4:52z:f3?20;3wC=oo4n513>7563`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd2:90:6;4?:1y'5gb=9h=0(>8::5fb?!5>1399o6*<8580<6=#9mh186T<9581=c02==86pF>b`9m066=::80ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<h3;909?>5fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8<=:085>5<7s-;ih7?n7:&020<3lh1/?47533a8 6>32:287)?kb;68^6?32;q3i:4;728~L4df3g>8<7<<4:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm53195?0=83:p(om6*<98806f=#;1>1?5=4$0fa>1=];0>1>v6j7;647?{O9kk0b9=?:316?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`65d<62?0;6=u+1cf95d1<,:<>69jn;%1:=?55k2.8494<829'5ad=<2P8594={9g4>1142tB:nl5a4229660>od?3:17d=>a;29?lg22900c><>:188yg2cm3;1:7>50z&2fa<6i>1/?;;54ec8 6?>2:8h7)=74;1;7>"6lk0:96T<9581=c02==86pF>b`9m066=::=0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<n>7?56;294~"6jm0:m:5+37790ag<,:326>h3;909?55fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn9k;:084>5<7s-9257<>6:&2fa<5no1/?;;54ec8L4df3g>8<7<<9:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi8h>51;494?6|,:326??9;%3a`?4am2.8:84;d`9K5gg5;h3`e?6=3`;hn7>5;h3`g?6=3f9;;7>5;|`7a4<62>0;6=u+38;9640<,8ho6?h6;%151?2ci2B:nl5a422966d5<5<5<n>4$246>1bf3A;im6`;31817f=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb4f:>4<4290;w)=69;022>"6jm09ji5+37790ag<,:;369;<;I3ae>h3;909?i5f1b:94?=n9j31<75`31594?=zj138::6*>be81`6=#;??18io4H0`b?k248388i6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e=;21=7850;2x 4dc28k<7)=95;6ge>"41008>n5+39697=5<,8ni695U38696~>b?3>5;n115?6=3th>ik4>:583>5}#;031><84$0`g>7ce3-9=97:ka:&05=<3<;1C=oo4n513>7273`;h47>5;h3`=?6=3`;hm7>5;n133?6=3th=;n4>:583>5}#;031><84$0`g>7gb3-9=978>0:J2fd=i<::1>9?4i0a;>5<5<h74$246>1bf3-9:47:9<4i0a;>5<5<ok4$246>1bf3A;im6`;318106=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb40:>4<1290;w)=69;022>"6jm09j<5+37790ag<,:;369::;I3ae>h3;909895f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=h;9=1<75rb404>4<0290;w)=69;022>"6jm09h95+37790ag<@8hj7c:<0;071>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm49195?5=83:p(>76:335?!7el38m?6*<648;bf=O9kk0b9=?:365?l7d03:17d?l9;29?j57?3:17pl7fc82>6<729q/?4752048 4dc2;on7)=95;:eg>N6jh1e8>>52558m4e?2900e8::9d`?M7ei2d??=4=499j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn8>8:084>5<7s-9257<>6:&2fa<5jo1/?;;54ec8L4df3g>8<7<;9:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi9=851;494?6|,:326??9;%3a`?4c92.8:84;d`9'74>=<=;0D5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th3o<4>:683>5}#;031><84$0`g>7cf3-9=976:b:J2fd=i<::1>9m4i0a;>5<5<5<5<65;m;I3ae>h3;9098i5f1b:94?=n9j31<75f1bc94?=n9jh1<75f1ba94?=n9jn1<75`31594?=zj19o6<48:183!5>138::6*>be81ad=#;??1;i94H0`b?k24838?i6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>o6km0;66a<0683>>{e0:i1=7950;2x 6?>2;;=7)?md;0e2>"4><0m7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd0j00:6:4?:1y'7?6F>b`9m066=:<:0e2900e>8:188yg1e03;1;7>50z&0=<<59?1/=oj52g48 6022>?87E?ma:l775<5=81b=n650;9j5f?=831b=no50;9j5fd=831b=nm50;9j5fb=831d?=950;9~f252280<6=4?{%1:=?46>2.:ni4=e`9'733=>jl0Dt$2;:>7713-;ih77343`;h47>5;h3`=?6=3`;hm7>5;h3`f?6=3`;ho7>5;h3``?6=3f9;;7>5;|`71d<62=0;6=u+38;9640<,8ho6?hm;%151?>ak2B:nl5a42296025<5<7?54;294~"41009>;5+3779o6k10;66g>c883>>o4>{e0l91=7950;2x 6?>2;;=7)?md;0`0>"4><03jn5G1cc8j1572;?=7d?l8;29?l7d13:17d?la;29?l7dj3:17d?lc;29?l7dl3:17b=?7;29?xd?m=0:6;4?:1y'7b`9m066=:<=0e2900e8:0Dk51;594?6|,:326??9;%3a`?4ek2.8:849119K5gg5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th=?k4>:783>5}#;031><84$0`g>7b03-9=978>0:J2fd=i<::1>8o4i0a;>5<5<5<n6g>c983>>o6k00;66g<4b83>>i5ik0;66sm53`95?1=83:p(>76:335?!7el38hm6*<6487`d=O9kk0b9=?:37`?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl:2b82>2<729q/?4752048 4dc2;oh7)=95;6ge>N6jh1e8>>524f8m4e?2900e1/?;;58ga8^6?328q3i:4rH0`b?k24838>j6g>c683>>i5ik0;66sm24295?4=83:p(>76:335?!7el39;>6*<648;bf=O:9>0Do6k10;66a<0683>>{e00<1=7<50;2x 6?>2;;=7)?md;136>"4><039o5G2168L4df3g>8<7<92:k2g=<722e8<:4?::a<44=9381<7>t$2;:>7713-;ih7=?2:&020<0l>1C>=:4H0`b?k24838=?6g>c983>>i48>0;66sm79g95?4=83:p(>76:335?!7el39;>6*<648416=O:9>0Do6k10;66a<0683>>{e:;21=7<50;2x 6?>2;;=7)?md;136>"4><03jn5G2168L4df3g>8<7<96:k2g=<722e8<:4?::a254=933gn2645a14694>h6?>0;7)?7e;1:6>"60o085?5+33697=?<,:996l;4$210>d3<,:9:6>6<;%622.:h549;%3g=?0<,8nj6;5+1ea92>"6lm0=7)?ke;48 4ba2?1/=h>56:&2a4<13-;n>784$0g0>3=#9l>1:6*>e485?!7b>3<0(=>2.:i449;%3fe?0<,8oi6;5+1da92>"6mm0=7)?je;48 4ca2?1/=k>56:&2b4<13-;m>784$0d0>3=#9o>1:6*>f485?!7a>3<0(=>2.:j449;%3ee?0<,8li6;5+1ga91>"6nm0>7)=97;78 60?2<1/=kk52`;8 63020:0Df}603;26?m52e81=?4?2;k1>o4>e;3`>4d=9o0vb9=?:344?l5483:17d?7d;29?l55>3:17d?7c;29?ld1290Q?4:51z647?{4;h7;>5<#;8?1:85a30695>=n=>0;6)=>5;46?k56<3807d8>:18'743=><1e?<:53:9j25<72-9:978:;o120?2<3`?m6=4+307920=i;8>1965f5d83>!56=3<>7c=>4;48?l3c290/?<;5649m742=?21b9n4?:%121?023g9:8764;h7a>5<#;8?1:85a3069=>=n=h0;66g:6;29?l322900e<2900e<>7:188m4602900e<>9:188m4622900e<>;:188m4642900ej:188m46c2900e<>l:188m46e2900e<>n:188m46>2900e<>=:188m4662900eh850;9ja0<722cn87>5;hg0>5<>obm3:17dkk:188m`e=83.8=84i5:l0515$236>c3ob13:1(>?::g78j6732k10eh650;&0506k;4n237>a=h49=0n76gkf;29 6722o?0b>?;:g98m23=83.8=8471:l051<732c<87>5$236>=7o0l3:1(>?::938j6732:10e:m50;&05065?4n237>0=k1<7*<148;5>h49=0=76g89;29 67221;0b>?;:698m2>=83.8=8471:l0515$236>=74;38?j74i3:17b?<9;29?j7403:17b?<7;29?j74>3:17b?<5;29?j73:3:17b?;1;29?j7383:17b??::04`?k56<3207b?92;29 672284;;8?j7193:1(>?::04`?k56<3k07b?90;29 672284;`8?j72n3:1(>?::04`?k56<3i07b?:e;29 672284;f8?j72?3:1(>?::04`?k56<3o07b?:6;29 672284;d8?xd2j<0:6;4?:1y'5gb=9h:0(>8::4cb?!45;392>6F62:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>527:8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??19lo4$300>6?53A397)=69;11g>"40=02=6*>dc87?_5><3;p8:=5}I3ae>h3;909:45fd783>>ofj3:17dm8:188m67f2900el;50;9l777=831vn8l<:085>5<7s-;ih7?n0:&020<2ih1/>?=53808L<4<,:326>91qE?ma:l775<5>h1bh;4?::kbf?6=3`i<6=44i23b>5<<7<,8ni6<;4Z2;7>4}3?:0vD5;hca>5<>{e=hn1=7850;2x 4dc28k;7)=95;7be>"41008>n5+3969=4=#9mh1=85U38695~20;3wC=oo4n513>70d3`n=6=44i``94?=nk>0;66g<1`83>>of=3:17b==1;29?xd2j;0:6;4?:1y'5gb=9h:0(>8::4cb?!5>1399o6*<858:5>"6lk0:96T<95821142tB:nl5a422963b>od?3:17d=>a;29?lg22900c><>:188yg3e93;1:7>50z&2fa<6i91/?;;55`c8 6?>2:8h7)=74;;2?!7cj3;>7W=64;3x025=uA;im6`;31812`=nl?0;66gnb;29?le02900e>?n:188md3=831d???50;9~f004280=6=4?{%3a`?7f82.8:84:509'76;:838 4be28?0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a136=93<1<7>t$0`g>4g73-9=97;:1:&0=<<4:j1/?5:5909'5ad=9<1Q?4:51z647?{O9kk0b9=?:353?lb12900ell50;9jg2<722c8=l4?::kb1?6=3f99=7>5;|`627<62?0;6=u+1cf95d6<,:<>68;>;%1:=?55k2.849461:&2`g<6=2P8594>{550>xN6jh1e8>>52638ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??19;64$2;:>64d3-93877>;%3gf?28<7<82:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm56f95?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>:=4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;8c;392?6=8r.:ni4>a19'733==?20(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k24838<86gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9:l51;494?6|,8ho6b`9m066=:>?0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<<,:326>4}3?:0vD2co:7>5;hca>5<>{e=>?1=7850;2x 4dc28k;7)=95;75<>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a4229621>od?3:17d=>a;29?lg22900c><>:188yg30<3;1:7>50z&2fa<6i91/?;;557:8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a126=93<1<7>t$0`g>4g73-9=97;98:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>526;8ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??19;64$2;:>64d3-93877>;%3gf?28<7<8a:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm59495?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>:l4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;6e;392?6=8r.:ni4>a19'733==120(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k24838b`9m066=:>n0ei850;9jeg<722ch;7>5;h12e?6=3`k>6=44o202>5<<,:326>4}3?:0vD5;hca>5<>{e=0h1=7850;2x 4dc28k;7)=95;7;<>"41008>n5+3969=4=#9mh186T<95821142tB:nl5a422962`>od?3:17d=>a;29?lg22900c><>:188yg3>>3;1:7>50z&2fa<6i91/?;;559:8 6?>2:8h7)=74;;2?!7cj3>0V>7;:0y736oc>3:17dom:188mf1=831b?<4?::a1<3=93<1<7>t$0`g>4g73-9=97;78:&0=<<4:j1/?5:5909'5ad=<2P8594>{550>xN6jh1e8>>52938ma0=831bmo4?::k`3?6=3`9:m7>5;hc6>5<3:1be82e5=#;??19564$2;:>64d3-93877>;%3gf?28<7<72:kg2?6=3`ki6=44ib594?=n;8k1<75fa483>>i4:80;66sm58295?0=83:p(15<5+1e`90>\41=0:w99<:|J2fd=i<::1>5=4ie494?=nik0;66gl7;29?l56i3:17do::188k6462900qo;7f;392?6=8r.:ni4>a19'733==120(>76:20`?!5?<33:7)?kb;68^6?328q?;>4rH0`b?k24838386gk6;29?lge2900en950;9j74g=831bm84?::m064<722wi9;:51;194?6|,:326??9;%3a`?4bn2.8:84:519'74>==kh0Dt$2;:>7713-;ih77>13`;h47>5;h3`=?6=3f9;;7>5;|`6e3<62=0;6=u+38;9640<,8ho6?ji;%151?3f:2.8=54:bc9K5gg5;h3`e?6=3f9;;7>5;|`6f2<62:0;6=u+38;9640<,8ho6?ki;%151?3fi2B:nl5a42296=>5<o94$246>0363A;im6`;3181<<=n9j21<75f1b;94?=n9jk1<75f1b`94?=n9ji1<75f1bf94?=h;9=1<75rb47`>4<0290;w)=69;022>"6jm09oh5+3779107<@8hj7c:<0;0;e>o6k10;66g>c883>>o6kh0;66g>cc83>>o6kj0;66g>ce83>>i48>0;66sm54f95?3=83:p(>76:335?!7el38oi6*<648614=O9kk0b9=?:3:a?l7d03:17d?l9;29?l7di3:17d?lb;29?j57?3:17pl:5d82>2<729q/?4752048 4dc2;o97)=95;765>N6jh1e8>>529a8m4e?2900e0(>8::472?M7ei2d??=4=8e9j5f>=831b=n750;9l751=831vn86;:084>5<7s-9257<>6:&2fa<5m;1/?;;55928L4df3g>8<7<7e:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi98:51;594?6|,:326??9;%3a`?4d?2.8:84:509K5gg5;h3`e?6=3`;hn7>5;h3`g?6=3`;hh7>5;n133?6=3th>984>:683>5}#;031><84$0`g>7d63-9=97;:1:J2fd=i<::1>4>4i0a;>5<5<5<5<;7?55;294~"41009=;5+1cf96d`<,:<>68;>;I3ae>h3;9095<5f1b:94?=n9j31<75f1bc94?=n9jh1<75`31594?=zj138::6*>be81f0=#;??198?4H0`b?k248382>6g>c983>>o6k00;66g>c`83>>o6kk0;66g>cb83>>i48>0;66sm54c95?1=83:p(>76:335?!7el38i46*<648614=O9kk0b9=?:3;0?l7d03:17d?l9;29?l7di3:17d?lb;29?l7dk3:17d?ld;29?j57?3:17pl:5882>2<729q/?4752048 4dc2;h=7)=95;765>N6jh1e8>>52868m4e?2900e8::4:3?M7ei2d??=4=949j5f>=831b=n750;9j5fg=831b=nl50;9j5fe=831b=nj50;9l751=831vn86=:084>5<7s-9257<>6:&2fa<5j91/?;;55928L4df3g>8<7<66:k2g=<722c:o44?::k2gd<722c:oo4?::k2gf<722c:oi4?::m042<722wi9;o51;794?6|,:326??9;%3a`?4c=2.8:84:699'74>==kk0D?2c:o54?::k2g<<722c:ol4?::k2gg<722e8<:4?::a13?=93>1<7>t$2;:>7713-;ih711/?<655cc8L4df3g>8<7<68:k2g=<722c:o44?::k2gd<722e8<:4?::a1=g=93?1<7>t$2;:>7713-;ih78<7<69:k2g=<722c:o44?::k2gd<722c:oo4?::m042<722wi95751;694?6|,:326??9;%3a`?4ci2.8:84:899'74>==k30Di2c:o54?::k2g<<722c:ol4?::m042<722wi9l>51;094?6|,:326??9;%3a`?57:2.8:84:7g9K652<@8hj7c:<0;0:f>o6k10;66a<0683>>{e=k21=7<50;2x 6?>2;;=7)?md;136>"4><0>8k5G2168L4df3g>8<7<6c:k2g=<722e8<:4?::a130=9381<7>t$2;:>7713-;ih7=?2:&020<2=91C>=:4H0`b?k248382h6g>c983>>i48>0;66sm5`;95?4=83:p(>76:335?!7el39;>6*<64860c=O:9>0Dm2c:o54?::m042<722wi9l:51;4f>5<7s-9257==3:&020<2i:1eh54=4:lg=?433g;>87>4n054>5=#91o1?4<4$0:e>6?53-9987=;e:&0774n5:&074<40:1/8<653378 17>2:8>7)?k7;48 4b?2?1/=i756:&2`d<13-;oo784$0fg>3=#9mo1:6*>dg85?!7b83<0(:79'5`4=>2.:i>49;%3f0?0<,8o>6;5+1d492>"6m>0=7)?j8;48 4c>2?1/=ho56:&2ag<13-;no784$0gg>3=#9lo1:6*>eg85?!7a83<0(:79'5c4=>2.:j>49;%3e0?0<,8l>6;5+1g492>"6n>0=7)?i8;48 4`>2?1/=ko56:&2bg<13-;mo7;4$0dg>0=#;?=196*<6986?!7am38j56*<568:4>N6jh1Q5n4l{0:95<<5k38o6?752981e?4e28o1=n4>b;3e>xh3;9095k5f32294?=n91n1<75f33494?=n91i1<75fb783>_5><3;p8:=5}:ka?::52e?k56<3:07d:?c;29 6722=:m7c=>4;38?l26;3:1(>?::536?k56<3:07d:>2;29 6722=;>7c=>4;38?l3>290/?<;5649m742=821b954?:%121?023g9:87?4;h74>5<#;8?1:85a30696>=n>80;6)=>5;46?k56<3907d8?:18'743=><1e?<:54:9j1c<72-9:978:;o120?3<3`?n6=4+307920=i;8>1:65f5e83>!56=3<>7c=>4;58?l3d290/?<;5649m742=021b9o4?:%121?023g9:8774;h7b>5<#;8?1:85a3069e>=n=?0;6)=>5;46?k56<3h07d;::18'743=><1e?<:5c:9j57g=83.8=84>2b9m742=821b=?750;&050<6:j1e?<:51:9j55>=83.8=84>169m742=821b==950;&050<69>1e?<:51:9j550=83.8=84>169m742=:21b==;50;&050<69>1e?<:53:9j552=83.8=84>169m742=<21b===50;&050<69>1e?<:55:9j546=83.8=84>169m742=>21b==h50;&050<69>1e?<:57:9j55c=83.8=84>169m742=021b==j50;&050<69>1e?<:59:9j55e=83.8=84>169m742=i21b==l50;&050<69>1e?<:5b:9j55g=83.8=84>169m742=k21b==750;&050<69>1e?<:5d:9j554=83.8=84>169m742=m21b==?50;&050<69>1e?<:5f:9ja3<72-9:97h:;o120?6<3`o>6=4+3079b0=i;8>1=65fe583>!56=3l>7c=>4;08?lc4290/?<;5f49m742=;21bi?4?:%121?`23g9:87:4;hg2>5<#;8?1j85a30691>=nml0;6)=>5;d6?k56<3<07dkk:18'743=n<1e?<:57:9jaf<72-9:97h:;o120?><3`oi6=4+3079b0=i;8>1565fe`83>!56=3l>7c=>4;c8?lc>290/?<;5f49m742=j21bi54?:%121?`23g9:87m4;hg4>5<#;8?1j85a3069`>=nm90;6)=>5;d6?k56<3o07dji:18'743=n<1e?<:5f:9j30<72-9:976>;o120?6<3`=?6=4+3079<4=i;8>1=65f7283>!56=32:7c=>4;08?l1c290/?<;5809m742=;21b;n4?:%121?>63g9:87:4;h5a>5<#;8?14<5a30691>=n?h0;6)=>5;:2?k56<3<07d96:18'743=081e?<:57:9j3=<72-9:976>;o120?><3`=<6=4+3079<4=i;8>1565f7783>!56=32:7c=>4;c8?l15290/?<;5809m742=j21b;<4?:%121?>63g9:87m4;h310?6=,:;>6<<9;o120?6<3`;9?7>5$236>4413g9:87?4;n30e?6=3f;857>5;n305;n302?6=,:;>6<:6;o120?2<3f;897>5$236>42>3g9:87;4;n376?6=,:;>6<:6;o120?0<3f;?=7>5$236>42>3g9:8794;n374?6=3f;8j7>5;n30a?6=3f;8h7>5;n30g?6=,:;>6<:6;o120?e<3f;8n7>5$236>42>3g9:87j4;n300?6=,:;>6<:6;o120?c<3f;8?7>5$236>42>3g9:87h4;n34f?6=3f;5;n3;5?6=3f;3<7>5;n36`?6=3f;>o7>5;n36f?6=3f;>m7>5;n36=?6=,:;>6<8l;o120?2<3f;>47>5$236>40d3g9:87;4;n351?6=,:;>6<8l;o120?0<3f;=87>5$236>40d3g9:8794;n357?6=3f;=>7>5;n355?6=3f;=<7>5;n36b?6=,:;>6<8l;o120?e<3f;>i7>5$236>40d3g9:87j4;n363?6=,:;>6<8l;o120?c<3f;>:7>5$236>40d3g9:87h4;|`731<6280;6=u+38;965d<,;:j61<75rb75e>4<6290;w)=69;132>"58h08<:5+200965b<@8hj7c:<0;0b5>o4=l0;66sm8d595?7=83:p(>76:32a?!47i3;o>6*=13814`=O9kk0b9=?:3c1?j51<3:17pl7eb82>4<729q/?47521`8 76f28n97)<>2;3:1>N6jh1e8>>52`18k6032900qo871;395?6=8r.8544=0c9'65g=9m80(??=:0;7?M7ei2d??=4=a59l732=831vn;mn:082>5<7s-9257=?6:&14d<48>1/><<5a19K5gg:083>5}#;031?=84$32b>6603-8:>77i;I3ae>h3;909m;5f34g94?=zj?i<6<4>:183!5>139;:6*=0`8042=#:881595G1cc8j1572;k<7d=:e;29?xd1k?0:6<4?:1y'7n:224?!46:3387E?ma:l775<5i11b?8k50;9~f=ba28096<4={%1:=?7d>2B84k5U39g95~51;3we8>>52`;8m10>2800c98m:088f10f29096=4?{%1:=??03-9=97:98:J2fd=i<::1>lo4i0a4>5<=n7>52z\72g=:ll4}|`;a5<62<086:u+38;95f0<@:2m7W=7e;0x737=;o10=0:66g;6e82>>o3>k0:66a;6b82>>i3>00:66l;7183>7`=83:p(>76:ec8 6022=<37kll:39'567=:8?0(im52078 f5=90i0(n;52`;8 f0=:;1/8=7518a8 60f283h7)?77;0b=>"601085?5+dc80<0=ik80:56`l0;18j675291/o94;4:&144<41;1C=oo4Z8a95~212td??=4=ab9j74d=831b?8m50;9j7=7=831b?5>50;9j`5<722c8;k4?::k`b?6=3`9>m7>5;hf7>5<_5><3;p8::5}:ka`?6=3`n96=44i27;>5<>o6k90;66g<7e83>>o60?0;66am0;29?jga2900cok50;9lea<722eji7>5;n17`?6=3f9>n7>5;nc`>5<>iej3:17b=>c;29?jd62900c??<:188k6142900c>9=:188k6162900c>9?:188k60a2900c>8j:188k60c2900c>8l:188yg5183:187>50z&0=<<>12d:>k4>;%304?5>:2.99b9'051=90i0Di5810;66a<5183>>{e;?81<7<50;2x 6?>20k0(<=?:2;1?!47=3;2o6F>b`9m066=:ho0e530`891172:8n70:80;12a>;3?908=i5rs54g>5<5sW>=h63<6182g2=z{=;4>;0:o:5rs54`>5<5sW>=o63;718aa>{t7}:<>:1o?52372957c<>7>52z?735"4100j56F<8`9K6dg`}:c89>144=9jk018?<:0ab?8>a<3;hm63;6182gg=:<4ee34>>m7?l9:?;a6<6k0164h:51ba8yv2f03:1mlu24e49eg=:hn1mo526`g9eg=:>hl1mo526c29eg=:>k;1mo526c09eg=:>k91mo526c69eg=:>1n1mo5269g9eg=:>1l1mo526829eg=:>0;1mo526809eg=:>091mo526869eg=:>0n1mo5268g9eg=:>0l1mo526`29eg=:>h;1mo526`09eg=:>h91mo526`69eg=:>kn1mo526cg9eg=:>kl1mo526b29eg=:>j;1mo526b09eg=:>j91mo526b69eg=:0o?1mo528g09eg=:0ll1mo5246f9eg=:<>o1mo5246d9eg=:<1:1mo524939eg=:<181mo524b29eg=:dd<5?:i6ll4=72b>dd<5?:26ll4=465>dd<5<>>6ll4=467>dd<5<>86ll4=461>dd<5<>:6ll4=463>dd<5<9m6ll4=4gb>dd<5dd<5dd<56ll4=4g7>dd<5dd<5dd<5?:n6ll4=4f6>dd<5dd<5?826ll4=70;>dd<5?8<6ll4=705>dd<5?8>6ll4=707>dd<5?886ll4=701>dd<5dd<5==>6ll4=9g2>dd<5?=i6ll4=4gf>dd<5:9>6ll4=21e>dd<5:>:6ll4=260>dd<5:>>6ll4=264>dd<5:>36ll4=26:>dd<5:>j6ll4=26a>dd<5:9=6ll4=214>dd<5:936ll4=21:>dd<5:9j6ll4=21a>dd<5:9h6ll4=21g>dd<5<;26ll4=43a>dd<5<;h6ll4=43g>dd<5<;n6ll4=43e>dd<5<8;6ll4=402>dd<5<896ll4=400>dd<5<;j6ll4=5ff>dd<5=o96ll4=40;>dd<5?:96o84=721>g><56ll4=4`7>dd<5dd<5dd<5dd<5<<;6ll4=441>dd<5<=n6ll4=45g>dd<5<=h6ll4=45a>dd<5<==6ll4=456>dd<5<=?6ll4=453>dd<5<dd<5<3n6ll4=4;g>dd<5<3h6ll4=4;a>dd<5<3=6ll4=4;6>dd<5<3?6ll4=4;3>dd<5<2m6ll4=4c7>g0<5<`5=9jh015k;:0ab?xu?n;0;6iu24e59`3=:0o81???4=57f>4e>342m=7?la:?71<<6k1169<<51b`8907428ii706i4;3`<>;3>90:o45244a95f?<51lj6;?m:0:on528d695fd5ez?7`=273ik4<209>00c=9jh0198=:0a;?8>a93;hn63;5882gd=:=881=n74=430>4e>34>=<7?la:?71f<6kh164ko51bc8913f28ij706j3;3`<>;?m=0:o45rs5f6>5<4s4>o47==1:?;a6<6kh164h:51b:8yv3cj3:19v39ae8g2>;10m0o:6399e8g2>;1jm0o:63:e28064=z{=?m6=46{<4b`?g2347o:;<4a7?g2341o1h;5268g9`3=:>ko1h;525d6977752z?5e`<4:81648:5d79~w0bc290>w08nf;f5?80?n3n=7086f;f5?80en3n=70;j5;115>{t>h=1<7646342>97j9;|q6``<725d79>2<6=l?16:l>5d79>2f6=l?169h853338yv0f03:1>v39b18064=:0<<1h;5rs4fe>5<2s4:202?8>2?3n=7p}:e183>0}:>k81h;526809`3=:>h81h;526b09`3=:=l21???4}r4be?6=:r7=n?4<209><0>=l?1v8k>:18680e;3n=70863;f5?80f;3n=708l3;f5?83b1399=6s|6``94?4|5?h86><>;<:6=?b13ty>i?4?:4y>2g2=l?16:4:5d79>2d2=l?16:n:5d79>1`g=;;;0q~8nc;296~;1j=08><5284c9`3=z{=?i6=46{<4;`?g234<3i7o:;<4;b?g234<2<7o:;<4:5?g234<2>7o:;<4:7?g234<287o:;<66g?57?2wx:5;50;0x93>c2:8:709;b;f5?xu10?0;6?u269g9777<5>>h6i84}r4;3?6=:r7=4k4<209>31b=l?1v;67:18180>8399=6384d8g2>{t>131<764634=?j7j9;|q5<527439`3=z{?2h6=4={<4:0?55927<9?4k6:p00b=833p1;7k:`7893?b2h?01;7i:`7893g72h?01;o>:`7893g52h?01;o<:`7893g32h?019;j:224?xu11<0;6?u268f9777<5>im6i84}r4:2?6=:r7=5h4<209>3a6=l?1v;78:18180>n399=638d08g2>{t>021<764634=o>7j9;|q5=<<72;q6:l?5333892b42m<0q~86a;296~;1i;08><527e69`3=z{?3i6=4={<4b7?559273n=7p};6083><}:>kn1m8526cg9e0=:>kl1m8526b29e0=:>j;1m8526b09e0=:>j91m8526b69e0=:{t>k=1<7646342o57j9;|q5f=<72;q6:n>533389=bf2m<0q~8m9;296~;1k808><528e`9`3=z{?hj6=4={<4`6?559273hn4k6:p2gd=838p1;m<:202?8>cl3n=7p}9bb83>7}:>j>1???4=9ff>a052z?;b0273jl4<069~w=`42909w06i2;f5?8>a<39;;6s|8g294?4|51om6i84=9d2>6603ty8?94?:3y>02b=l?16>?653158yv56n3:14v3;7e8`3>;3?l0h;63;7g8`3>;3090h;63;808`3>;30;0h;63<218042=:<191=n64}r643?6=0r7?;i4<209>776=9jn01?<6:0a:?845i3;h563=2c82g<=::;n1=n74=30`>4e>348947?l8:p76c=838p199j:e48974>2::<7p};7983>2}:<>o1???4=203>4ed348957?l8:?16d<6kh16>?l51bc8974c28ij70<=c;3`e>{t;=:1<7a0<5;8j6>>8;|q73<<72?q68:h53338964728ii70<=a;3`<>;5:k0:oo5223f95fd<5;8h65d79>67d=;9=0q~:8a;291~;30908><5233295fg<5;8i6n4>cb9~w6232909w0:71;f5?845k39;;6s|46`94?2|5=2:6><>;<114?7d1279>i4>ce9>67e=9j20q~=;6;296~;30;0o:63=2e8042=z{==h6=4<{<6;6?559278>=4>c99>67b=9j20q~=<5;297~;3k90o:63<348064=::<:1=n64}r665a49>0f7=i<168no5a49>0fd=i<168nm5a49>0fb=i<168nk5a49>0f`=i<168i>5a49>0a7=i<168n<5a49>0f5=i<168n:5a49>0f3=i<168n85a49>0f1=i<168n65a49>0f?=i<168875315896522h?0q~:ne;296~;3k908><528g595fe54z?7g4279?i4<4b9>60d=:9l01>=i:202?xu3j>0;6?u24b39777<51l3666`=;=i01?;l:32e?8539399=6s|4c:94?4|5=ij6><>;<:e:26`?842l38;j63<428064=z{=h26=4={<6`f?559273j54>c89~w622290?w0:lc;f5?843;39?o63=5d814c=:;=?1???4}r6ae?6=:r7?on4<209>=h4=264>6463ty?no4?:3y>0fb=;;;015h6:0ag?xu4<10;69u24bg9`3=::==1?9m4=343>76a349?47==1:p0ge=838p19mj:202?8>a13;hm6s|35;94?2|5=im6i84=36:>62d348==7j6=4;{<6g4?b1348?n7=;c:?127<58o16?9o53338yv2em3:1>v3;d18064=:0o31=n64}r17f?6=;=521d8962e2:8:7p};bg83>7}:4e>3ty8?;4?:5y>0f4=l?16>?h535a897352;:m70=<6;115>{t646342m;7?ld:p761=83>p19m<:e4897562:>h70<:3;03b>;4;>08><5rs5`3>5<5s4>h?7==1:?;b2<6kh1v>=7:18782d<3n=70<<3;17g>;5==09i=7>52z?7g1<4:8164k951b`8yv5413:18v3;c48g2>;5;<088n52247965`<5:926><>;|q7f7<72;q68n;533389=`028i37p}<3`83>1}:i;<10e?5592wx8o=50;0x91e12:8:706i7;3`=>{t;:h1<7:t=5a4>a0<5;926>:l;<063?47n278?o4<209~w1d32909w0:l7;115>;?n10:on5rs21`>5<3s4>h47j9;<00f?53k279954=0g9>76e=;;;0q~:m5;296~;3k108><528g:95fb53z?7g<279944=0g9>76b=;;;0q~:m6;296~;3k008><528g:95fg5bz?16`;5;m0oh63=3g8g`>;5<80oh63=428g`>;5<<0oh63=468g`>;5<00oh63=4c8g`>;5;5;80oh63=328g`>;5;<0oh63=368g`>;5;00oh63=3c8g`>;3n?0oh63;f58g`>;3n;0oh63;f18g`>;3ml0oh63;eb8g`>;3mh0oh63;e98g`>;3m?0oh63;f`8b3>;3m<0j;637808g`>;?1:0oh637908g`>;?0o0oh6378e8g`>;?0k0oh637888g`>;?0>0oh637848g`>;?0:0oh637968b3>;?090j;638fe8g`>;?8o0oh6370e8g`>;?8k0oh637088g`>;?8>0oh637048g`>;?8:0oh637008g`>;0no0oh637128b3>;0nj0j;638788g`>;00k0oh638888g`>;00>0oh638848g`>;00:0oh638808g`>;0?o0oh6387e8g`>;0?k0oh6388g8b3>;0?10j;639f48g`>;08>0oh638048g`>;08:0oh638008g`>;1no0oh639fe8g`>;1nk0oh639f88g`>;1n>0oh6380c8b3>;1n=0j;639038075=:>981??84=721>64034<;>7==f:?547<39:16:=<5400893652<301;>=:4:893652<=01;>=:67893652>>01;>=:6189365288870;n4;330>;?m90=495rs36e>5<4s489i7=;c:?114<58o16>8>53158yv45m3:1?v3=2d81eg=:::n1m:5224`9e2=z{;=86=4={<065?4fj278?84k6:p66b=839p1?=k:3ca?844n3k<70<:c;c4?xu5?=0;6?u224`96dd<5:9m6i84}r00b?6=;r79?k4=ac9>617=i>16>8j5a69~w7122909w0<:c;0bf>;4<80o:6s|25394?5|5;>:6?om;<077?g0348>i7o8;|q133<72;q6>8j52``896242m<0q~<;3;297~;5<:09mo522579e2=::5<5s48>i72wx>9;50;1x97222;ki70<;7;c4?84183k<7p}=7983>7}::ll4=264>a053z?102<5ik16>975a69>637=i>1v?9n:181841838jn63<498g2>{t:=31<7=t=36:>7ge348?n7o8;<056?g03ty9;o4?:3y>637=:hh01>:6:e48yv43j3:1?v3=4c81eg=::=n1m:522719e2=z{;=h6=4={<056?4fj2788l4k6:p61b=839p1?:k:3ca?845n3k<70<:2;c4?xu5?m0;6?u227196dd<5:>i6i84}r01b?6=;r79>k4=ac9>667=i>16>8=5a69~w71b2909w0<:2;0bf>;4;?0o:6s|22394?5|5;9:6?om;<007?g0348>87o8;|q13c<72;q6>8=52``896502m<0q~<<3;297~;5;:09mo522279e2=::5<5s48>872wx>>;50;1x97522;ki70<<7;c4?842>3k<7p}=8083>7}::ll4=21:>a053z?172<5ik16>>75a69>601=i>1v?6=:181842>38jn63<3`8g2>{t::31<7=t=31:>7ge3488n7o8;<064?:3y>601=:hh01>=m:e48yv4?>3:1>v3=3c81eg=::<31m:5rs3:6>5<5s48>472wx>5950;0x973>2;ki70=j0;6?u247d95f1<51o;698l;|q731<72:q68;k51b5891132:b83>=h6s|50c94?3|5=li6?>i;<6g`?7d127>=l4<209>0`6=9jh018<8:0a`?xu51:0;6?u24g`9e2=:ll4}r0:0?6=:r7?jo4=ac9>14g=l?1v8<<:185837=38;j63;f7800f=:>9n1h;524ef95f><5<886><>;<6f5?7d12wx8k:50;1x90622h=019h9:`5891`32;ki7p}=9383>7}:=9?1>ll4=400>a07>56z?641<58o168k:535a891bc28ii70;=2;115>;3m80:ol5253;95fem>7>53z?641:181837<38jn63:238g2>{t=;;1<78t=420>76a34>m>7=;c:?7`a<6kh169??5333891c628ii70;=9;3`f>{td1<5=l96l94=5d3>7ge3ty95=4?:3y>155=:hh018<>:e48yv3583:19v3:03814c=:4e>34?9<7==1:?66<<6kh1v9kj:180837:3k<70:i0;c4?82bm38jn6s|29g94?4|5<:96?om;<714?b13ty>=k4?:7y>157=:9l019kj:26`?82cl3;ho63:1g8064=:4e>3ty?in4?:2y>157=i>168hk5a69>0`e=:hh0q~<7d;296~;28809mo5250d9`3=z{<;n6=4:{<734?47n27?in4<4b9>0a`=9j2018?j:202?83513;h46s|4dc94?5|5<:;6l94=5g`>d1<5=oj6?om;|q152``8907b2m<0q~;>d;292~;3no0964634>n<7?lc:?662<6k11v9k7:18082an3k<70:ja;c4?82b038jn6s|29`94?4|5=lm6?om;<72`?b13ty>=n4?:7y>0cc=:9l019k7:26`?807j3n=70;>c;115>;3m90:ol5253595fdn:7>53z?7b`{t=8h1<78t=5dg>76a34>n:7=;c:?54d27>=o4<209>0`6=9j3018<8:0ab?xu3m<0;6>u24gf9e2=:52z?7ba<5ik169;3m<088n524g;975152z?7bd<5ik169<75d79~w=cc29099v390e8`3>;18j0h;6390c8`3>;18h0h;639088`3>;2;2<=0h;63:428`3>;2<;0h;63:408`3>;2<90h;63:3g8`3>;1?;0h;639708`3>;1?90h;6396g8`3>;1;h0o:6393`805d=:0ln1>ll4=7:3>4>>34?o;7m8;<7ag?e034?:57=>a:?65g=n4l7:?65a=h4l7:?65c>=4l7:?664>?4l7:?666=l4l7:?7``<49h168h<5c69>17>=k>1v9jm:1820~;18m0j96390b8b1>;18k0j96390`8b1>;1800j963;db8042=:=831m85250`9e0=:=8i1m85250f9e0=:=8o1m85250d9e0=:=;:1m8525339e0=:=;81m8525319e0=:=8k1m8524eg9e0=:>o4>cb9~w3602909w08?c;115>;2:l0:o45rs725>5<2s4<;n7==1:?66`<6kh169?851bc890e628ij70;=c;3`g>{t>9?1<7;t=72b>64634?9i7?lc:?663<6kk169n?51b;8904d28ii7p}:1883>0}:>931h;5250;9777<5=o;6c99~w363290>w08?9;115>;2:l0:oo5253495f?<5k50;0x90212m<018?>:224?xu2:=0;64u25549e0=:==?1m8525569e0=:==91m8525509e0=:==;1m8525529e0=:=:l1m85253c96dd56z?603<4:8169ok51b;8936728i270;>1;3`e>;2l00:o55253c971e52z?60027>==4<069~w052290>w0;;5;115>;2910:o45250395f?<5<;;6m50;0x90232m<018>i:224?xu2;=0;68u25569777<5<;<6c99>1d2=98:0q~;=;4>c89>15c=9j3018>i:0ab?82b<3;ho63:a5824c=z{<9j6=4={<776?b134?;n7=?7:p164=83=p18:=:202?836=3;h563:0d82gd=:=9l1=nl4=5g7>4ec34?;n7?lb:?6e1<68h1v8=6:18183393n=70;?8;133>{t=:;1<78t=462>64634?:87?l9:?64=<6k0169=o51b:891c328i270;n4;33=>{t=:21<7a0<5<:<6>>8;|q675<72>q699>53338907428ih70;?8;3`e>;28h0:ol524d695fd<5<:<6950;0x905a2m<018>9:224?xu2:o0;65u252d9777<5<;96c89>0`2=9jk018>8:0aa?837>3;h463:a58244=z{u25d;9`3=:>;21h;52610953453z?6a=27=>:4k6:?547<6>81v8hm:18083b?3n=708=6;f5?807:3;=<6s|5gc94?5|5a0<5?:96<;i;|q6b<<72:q69h;5d79>272=l?16:=<514g8yv3a03:1?v3:e58g2>;1::0o:639038212=z{7j9;<436?72>2wx9hl50;:x90ce2:8:70::e;3`<>;3>;0:o4528g395f><51l?6c99>4e?34?j:7?l9:?6<6<6k11v8j9:18083c>399=63903874a=:>9818=m4}r7ag?6=mr7>nk4k6:?651<6k1169<;51b:8907128i370;>7;3`<>;2910:o5525cg95f><5?:;6=>4>c99>1`b=9j2018ll:202?83bn3;h463:c182gd=z{>h4>ce9>17d=9j20q~6j8;29a~;18l0o:63;db82g==:=;<1=n64=42g>4e?342n47;28?0:oo5251`95f?<5<8i6c69~w36b290hw08?e;115>;3lj0:o45253495fe<5<:o6h54>c`9>1f6=9j2018>8:0a;?837>3;h563:0c82g==:=;h1=no4=40`>4e>3ty>o94?:3y>1a3=l?169n;53158yv3bk3:1>v3:d`8g2>;2mm08<:5rs4`g>5<5s4<708=7;c6?805>3k>708=5;c6?805<3k>708=3;c6?805:3k>7088c;3`e>{t><>1<7=t=71:>a0<5?<<6i84=774>6603ty3i44?:0:x935>2hh01;=7:``893502hh01;=9:``893522hh01;=;:``893542hh01;==:``893002hh01;89:``893022hh01;8;:``893042hh01;8=:``893062hh01;8?:``893152hh01;9>:``893172hh01;8i:``893102hh01;9n:``8935f2hh015k6:3ca?xu1980;64u262;9e0=:>:21m8526259e0=:>:<1m8526279e0=:>:>1m8526219e0=:>:81m852600975153z?57<<4:8169;1=:08<:5rs713>5<4s4<847==1:?655<6km169l:5e09~w32d2908w08<7;f5?801=3n=708;f;133>{t>;l1<7=t=714>64634?;j7?l8:?6e16}:>:<1???4=42f>4e?34?j87kk;|q501<72:q6:>;5d79>235=l?16:9953158yv05l3:1?v39348064=:=9h1=nj4=4c7>`>53z?57127=:?4k6:?506<48>1v;1i:5rs71`>5<4s4<8?7j9;<455?b134<8h7{t>:h1<7=t=711>a0<5?<;6i84=730>6603ty=>l4?:2y>264=;;;018>9:0a`?83f<3nm7p}95g83>1}:>?=1???4=775>4e?34<<87?ld:?530<6kj1v;;j:187801>399=6395382g==:>4ed3ty=9i4?:5y>233=;;;01;:j:0a;?80293;hh6395382gf=z{??h6=4;{<450?55927=8l4>c99>21b=9jn01;:j:0a`?xu1=k0;69u26719777<5?>=6cb9~w33f290?w0892;115>;1<;0:o55265795fb<5?>=6;1;o0:o55rs77;>5<3s4<=<7==1:?556<6k116:>k51bf8935a28ih7p}91583>7}:>>81h;526079751511y>224=;;;01;?::0a;?80013;h46391282g<=:>881=n74=73:>4e>34<:;7?l9:?55=<6kh16:9=51b:8932028i3708;b;3`<>;1<5??<62;3`e>;1900:o55260595fg<5?;36c`9>213=9j201;:9:0ab?80313;h46394`82gd=:>=n1=n64=76f>4ef34<>=7?l8:?517<6kh16:8;51b:8933128ij70884;3`<>;1?<0:ol5262g95f><5?9m65d79>24>=;9=0q~89c;295=}:>>:1???4=736>4e>34<<57?la:?556<6kk16:<<51b`8937>28ij708>7;3`f>;1910:o45265395f?<5?>96c89>21?=9j301;:n:0a:?803l3;h56394d82g<=:><;1=n74=771>4e>34<>97?l9:?513<6k016:::51b;8931228i2708;1;o0:o45rs74;>5<5s4<=j7j9;<423?57?2wx:;l50;34801n399=6397882gg=:>891=no4=731>4e?34<:57?lb:?552<6k116:<651b:8932628ih708;2;3`f>;1<<0:on5265495fd<5?>26cb9>21c=9jh01;;>:0a`?802:3;hn6395482gf=:><<1=nl4=757>4ed34<<97?lb:?57`<6kj16:>h51b`8yv00;3:1>v39768g2>;1??08<:5rs754>5<4s4<<;7==1:?553<6k016::;51b:8yv0003:1>v397`8g2>;1?008<:5rs75b>5<4s4<v393`8064=:>8<1=n64}r415?6=;r7=>44<209>240=9jk01;9;:0ab?xu1:90;6?u263:9777<5??>67}:>;<1???4=76g>4ef3ty==i4?:3y>273=;;;01;:6:0ab?xu19j0;6?u26369777<5?>>67}:>;81???4=71f>4ef3ty3;h4?:3y><25=lm164:k53158yv>0:3:1>v37728b3>;??;09mo5rs95:>5<5s421v59<:1818>0;38jn637c182g<=z{1<=6=4<{<:4=?7d0273;h4>c`9><31=;9=0q~6me;293~;??00:o45286g95f?<51k96?>i;<::5?53k273on4<209><0c=9j3015m?:0a`?xu?>10;6>u286;95fg<51=n628ii7068e;3`<>;?i:09<>;<:6a?7d0273o<4>c99~w=1c2909w0682;fg?8>0l39;;6s|86394?4|51=96l94=952>7ge3ty3;54?:3y><24=;=i01597:224?xu?>;0;6>u286:95f><51=o6;?i909<>;<:6`?7d1273o<4>cb9~w=032908w0688;3`e>;??m0:oo52877975157z?;3=<6kk164:j51b:89=g62;:m7067f;17g>;?kk08><5284f95fg<51i:6<2e=;9=0q~680;296~;??80j;6377181eg=z{1=<6=4={<:45?53k273;:4<069~w=072908w0687;3`<>;??j0:ol5287f975157z?;32<6k0164:m51b;89=?b2;:m70679;17g>;?k108><5284f95fe<51i:6{t0kh1<79t=954>4ee3422:8:706:d;3`f>;?k80:oo5rs95a>5<5s42<<7jk;<:4f?57?2wx4;h50;0x9=172h=0158i:3ca?xu???0;6?u2862971e<51==6>>8;|q;gc<72:q64:851b:89=1e28ij706k7;115>{t0k21<7;t=955>4e>342d}:0><1=no4=95a>4ee342o47==1:?;26<6kh164;;51b`89=0028ih7069e;3`e>;?>m0:o45287:95fe<51;?0>088n528b59777<51i:6<2`=;9=0q~684;296~;?>o088n52867975154z?;=<<58o164n;533389=3c28io706l0;3`<>{t01;1<7d1<512:6?om;|q;e3<72;q644752``89=e22m<0q~6m5;291~;?1109<>;<:6a?7di273o=4>ce9~w=?42908w0668;c4?8>?93k<70663;0bf>{t0h?1<77ge342h87j9;|q;=4<72:q64l=5a69><<5=i>1644?52``8yv>fn3:1>v37a281eg=:0jn1h;5rs9:e>5<4s42j>7o8;<::5?g03423j7dk3n=7p}78e83>6}:0h;1m:5289d9e2=:01n1>ll4}r:b`?6=:r73m<4=ac9>f83k<7067d;c4?8>?j38jn6s|8`a94?4|51k;6?om;<:`e?b13ty3444?:2y><<`=i>1645l5a69><=?=:hh0q~6nb;296~;?1o09mo528b;9`3=z{12<6=4<{<::a?g0342357o8;<:;3?4fj2wx4lo50;0x9=?b2;ki706l8;f5?xu?0<0;6>u288f9e2=:01=1m:5289796dd52z?;=a<5ik164n95d79~w=>42908w066c;c4?8>?=3k<70673;0bf>{t0h21<77ge342h:7j9;|q;f2<7242:>h706l3;115>;??<0:o45286d95f>53z?;=g4n7:?;<5<5ik1v5o8:1818>>j38jn637c28g2>{t00?1<7=t=9;4>76a3423<7=;c:?;=3<48>1v5o;:1818>>?38jn637c38g2>{t<><1<7;:{<:`1?ge342h87om;<:``?ge342ho7om;<:`f?ge342hm7om;<:`=?ge342h47om;<:`3?ge342h:7om;<:`7?ge342h>7om;<:ga?ge342oh7om;<:gg?ge342on7om;<:ge?ge342o57om;<:gm7om;<:6=?ge342>47om;<:63?ge342>:7om;<:61?ge342>87om;<:67?ge342?m7om;<5a`?ge34=io7om;<5`1?ge34=h87om;<5`7?ge34=h>7om;<5`5?ge34=h<7om;<5ab?ge34=ii7om;<5af?ge34=im7om;<5g2?ge34=o97om;<5g0?ge34=o?7om;<5g6?ge34=o=7om;<5g4?ge34=hj7om;<5`2?ge34=857om;<50>7om;<565?ge34=><7om;<57b?ge34=?i7om;<57`?ge34=?o7om;<57f?ge34=?>7om;<642?4fj2wx48m50;368>d=3i<706l4;a4?8>dl3i<706lc;a4?8>dj3i<706la;a4?8>d13i<706l8;a4?8>d?3i<706l6;a4?8>d;3i<706l2;a4?8>cm3k>706kd;c6?8>ck3k>706kb;c6?8>ci3k>706k9;c6?8>c03k>706k7;c6?8>2l39;;6s|8c694?2|51i96><>;<:41?7d0273;k4>c89><<0=9j20q~6k6;297~;?ll08><5287:95f?<51;?>k0:o55rs9f7>5<3s42oo7==1:?;20<6k1164;951b:89=0>28i27p}7d283>0}:0mh1???4=940>4e?342=97?l9:?;22<6kh164;751b:8yv>c:3:1;v37d`8064=:0?91=n74=946>4ef342=;7?lb:?;2`<6k1164;651b:89=0e28ii7p}7d083>=}:0m31???4=940>4ee342=97?lc:?;22<6km164;k51b;89=0c28i370698;3``>;?>k0:on5rs9a3>5<5s42hi7j9;<:`4?57?2wx4nk50;0x9=eb2:8:708le;3`3>{t?ok1<7ab<5>lj6>>8;|q4a`<72;q6;hh5a69>3`c=:hh0q~9i5;296~;0mo088n527g7975152z?4ac<5ik164>m51b;8yv1b:3:1?v38f482g==:?ok1=no4=6g0>6603ty3?l4?:6y>3c3=9j301:hn:0a:?8>6m38;j6370e800f=:0=21???4=6fb>4e>3428o7?lc:p3`2=839p1:h::0ab?81ai3;hn638e58042=z{19i6=48{<5e1?7dj27c99><4`=:9l015>i:26`?8>31399=638d`82g==:0:n1=n64}r5e=?6=:r71v:kk:18181bm3k<709jd;0bf>{t?o>1<762d34=m87=?7:p3ac=839p1:h;:0a;?81a13;hm638dg8042=z{1936=48{<5e0?7d127c89><4e=:9l015>6:26`?8>3>399=638d882g<=:0:n1=nm4}r5f4?6=;r7c`9>3c?=9jh01:k>:224?xu?;00;6:u27g695fd<5>l26<11=;;;01:j6:0ab?8>4l3;hh6s|7g:94?4|5>oo6ij4=6d;>6603ty3`b=i>16;hm52``8yv1a;3:1>v38ee800f=:?o91?=94}r5gg?6=;r74>c99>3c>=9jk01:k6:224?xu?;?0;6:u27g195f?<5>l36<12=;;;01:j6:0a`?8>4l3;hm6s|7ef94?5|5>l86;0n10:o55280`965`<51:<6>:l;<:71?55927cc9><6b=9jh0q~9i7;296~;0mj0oh638f68042=z{>oi6=4={<5fg?g034=nn7l964<209~w=53290>w09i2;3`=>;0n>0:o45280:965`<51::6>:l;<:76?5592wx49m50;cx92`528ij709i7;3`f>;?==08><527ed95fg<5>o:6c`9>3`?=9j301:k;:0a`?81b?3;hh6s|82794?0|5>l96<55=;=i015:<:202?8>4l3;h56s|7g494?4|5>oi6ij4=6da>6603ty3`d=;=i01:h>:224?xu?;;0;69u2807965`<51>:6><>;<5g=?7dl273?n4>c99~w2`c2909w06>5;c4?81al38jn6s|83094?4|51;>6?om;<:75?b13ty3?<4?:4y><42=:9l01:hk:26`?8>38399=638d`82gd=:0:i1=nj4}r:3b?6=;r73=94n7:?4ba4;0bf>;?<90o:6s|81f94?5|51;m6l94=92e>d1<51:o6?om;|q;6g<72;q642m<0q~6?b;297~;?9l0j;6370e8b3>;?8k09mo5rs90b>5<5s42:i72wx4=750;1x9=7c2h=015>m:`589=6>2;ki7p}72883>7}:08n1>ll4=964>a053z?;5f6k38jn637478g2>{t09?1<7=t=93a>d1<51:<6l94=926>7ge3ty3>:4?:3y><4d=:hh015:::e48yv>7;3:1?v371`8b3>;?8<0j;6370281eg=z{18=6=4={<:2e?4fj273894k6:p<57=839p15?6:`589=642h=015>>:3ca?xu?:<0;6?u280;96dd<51>86i84}r5eb?6=;r73=54n7:?;448;0bf>;?<;0o:6s|82194?3|51;<6?>i;<5eb?53k273?k4<209>3c7=9j301:hm:0a;?xu0nj0;6>u28059e2=:?ol1m:527ga96dd52z?;52<5ik164>h5d79~w=762908w06>3;03b>;0nj088n52800975152z?;56<5ik164>k5d79~w2b?290:9v37408`3>;?<90h;637488`3>;?<10h;637468`3>;?;?<=0h;637428`3>;?<;0h;6373g8`3>;?;l0h;6375`8b1>;?=00j9637598b1>;?=>0j9637578b1>;?=<0j9637558b1>;?=:0j9638d88042=z{19;6=4;{<:0a?55927c99>3cd=9j3015?=:0a;?xu?=;0;6>u284c9777<5>o?62:8:709j3;3`=>;0m=0:ol527d595f><7>54z?;1=<4:816;h?51b:892c428i3709j5;3`=>{t0=l1<7;t=974>64634=oj7?l8:?4a4<6k016;h=51bc892c228i37p}74d83>2}:0<<1???4=6fe>4e>34=n=7?la:?4a6<6kk16;ho51b:892c328i3709j7;3`f>{t0=n1<76t=976>64634=oj7?lb:?4a4<6kj16;h=51bf892cf28i2709j9;3`<>;0m=0:oi527d595fe52z?;0d273?n4<069~w=2f2909w06;a;115>;1km0:o:5rs655>5<5s4==n7jk;<542?57?2wx;;o50;0x920e2h=01:8n:3ca?xu0?80;6?u277`971e<5>=:6>>8;|q42g<72;q6;;l52``892d?28i27p}85d83>6}:?>;1=n64=655>4ef34=>j7=?7:p3g0=83=p1:9>:0a:?810>3;h56389`814c=:?131?9m4=6a7>64634=>:7?l9:?4f=<6kj1v:8?:18081093;hm6387782gg=:??:1?=94}r5a3?6=?r7<;<4>cc9>320=9j201:7m:32e?81?j39?o638c48064=:?<<1=n64=6`:>4e?3ty<;84?:3y>33g=lm16;:;53158yv1113:1>v386`8b3>;0>009mo5rs653>5<5s4==m7=;c:?435<48>1v:;n:18081083;h46387482gd=:?c89>323=9j301:77:32e?81?=39?o638c38064=:?4ed3ty<9n4?:2y>326=9jk01:9::0aa?812l39;;6s|7c794?1|5>=;63=1=;=i01:m<:202?812=3;hm638b882ga=z{>=?6=4={<55=?bc34=<87=?7:p33>=838p1:86:`58920?2;ki7p}86g83>7}:??31?9m4=64e>6603ty<954?:2y>33`=9j201:9;:0ab?811=39;;6s|7c094?1|5>3=7=;=i01:m?:202?812=3;ho638b882gd=z{>?26=4<{<55b?7di27<;94>cc9>330=;9=0q~9m3;293~;0>o0:oo5276695f><5>3<6?>i;<5;7?53k27303=9jh01:l6:0aa?xu0?:0;6?u277:9`a=:?>91?=94}r553?6=:r7<:54n7:?422<5ik1v:8j:181811039?o6386d8042=z{>i<6=4<{<55a?7d027<;>4>c`9>3f`=;;;0q~9m0;291~;0>l0:o45276195f?<5>3?6?>i;<54`?53k27;0?:0:oo527e29777<5>?i6cb9>330=9jk01:8::0a:?81183;ho6386282ga=z{>h:6=49{<55a?7dj27<;>4>c99>3<3=:9l01:9i:26`?81en399=638b882g<=z{>=96=4={<553?bc34=<;7=?7:p33e=838p1:88:26`?811l39;;6s|7`g94?2|5>3:6?>i;<5a`?55927<984>ce9>3g>=9j20q~989;296~;0180j;6387881eg=z{>3n6=4={<5:5?4fj274ec3ty<4o4?:2y>3<6=i>16;:75a69>3=d=:hh0q~96d;296~;01909mo527ca9`3=z{>226=4<{<5:f?g034=3n7o8;<5;=?4fj2wx;l950;0x92?e2;ki709l5;f5?xu00>0;6>u278c9e2=:?131m:5279596dd52z?4=d<5ik16;n:5d79~w2>22908w0969;c4?81??3k<70975;0bf>{t?h?1<77ge34=h?7j9;|q4<6<72:q6;465a69>3=3=i>16;5=52``8yv1f<3:1>v389981eg=:?j81h;5rs6:2>5<4s4=2;7o8;<5;7?g034=3=76}:?0<1m:527939e2=:?>l1>ll4}r5b6?6=:r7<5;4=ac9>3f6=l?1v:9k:18081>=3k<7098f;c4?810l38jn6s|7`394?4|5>3>6?om;<5ab?b13ty<;o4?:2y>3<2=i>16;:j5a69>32d=:hh0q~9n0;296~;01=09mo527cg9`3=z{>km6=4:{<5:7?47n27<;o4<4b9>3gd=;;;01:8k:0a:?810?3;h46s|76:94?5|5>386l94=65a>d1<5>=36?om;|q4=c<72;q6;4=52``892de2m<0q~97d;297~;00o092n6>>8;|q4=f<72;q6;5h52``892df2m<0q~9:4;2950}:?kn1o:527ca9g2=:?j?1o:527b69g2=:?j91o:527b09g2=:?j;1o:527b29g2=:?kl1o:527cg9g2=:?kh1o:527cc9g2=:?m<1m8527e79e0=:?m>1m8527e19e0=:?m81m8527e39e0=:?m:1m8527bd9e0=:?33b=9j201:98:0a:?81?m3;h46s|7bg94?5|5>n=6><>;<554?7d127<:>4>c`9~w2ec290?w09k5;115>;0=o0:o45277295fg<5><86;0>80:o45rs6aa>5<2s4=o?7==1:?41g<6k116;8j51b;8923a28ij70991;3`<>{t?jk1<79t=6f1>64634=>n7?l9:?41a<6kh16;8h51b`8920128i370990;3`<>;0>:0:oo5rs6a:>5;0><0:o55277295fb<5><863g>=;9=0q~9l6;296~;0k?08><526ba95f17>52z?5a238jn6s|6df94?4|5?o<6>:l;<4f`?57?2wx:h950;0x93c02;ki709<4;3`=>{t>mk1<7=t=7gg>4e?347?la:?5`g<48>1v:==:18480bl3;h5639f382g<=:?8<1>=h4=626>62d34=?<7==1:?5`7<6k016;>:51ba8yv0ck3:1?v39ee82gd=:>o81=nl4=7f`>6603ty4?:6y>2`b=9jh01;h=:0a;?816?38;j63806800f=:?=;1???4=7f1>4e?34=897?l8:p2c7=838p1;k9:ef893`62::<7p}9e483>7}:>l<1m:526d796dd52z?5a3<43:1?v39eb82g==:>o;1=no4=7f4>6603ty2`e=9j301;h>:0a:?816<38;j63800800f=:?:o1???4=7f2>4e>34=897?lc:p2a>=839p1;kl:0ab?80a93;hn639d88042=z{>9:6=48{<4fg?7dj27=j<4>c99>343=:9l01:><:26`?814n399=639d082gd=:?:?1=nj4}r4e4?6=:r7=i84kd:?5b5<48>1v;k;:18180b=3k<708j4;0bf>{t>lh1<762d348n6=48{<4ff?7d127=j=4>c89>344=:9l01;hk:26`?814k399=639d082gf=:?:?1=no4}r4g1?6=;r7=io4>c`9>2c6=9jh01;k=:224?xu0:o0;6:u26d`95fd<5?l;636b=;;;01;j>:0aa?814=3;hn6s|6dd94?4|5?o?6ij4=7ge>6603ty=i>4?:3y>2`2=i>16:h=52``8yv0bi3:1>v39e5800f=:>lk1?=94}r577?6=;r7=il4>c99>2``=9jk01::m:202?xu0:j0;68u26dc95f?<5?om636g=;;;0q~9;4;29e~;1mh0:ol526dd95fd<5>>h6><>;<4g3?7di27=h44>cc9>2ad=9ji01;k=:0ab?80b93;h5639db82gf=:>ml1=nj4}r51`?6=>r7=il4>cc9>2``=9j201:?>:32e?80aj39?o6383c8064=:?:?1=n74}r4fa?6=:r7=i>4kd:?5b6<48>1v;k7:18180b;39?o639e88042=z{>8j6=4;{<53`?47n272a7=9jn01:=;:0a;?xu1n<0;6?u271f9e2=:>o?1>ll4}r52e?6=:r7<36?=l?1v:<6:186817k38;j639f4800f=:?:21???4=7f1>4ef34=887?ld:p351=839p1:>l:`5893`22h=01:>8:3ca?xu0900;6?u271a96dd<5>936i84}r531?6=;r7<=:4n7:?4427;0bf>;0<80o:6s|71194?5|5>;=6l94=626>d1<5>:86?om;|q467<72;q6;<852``892272m<0q~9?1;297~;09<0j;638028b3>;08809mo5rs602>5<5s4=:972wx:kh50;1x92732h=01:>>:`5893`a2;ki7p}82183>7}:?8>1>ll4=61f>a053z?456{t>oh1<7=t=631>d1<5?lo6l94=7da>7ge3ty<=h4?:3y>344=:hh01:=l:e48yv0a13:1?v38108b3>;1nk0j;639f881eg=z{>;o6=4={<525?4fj272h=01;h8:3ca?xu09j0;6?u270296dd<5>9j6i84}r51f?6==r7<2c1=;=i01:=8:202?80b13;h5639f282g==z{?l?6=4<{<53b?g034u271`965`<5?l?6>:l;<53e?57?2wx;<650;0x926e2;ki709<6;f5?xu1l90;6<;t=61:>f1<5>936n94=662>f1<5>>;6n94=61e>f1<5>9n6n94=61g>f1<5>9h6n94=61a>f1<5>9j6n94=614>f1<5>9=6n94=671>d3<5>?:6l;4=673>d3<5>>m6l;4=66f>d3<5>>o6l;4=66`>d3<5>>i6l;4=7f2>6603ty<>54?:5y>360=;;;01;k6:0a;?80a;3;h56380`82g==z{>>j6=4<{<566?55927=hn4>c89>2a`=9jk0q~9;9;290~;0=808><526e`95f?<5?nh6;1lk0:o5526ef95f?55z?40c<4:816:i951b:893b>28i2708kb;3`e>;1lm0:o55rs665>5<0s4=?i7==1:?5`2<6k016:i751bc893be28ii708j2;3`<>;1lj0:o5526ed95fd58z?40a<4:816:i951b`893b>28ih708kb;3``>;1m;0:o4526d395f><5?nh6:50;0x92252m<01:=;:224?xu0<;0;6?u27509777<5?ii630q~;i3;296~;29<08<:5261093d=z{7}:=821?=94=721>2b54z?545<6kh169hj51b;890cb2:8:70;jf;3`e>{t0l;1<7;t=723>4ee34?nh7?la:?;a4<4:8169hh51b;89=c428io7p}:fg83>7}:>9:1?=94=721>4>c3ty>j=4?:3y>144=;9=01;>=:658yv3a93:1>v3:128042=:>981;55rs4a0>5<5s4?h97?l8:?626<4:81v8m=:18083d=3;h563:618064=:=<>1=n64}r73g?6==r7><54>cb9>15c=9ji018>i:0ag?83693;hn63:0e8042=z{=nn6=4={<71a?7d027?hh4<209~w04c2908w0;=e;133>;2l10:o4525b295fb52z?663<48>169i651bf8yv3713:18v3:0d82gg=:=9l1=nm4=42b>66034?;n7?lc:p0`5=839p18?>:0a`?82b<39;;63:1182gf=z{=o96=4n{<73`?7d127?i?4<209>146=9jk018j6:0a:?83d83;ho63:0682gd=:=9<1=no4=42a>4ef34?9n7?ld:?66f<6kh1v;9m:18a80013;hh6394082gg=:>=?1=nl4=76:>4ee34;1?j0:o55262g95fd7>52z?556<6km16:5<52``8yv07n3:1>v39178042=:>1:1=n94}r:e3?6=:r73j:4<069>52z?;b<<48>164kl51b;8yv4>=3:1?v3;dg8042=:4e?3ty95;4?:3y>0ab=;9=019jj:e48yv0393:1>v39408042=:>=91=n74}r476?6=:r7=8?4<069>215=9jk0q~8;5;296~;1<<08<:5265595f?52z?503<48>16:9951bc8yv0313:1>v39488042=:>=h1=n74}r47e?6=:r7=8l4<069>21d=9jk0q~8;d;296~;152z?50`<48>16:9h51bc8yv0293:1>v39508042=:><91=n74}r466?6=:r7=9?4<069>205=9jk0q~8:5;296~;1=<08<:5264595f?:7>52z?513<48>16:8951bc8yv00<3:1>v39758042=:>><1=n74}r441?6=:r7=;84<069>220=9jk0q~<9e;297~;?>008<:5287:95fd<517}:0?h1?=94=9a3>4ef3ty9::4?:2y>3`3=;9=01:k;:0aa?81b?3;h56s|27`94?4|5>nj6>>8;<5g=?7d02wx;h850;0x92c02::<706{t:?n1<7=t=642>66034==<7?lb:?426<6k01v?8i:181812>39;;6385482g==z{><96=4={<557?57?27c`9~w7172908w08kd;133>;1lj0:oo526ed95f?52z?5`7<48>16:i?51b:8yv0cm3:1>v39dg8042=:?:>1=no4}r:f3?6=;r73i54>c69><`1=;?>0R5k8;|q;af<72:q64hj51b589=cd2:;1kh089h5Q6bc8yv0d03:1?v39ce81eg=:>j21?8k4^7a;?xu1k>0;6>u26ba96dd<5?i<6>;j;_4`3>{t>j<1<7=t=7aa>7ge3452z?6`227>h54<069~w0b0290?w0;k7;115>;2l10:o55259595f><51f6=;9=0q~:73;296~;3?<0o:63;828042=z{==>6=4<{<641?55927?4>4>c89>020=9j=0q~6j2;296~;?m80o:637e381eg=z{?=h6=4={<44f?b134<44|5:9m6l;4=262>d3<5:>86l;4=266>d3<5:><6l;4=26;>d3<5:>26l;4=26b>d3<5:>i6l;4=215>d3<5:9<6l;4=21;>d3<5:926l;4=21b>d3<5:9i6l;4=21`>d3<5:9o6l;4=57b>6603ty95:4?:3y>0`4=l?168h?53158yv4>03:1>v3;e18042=:h44<069>1a>=9ji0q~<6b;296~;2:10o:63:268042=z{<836=4={<71>o4>cc9~w7?d2909w0;l1;133>;2k90:oo5rs3;g>5<5s4?957=?7:?662<6km1v?7j:1818>aj39;;637f`82ga=z{;3m6=4={<:`5?57?273o=4>cc9~w7g72909w06;?;j0:oo5rs3c2>5<5s4=i57=?7:?4f=<6kk1v?o=:181814=39;;6383582gg=z{;k86=4={<:f6?7d0273i>4<069~w7g32909w06j2;3`=>;?m=08<:5rs3c6>5<5s4<8h7?l8:?57`<48>1v?87:181804l3;h56393g8042=z{;<26=4={<71e?7d027>>o4<069~w70f2909w0;=a;3`=>;2:j08<:5rs4aa>5<5s4<;>78>;<7b0?7202wx9no50;0x93652?:018o;:046?xu2k00;6?u261091c=:=h>1=;:4}r7`{t=m>1<70e<51d2=9<<0q~;k2;296~;18;0no63:a58273=z{=:dc890g328>97p}:cg83>7}:>981i4525`6951752z?547m94>3b9~w0ec2909w08?2;g4?83f<3;8n6s|5ba94?4|5?:96h>4=4c7>4533ty>o;4?:3y>254=lo169l:51218yv3e<3:1?v3:b48g2>;2j=08><525c19e0=z{6=4={<7a1?55927>n:4>c89~w0d42908w0;m4;f5?83e;399=63:b682g==z{<>26=4=ez?6f627>mh4k6:?6ea27>n?4k6:?6f427>m94<279>1d2=;;=018o;:20e?83f<3?270;n4;7;?83f<3?<70;n4;42?83f<3?h70;n4;7a?83f<3?j70;n4;75?83f<3?>70;n4;31e>;2i=0:>4525`6955><58;<7b0?77>27>m94>049>1d2=99o018o;:02g?83f<3;;o63:a5824g=:=h>1i;525`69a0=:=h>1i9525`69a6=:=h>1in525`69ag=:=h>1il525`69a<=:=h>1;8525`6931=:=h>1;>525`693a=:=h>1;5525`6932=:=h>1;;525`6937=:=h>1;<525`69572<5;2j808=l525c597515dz?6e`<4:8169;=530c890072:;j70;92;12e>;2?l0h;63:7e8`3>;2?j0h;63:7c8`3>;2??0h;63:748`3>;2?=0h;63:718`3>;2>o0h;6s|5``94?5|5<>;<7b2?7di27>m94<319~w0d7290jw0;m2;115>;21l0h;63:9e8`3>;21j0h;63:9c8`3>;21?0h;63:948`3>;21=0h;63:918`3>;20o0h;6s|5`d94?4|5<>;<7;2?e03ty>:<4?:2y>135=l?169;<5d79>10c=;9=0q~;:f;296~;2>90o:63:558042=z{<<96=4:{<756?55927>:94>c89>1d0=9j2018;j:0a;?83?;3;hn6s|56194?2|5<=n6i84=455>64634?>:7?l8:?61<<6k11v8o8:18g830m3k>70;8d;c6?830k3k>70;8b;c6?830>3k>70;85;c6?830<3k>70;80;c6?831n3k>70;94;133>;20=0:oo5254695fg<5;20;0:o4525`693f=z{<=96=4;{<74`?b134?<97==1:?613<6k01698751b;8yv3013:19v3:7e8064=:=4e>34?3?7?lc:?6e1<0j2wx9:?50;6x901d2m<0189;:202?832?3;h563:5`82gd=z{<=36=46{<74g?55927>9o4>ce9>10b=9j3018;::0ab?83?;3;hh63:6`82g<=:=?31=n74=4c:>4e?34?j879n;|q620<72;q69:l5d79>130=;9=0q~;87;29f~;2?k08><5254a95fe<59:4>cc9>10g=9jn0186=:0ab?831i3;hm63:6882gd=:=?<1=n64=4c7>2?54z?63327>;=4<209>103=9j20188n:0a;?xu2>k0;68u25679`3=:=?l1???4=470>4e?34?=m7?lb:?62<<6k11v888:181830<3n=70;n9;133>{t=?o1<7a0<5<>8;|q62a<72;q69;h5d79>13?=;9=0q~;75;296~;20?0o:63:858042=z{<2=6=4;{<7;2?55927>4:4>c89>1=2=9j2018;n:0a:?xu21:0;6>u258g9`3=:=0<1???4=4:1>4e?3ty>m54?:00x90?b2h?0187k:`7890?d2h?0187m:`7890?12h?0187::`7890?32h?0187?:`7890>a2h?01868:224?832m3;hn63:5582gg=:=181=nj4=4c7>4>d34?j87:?d:?6e1<38j169l:5401890g32=;97p}:9`83>2}:=0o1???4=47a>4ef34?>o7?la:?610<6k01698851bc8903>28ij70;n4;43?xu21;0;6>u258f9`3=:=0?1???4=4:0>4ef3ty>544?:6y>14ee34?j87;i;|q6=4<72:q694m5d79>1<2=;;;0186<:0a:?xu2110;6lu258a9777<5984>cc9>101=9j2018;n:0aa?83?i3;h563:8882g<=:=k21=n64=4c7>0c52z?6=g27>m=4<069~w0?0290iw0;6b;115>;2=j0:oi5254f95fg<569l4>cb9>1=4=9jh0186n:0ab?83?13;hm63:a182g==:=h>19i5rs4:`>5<4s4?2:7j9;<7:4?55927>4l4>c99~w0>e290?w0;65;f5?83?n399=63:8`82gg=:=131=n64}r7b5?6=:r7>594k6:?6f=<48>1v86j:18183>83n=70;7a;133>{t=1n1<7a0<5<226>>8;|q6e0<72;q69l85315890g3282o7p}:5883>6}:=4ed34?387?lc:p10d=839p18;l:224?832m3;h563:8582g<=z{9h4>c`9>1=2=9jk0q~;:8;296~;2=l0:oi5254;9751>7>52z?616<48>1698:51b;8yv3?93:1>v3:8582ga=:=181?=94}r77g?6=:r7>994>cb9>103=;9=0q~;;d;296~;2==0:oi52544975152z?612<48>1698851ba8yv33i3:1>v3:5`8042=:=<31=nj4}r77f?6=:r7>4>4<069>1=4=9ji0q~:99;296~;?lo0?:4528d2903?=n7>52z?;`c<3>k164h>547`8yxh5i?91<7?tn513>5=zf;k=87>51zl775<63td9m;;50;3xj1572;1vb?o96;295~h3;9087p`=a7594?7|f=9;695rn3c5==zf;k=o7>51zl775<>3td9m;j50;3xj1572h1vb?o9e;295~h3;90i7p`=a7d94?7|f=9;6n5rn3c44?6=9rd??=4k;|l1e27=83;pb9=?:d9~j7g0:3:1=v`;318e?xh5i>91<7?tn513>466sa2`55>5<6sg>8<7?<;|l1e21=83;pb9=?:068yk4f?10;651zl775<6>2we>l9n:182k2483;<7p`=a6`94?7|f=9;6<64}o0b3f<728qe8>>5189~j7g0l3:1=v`;3182e>{i:h=n6=4>{o604?7e3td9m:h50;3xj15728i0qc4}i<::1=i5rn3c;5?6=9rd??=4>e:m6d>5290:wc:<0;3e?xh5i191<7?tn513>766sa2`:5>5<6sg>8<7<<;|l1e=1=83;pb9=?:368yk4f010;651zl775<5>2we>l6n:182k24838<7p`=a9`94?7|f=9;6?64}o0b>5289~j7g?l3:1=v`;3181e>{i:h2n6=4>{o604?4e3td9m5h50;3xj1572;i0qc4}i<::1>i5rn3c:5?6=9rd??=4=e:m6d?5290:wc:<0;0e?xh5i091<7?tn513>666sa2`;5>5<6sg>8<7=<;|l1e<1=83;pb9=?:268yk4f110;651zl775<4>2we>l7n:182k24839<7p`=a8`94?7|f=9;6>64}o0b=f<728qe8>>5389~j7g>l3:1=v`;3180e>{i:h3n6=4>{o604?5e3td9m4h50;3xj1572:i0qc4}i<::1?i5rn3cb5?6=9rd??=4166sa2`c5>5<6sg>8<7:<;|l1ed1=83;pb9=?:568yk4fi10;651zl775<3>2we>lon:182k2483><7p`=a``94?7|f=9;6964}o0bef<728qe8>>5489~j7gfl3:1=v`;3187e>{i:hkn6=4>{o604?2e3td9mlh50;3xj1572=i0qc4}i<::18i5rn3ca5?6=9rd??=4;e:m6dd5290:wc:<0;6e?xh5ik91<7?tn513>06>6sa2``5>5<6sg>8<7;<;|l1eg1=83;pb9=?:468yk4fj10;651zl775<2>2we>lln:182k2483?<7p`=ac`94?7|f=9;6864}o0bff<728qe8>>5589~j7gel3:1=v`;3186e>{i:hhn6=4>{o604?3e3td9moh50;3xj15724}i<::19i5rn3c`5?6=9rd??=4:e:m6de5290:wc:<0;7e?xh5ij91<7?tn513>3681vb?ol5;295~h3;90=>6sa2`a5>5<6sg>8<78<;|l1ef1=83;pb9=?:768yk4fk10;651zl775<1>2we>lmn:182k2483<<7p`=ab`94?7|f:;26<5a42292==zf;kho7>52zl05<<73g>8<786;|l1efb=83;pb9=?:7c8yk4fkl0;651zl775<1k2we>lj?:182k2483>56g9~j7gc;3:1=v`;31844>{i:hn?6=4>{o604?163td9mi;50;3xj1572>80qc4}i<::1;>5rn3cg3?6=9rd??=484:m6db?290:wc:<0;56?xh5im31<7?tn513>201vb?okb;295~h3;90<46sa2`f`>5<6sg>8<796;|l1eab=83;pb9=?:6c8yk4fll0;651zl775<0k2we>lk?:182k2483=o7p`=ad394?7|f=9;6:k4}o0ba7<728qe8>>57g9~j7gb;3:1=v`;318;4>{i:ho?6=4>{o604?>63td9mh;50;3xj1572180qc4}i<::14>5rn3cf3?6=9rd??=474:m6dc?290:wc:<0;:6?xh5il31<7?tn513>=01vb?ojb;295~h3;90346sa2`g`>5<6sg>8<766;|l1e`b=83;pb9=?:9c8yk4fml0;651zl775lh?:182k24832o7p`=ag394?4|f=9;65k4}o0bb7<728qe8>>58g9~j7ga;3:1>v`;318:4>{i:hl?6=4>{o604??63td9mk;50;3xj1572080qc4}i<::15>5rn3ce3?6=9rd??=464:m6d`?290:wc:<0;;6?xh5io31<7?tn513><01vb?oib;295~h3;90246sa2`d`>5<6sg>8<776;|l1ecb=83;pb9=?:8c8yk4fnl0;651zl775<>k2we>o>?:182k24833o7p`=b1394?7|f=9;64k4}o0a47<728qe8>>59g9~j7d7;3:1=v`;318b4>{i:k:?6=4>{o604?g63td9n=;50;3xj1572h80qc4}i<::1m>5rn3`33?6=9rd??=4n4:m6g6?290:wc:<0;c6?xh5j931<7?tn513>d01vb?l?b;295~h3;90j46sa2c2`>5<6sg>8<7o6;|l1f5b=83;pb9=?:`c8yk4e8l0;651zl775o??:182k2483ko7p`=b0394?7|f=9;6lk4}o0a57<728qe8>>5ag9~j7d6;3:1=v`;318a4>{i:k;?6=4>{o604?d63td9n<;50;3xj1572k80qc4}i<::1n>5rn3`23?6=9rd??=4m4:m6g7?290:wc:<0;`6?xh5j831<7?tn513>g01vb?l>b;295~h3;90i46sa2c3`>5<6sg>8<7l6;|l1f4b=83;pb9=?:cc8yk4e9l0;651zl775o>5bg9~j7d5;3:1=v`;318`4>{i:k8?6=4>{o604?e63td9n?;50;3xj1572j80qc4}i<::1o>5rn3`13?6=9rd??=4l4:m6g4?290:wc:<0;a6?xh5j;31<7?tn513>f0l4?:0ym066=k>1vb?l=b;295~h3;90h46sa2c0`>5<6sg>8<7m6;|l1f7b=83;pb9=?:bc8yk4e:l0;651zl775o=?:182k2483io7p`=b2394?7|f=9;6nk4}o0a77<728qe8>>5cg9~j7d4;3:1=v`;318g4>{i:k9?6=4>{o604?b63td9n>;50;3xj1572m80qc4}i<::1h>5rn3`03?6=9rd??=4k4:m6g5?290:wc:<0;f6?xh5j:31<7?tn513>a01vb?l5<6sg>8<7j6;|l1f6b=83;pb9=?:ec8yk4e;l0;651zl775o:?:182k2483no7p`=b5394?7|f=9;6ik4}o0a07<728qe8>>5dg9~j7d3;3:1=v`;318f4>{i:k>?6=4>{o604?c63td9n9;50;3xj1572l80qc4}i<::1i>5rn3`73?6=9rd??=4j4:m6g2?290:wc:<0;g6?xh5j=31<7?tn513>`01vb?l;b;295~h3;90n46sa2c6`>5<6sg>8<7k6;|l1f1b=83;pb9=?:dc8yk4e51zl775o;?:182k2483oo7p`=b4394?7|f=9;6hk4}o0a17<728qe8>>5eg9~j7d2;3:1=v`;318e4>{i:k??6=4>{o604?`63td9n8;50;3xj1572o80qc4}i<::1j>5rn3`63?6=9rd??=4i4:m6g3?290:wc:<0;d6?xh5j<31<7?tn513>c01vb?l:b;295~h3;90m46sa2c7`>5<6sg>8<7h6;|l1f0b=83;pb9=?:gc8yk4e=l0;6j7>51zl775o8?:182k2483lo7p`=b7394?7|f=9;6kk4}o0a27<728qe8>>5fg9~j7d1;3:1=v`;318245=zf;h=87>51zl775<6881vb?l95;295~h3;90:029~j7d1?3:1=v`;318241=zf;h=47>51zl775<68<1vb?l99;295~h3;90:<;5rn3`5e?6=9rd??=4>069~j7d1j3:1=v`;31824==zf;h=o7>51zl775<6801vb?l9d;295~h3;90:0c9~j7d1n3:1=v`;31824f=zf;h<<7>51zl775<68m1vb?l81;295~h3;90:0g9~j7d0;3:1=v`;318255=zf;h<87>51zl775<6981vb?l85;295~h3;90:=?5rn3`42?6=9rd??=4>129~j7d0?3:1=v`;318251=zf;h<47>51zl775<69<1vb?l89;295~h3;90:=;5rn3`4e?6=9rd??=4>169~j7d0j3:1=v`;31825==zf;h51zl775<6901vb?l8d;295~h3;90:=l5rn3`4a?6=9rd??=4>1c9~j7d0n3:1=v`;31825f=zf;h3<7>51zl775<69m1vb?l71;295~h3;90:=h5rn3`;6?6=9rd??=4>1g9~j7d?;3:1=v`;318265=zf;h387>51zl775<6:81vb?l75;295~h3;90:>?5rn3`;2?6=9rd??=4>229~j7d??3:1=v`;318261=zf;h347>51zl775<6:<1vb?l79;295~h3;90:>;5rn3`;e?6=9rd??=4>269~j7d?j3:1=v`;31826==zf;h3o7>51zl775<6:01vb?l7d;295~h3;90:>l5rn3`;a?6=9rd??=4>2c9~j7d?n3:1=v`;31826f=zf;h2<7>51zl775<6:m1vb?l61;295~h3;90:>h5rn3`:6?6=9rd??=4>2g9~j7d>;3:1=v`;318275=zf;h287>51zl775<6;81vb?l65;295~h3;90:??5rn3`:2?6=9rd??=4>329~j7d>?3:1=v`;318271=zf;h247>51zl775<6;<1vb?l69;295~h3;90:?;5rn3`:e?6=9rd??=4>369~j7d>j3:1=v`;31827==zf;h2o7>51zl775<6;01vb?l6d;295~h3;90:?l5rn3`:a?6=9rd??=4>3c9~j7d>n3:1=v`;31827f=zf;hj<7>51zl775<6;m1vb?ln1;295~h3;90:?h5rn3`b6?6=9rd??=4>3g9~j7df;3:1=v`;318205=zf;hj87>51zl775<6<81vb?ln5;295~h3;90:8?5rn3`b2?6=9rd??=4>429~j7df?3:1=v`;318201=zf;hj47>51zl775<6<<1vb?ln9;295~h3;90:8;5rn3`be?6=9rd??=4>469~j7dfj3:1=v`;31820==zf;hjo7>51zl775<6<01vb?lnd;295~h3;90:8l5rn3`ba?6=9rd??=4>4c9~j7dfn3:1=v`;31820f=zf;hi<7>51zl775<64g9~j7de;3:1=v`;318215=zf;hi87>51zl775<6=81vb?lm5;295~h3;90:9?5rn3`a2?6=9rd??=4>529~j7de?3:1=v`;318211=zf;hi47>51zl775<6=<1vb?lm9;295~h3;90:9;5rn3`ae?6=9rd??=4>569~j7dej3:1=v`;31821==zf;hio7>51zl775<6=01vb?lmd;295~h3;90:9l5rn3`aa?6=9rd??=4>5c9~j7den3:1=v`;31821f=zf;hh<7>51zl775<6=m1vb?ll1;295~h3;90:9h5rn3``6?6=9rd??=4>5g9~j7dd;3:1=v`;318225=zf;hh87>51zl775<6>81vb?ll5;295~h3;90::?5rn3``2?6=9rd??=4>629~j7dd?3:1=v`;318221=zf;hh47>51zl775<6><1vb?ll9;295~h3;90::;5rn3``e?6=9rd??=4>669~j7ddj3:1=v`;31822==zf;hho7>51zl775<6>01vb?lld;295~h3;90::l5rn3``a?6=9rd??=4>6c9~j7ddn3:1=v`;31822f=zf;ho<7>51zl775<6>m1vb?lk1;295~h3;90::h5rn3`g6?6=9rd??=4>6g9~j7dc;3:1=v`;318235=zf;ho87>51zl775<6?81vb?lk5;295~h3;90:;?5rn3`g2?6=9rd??=4>729~j7dc?3:1=v`;318231=zf;ho47>51zl775<6?<1vb?lk9;295~h3;90:;;5rn3`ge?6=9rd??=4>769~j7dcj3:1=v`;31823==zf;hoo7>51zl775<6?01vb?lkd;295~h3;90:;l5rn3`ga?6=9rd??=4>7c9~j7dcn3:1=v`;31823f=zf;hn<7>51zl775<6?m1vb?lj1;295~h3;90:;h5rn3`f6?6=9rd??=4>7g9~j7db;3:1=v`;3182<5=zf;hn87>51zl775<6081vb?lj5;295~h3;90:4?5rn3`f2?6=9rd??=4>829~j7db?3:1=v`;3182<1=zf;hn47>51zl775<60<1vb?lj9;295~h3;90:4;5rn3`fe?6=9rd??=4>869~j7dbj3:1=v`;3182<==zf;hno7>51zl775<6001vb?ljd;295~h3;90:4l5rn3`fa?6=9rd??=4>8c9~j7dbn3:1=v`;318251zl775<60m1vb?li1;295~h3;90:4h5rn3`e6?6=9rd??=4>8g9~j7da;3:1=v`;3182=5=zf;hm87>51zl775<6181vb?li5;295~h3;90:5?5rn3`e2?6=9rd??=4>929~j7da?3:1=v`;3182=1=zf;hm47>51zl775<61<1vb?li9;295~h3;90:5;5rn3`ee?6=9rd??=4>969~j7daj3:1=v`;3182===zf;hmo7>51zl775<6101vb?lid;295~h3;90:5l5rn3`ea?6=9rd??=4>9c9~j7dan3:1=v`;3182=f=zf;i;<7>51zl775<61m1vb?m?1;295~h3;90:5h5rn3a36?6=9rd??=4>9g9~j7e7;3:1=v`;3182e5=zf;i;87>51zl775<6i81vb?m?5;295~h3;90:m?5rn3a32?6=9rd??=4>a29~j7e7?3:1=v`;3182e1=zf;i;47>51zl775<6i<1vb?m?9;295~h3;90:m;5rn3a3e?6=9rd??=4>a69~j7e7j3:1=v`;3182e==zf;i;o7>51zl775<6i01vb?m?d;295~h3;90:ml5rn3a3a?6=9rd??=4>ac9~j7e7n3:1=v`;3182ef=zf;i:<7>51zl775<6im1vb?m>1;295~h3;90:mh5rn3a26?6=9rd??=4>ag9~j7e6;3:1=v`;3182f5=zf;i:87>51zl775<6j81vb?m>5;295~h3;90:n?5rn3a22?6=9rd??=4>b29~j7e6?3:1=v`;3182f1=zf;i:47>51zl775<6j<1vb?m>9;295~h3;90:n;5rn3a2e?6=9rd??=4>b69~j7e6j3:1=v`;3182f==zf;i:o7>51zl775<6j01vb?m>d;295~h3;90:nl5rn3a2a?6=9rd??=4>bc9~j7e6n3:1=v`;3182ff=zf;i9<7>51zl775<6jm1vb?m=1;295~h3;90:nh5rn3a16?6=9rd??=4>bg9~j7e5;3:1=v`;3182g5=zf;i987>51zl775<6k81vb?m=5;295~h3;90:o?5rn3a12?6=9rd??=4>c29~j7e5?3:1=v`;3182g1=zf;i947>51zl775<6k<1vb?m=9;295~h3;90:o;5rn3a1e?6=9rd??=4>c69~j7e5j3:1=v`;3182g==zf;i9o7>51zl775<6k01vb?m=d;295~h3;90:ol5rn3a1a?6=9rd??=4>cc9~j7e5n3:1=v`;3182gf=zf;i8<7>51zl775<6km1vb?m<1;295~h3;90:oh5rn3a06?6=9rd??=4>cg9~j7e4;3:1=v`;3182`5=zf;i887>51zl775<6l81vb?m<5;295~h3;90:h?5rn3a02?6=9rd??=4>d29~j7e4?3:1=v`;3182`1=zf;i847>51zl775<6l<1vb?m<9;295~h3;90:h;5rn3a0e?6=9rd??=4>d69~j7e4j3:1=v`;3182`==zf;i8o7>51zl775<6l01vb?mdc9~j7e4n3:1=v`;3182`f=zf;i?<7>51zl775<6lm1vb?m;1;295~h3;90:hh5rn3a76?6=9rd??=4>dg9~j7e3;3:1=v`;3182a5=zf;i?87>51zl775<6m81vb?m;5;295~h3;90:i?5rn3a72?6=9rd??=4>e29~j7e3?3:1=v`;3182a1=zf;i?47>51zl775<6m<1vb?m;9;295~h3;90:i;5rn3a7e?6=9rd??=4>e69~j7e3j3:1=v`;3182a==zf;i?o7>51zl775<6m01vb?m;d;295~h3;90:il5rn3a7a?6=9rd??=4>ec9~j7e3n3:1=v`;3182af=zf;i><7>51zl775<6mm1vb?m:1;295~h3;90:ih5rn3a66?6=9rd??=4>eg9~j7e2;3:1=v`;3182b5=zf;i>87>51zl775<6n81vb?m:5;295~h3;90:j?5rn3a62?6=9rd??=4>f29~j7e2?3:1=v`;3182b1=zf;i>47>51zl775<6n<1vb?m:9;295~h3;90:j;5rn3a6e?6=9rd??=4>f69~j7e2j3:1=v`;3182b==zf;i>o7>51zl775<6n01vb?m:d;295~h3;90:jl5rn3a6a?6=9rd??=4>fc9~j7e2n3:1=v`;3182bf=zf;i=<7>51zl775<6nm1vb?m91;295~h3;90:jh5rn3a56?6=9rd??=4>fg9~j7e1;3:1=v`;318145=zf;i=87>51zl775<5881vb?m95;295~h3;90951zl775<58<1vb?m99;295~h3;909<;5rn3a5e?6=9rd??=4=069~j7e1j3:1=v`;31814==zf;i=o7>51zl775<5801vb?m9d;295~h3;90951zl775<58m1vb?m81;295~h3;90951zl775<5981vb?m85;295~h3;909=?5rn3a42?6=9rd??=4=129~j7e0?3:1=v`;318151=zf;i<47>51zl775<59<1vb?m89;295~h3;909=;5rn3a4e?6=9rd??=4=169~j7e0j3:1=v`;31815==zf;i51zl775<5901vb?m8d;295~h3;909=l5rn3a4a?6=9rd??=4=1c9~j7e0n3:1=v`;31815f=zf;i3<7>51zl775<59m1vb?m71;295~h3;909=h5rn3a;6?6=9rd??=4=1g9~j7e?;3:1=v`;318165=zf;i387>51zl775<5:81vb?m75;295~h3;909>?5rn3a;2?6=9rd??=4=229~j7e??3:1=v`;318161=zf;i347>51zl775<5:<1vb?m79;295~h3;909>;5rn3a;e?6=9rd??=4=269~j7e?j3:1=v`;31816==zf;i3o7>51zl775<5:01vb?m7d;295~h3;909>l5rn3a;a?6=9rd??=4=2c9~j7e?n3:1=v`;31816f=zf;i2<7>51zl775<5:m1vb?m61;295~h3;909>h5rn3a:6?6=9rd??=4=2g9~j7e>;3:1=v`;318175=zf;i287>51zl775<5;81vb?m65;295~h3;909??5rn3a:2?6=9rd??=4=329~j7e>?3:1=v`;318171=zf;i247>51zl775<5;<1vb?m69;295~h3;909?;5rn3a:e?6=9rd??=4=369~j7e>j3:1=v`;31817==zf;i2o7>51zl775<5;01vb?m6d;295~h3;909?l5rn3a:a?6=9rd??=4=3c9~j7e>n3:1=v`;31817f=zf;ij<7>51zl775<5;m1vb?mn1;295~h3;909?h5rn3ab6?6=9rd??=4=3g9~j7ef;3:1=v`;318105=zf;ij87>51zl775<5<81vb?mn5;295~h3;9098?5rn3ab2?6=9rd??=4=429~j7ef?3:1=v`;318101=zf;ij47>51zl775<5<<1vb?mn9;295~h3;9098;5rn3abe?6=9rd??=4=469~j7efj3:1=v`;31810==zf;ijo7>51zl775<5<01vb?mnd;295~h3;9098l5rn3aba?6=9rd??=4=4c9~j7efn3:1=v`;31810f=zf;ii<7>51zl775<551zl775<5=81vb?mm5;295~h3;9099?5rn3aa2?6=9rd??=4=529~j7ee?3:1=v`;318111=zf;ii47>51zl775<5=<1vb?mm9;295~h3;9099;5rn3aae?6=9rd??=4=569~j7eej3:1=v`;31811==zf;iio7>51zl775<5=01vb?mmd;295~h3;9099l5rn3aaa?6=9rd??=4=5c9~j7een3:1=v`;31811f=zf;ih<7>51zl775<5=m1vb?ml1;295~h3;9099h5rn3a`6?6=9rd??=4=5g9~j7ed;3:1=v`;318125=zf;ih87>51zl775<5>81vb?ml5;295~h3;909:?5rn3a`2?6=9rd??=4=629~j7ed?3:1=v`;318121=zf;ih47>51zl775<5><1vb?ml9;295~h3;909:;5rn3a`e?6=9rd??=4=669~j7edj3:1=v`;31812==zf;iho7>51zl775<5>01vb?mld;295~h3;909:l5rn3a`a?6=9rd??=4=6c9~j7edn3:1=v`;31812f=zf;io<7>51zl775<5>m1vb?mk1;295~h3;909:h5rn3ag6?6=9rd??=4=6g9~j7ec;3:1=v`;318135=zf;io87>51zl775<5?81vb?mk5;295~h3;909;?5rn3ag2?6=9rd??=4=729~j7ec?3:1=v`;318131=zf;io47>51zl775<5?<1vb?mk9;295~h3;909;;5rn3age?6=9rd??=4=769~j7ecj3:1=v`;31813==zf;ioo7>51zl775<5?01vb?mkd;295~h3;909;l5rn3aga?6=9rd??=4=7c9~j7ecn3:1=v`;31813f=zf;in<7>51zl775<5?m1vb?mj1;295~h3;909;h5rn3af6?6=9rd??=4=7g9~j7eb;3:1=v`;3181<5=zf;in87>51zl775<5081vb?mj5;295~h3;9094?5rn3af2?6=9rd??=4=829~j7eb?3:1=v`;3181<1=zf;in47>51zl775<50<1vb?mj9;295~h3;9094;5rn3afe?6=9rd??=4=869~j7ebj3:1=v`<1883?k24838346sa2bg`>5<6sg>8<7<79:m6fcc290:wc:<0;0;e>{i:jon6=4>{o604?4?j2we>nki:182k248383o6sa2bd3>5<6sg>8<7<7d:m6f`6290:wc:<0;0;a>{i:jl96=4>{o604?4?n2we>nh<:182k248382<6sa2bd7>5<6sg>8<7<61:m6f`2290:wc:<0;0:6>{i:jl=6=4>{o604?4>;2we>nh8:182k24838286sa2bd;>5<6sg>8<7<65:m6f`>290:wc:<0;0:2>{i:jlj6=4>{o604?4>?2we>nhm:182k24838246sa2bd`>5<6sg>8<7<69:m6f`c290:wc:<0;0:e>{i:jln6=4>{o604?4>j2we>nhi:182k248382o6sa2e23>5<6sg>8<7<6d:m6a66290:wc:<0;0:a>{i:m:96=4>{o604?4>n2we>i><:182k24838j<6sa2e27>5<6sg>8<7{i:m:=6=4>{o604?4f;2we>i>8:182k24838j86sa2e2;>5<6sg>8<7290:wc:<0;0b2>{i:m:j6=4>{o604?4f?2we>i>m:182k24838j46sa2e2`>5<6sg>8<7{i:m:n6=4>{o604?4fj2we>i>i:182k24838jo6sa2e33>5<6sg>8<7{i:m;96=4>{o604?4fn2we>i?<:182k24838i<6sa2e37>5<6sg>8<7{i:m;=6=4>{o604?4e;2we>i?8:182k24838i86sa2e3;>5<6sg>8<7290:wc:<0;0a2>{i:m;j6=4>{o604?4e?2we>i?m:182k24838i46sa2e3`>5<6sg>8<7{i:m;n6=4<{o604?4ej2we>i?i:180k24838io6sa2`7f>5<6sg>8<7{zutJKOv5<5286c:95=g13tq?>;4?:181>40e13;3m:5r{505>5<72;0::oo519c;?x}3:?0;6=4=:04af?7?i01vw9<9:183>7<6>ki1=5on;|y763<729096<8md;3;eg=zs=8=6=4?:3822gc=91kh7pu;2783>5<5286b295=gb3tq?>;4?:181>40d93;3mk5r{505>5<72;0::n<519`3?x}3:?0;6=4=:04`7?7?j81vw9<9:183>7<6>j>1=5l=;|y763<729096<8i0;3;f6=zs=8=6=4?:3822c7=91h?7pu;2783>5<5287?7b49~141290;6?4>6g195=d13tq?>;4?:181>40a<3;3n:5r{505>5<72;0::k;519`;?x}3:?0;6=4=:04e2?7?j01vw9<9:183>7<6>o=1=5ln;|y763<729096<8i8;3;fg=zs=8=6=4?:3823g6=91hh7pu;2783>5<528=jj7?7be9~141290;6?4>7`g95=db3tq?>;4?:181>41fl3;3nk5r{505>5<72;0:;lm519a3?x}3:?0;6=4=:05bf?7?k81vw9<9:183>7<6?hk1=5m=;|y763<729096<9n9;3;g6=zs=8=6=4?:3823d>=91i?7pu;2783>5<528=j;7?7c49~141290;6?4>7g795=e13tq?>;4?:181>41a<3;3o:5r{505>5<72;0:;k=519a;?x}3:?0;6=4=:05e6?7?k01vw9<9:183>7<6?o;1=5mn;|y763<729096<9i0;3;gg=zs=8=6=4?:3823``=91ih7pu;2783>5<528=ni7?7ce9~141290;6?4>7df95=eb3tq?>;4?:181>41bk3;3ok5r{505>5<72;0:4>o519f3?x}3:?0;6=4=:0:0=?7?l81vw9<9:183>7<60:21=5j=;|y763<729096<6<7;3;`6=zs=8=6=4?:382<60=91n?7pu;2783>5<5282897?7d49~141290;6?4>82695=b13tq?>;4?:181>4>4;3;3h:5r{505>5<72;0:4><519f;?x}3:?0;6=4=:0:05?7?l01vw9<9:183>7<60>l1=5jn;|y763<729096<68e;3;`g=zs=8=6=4?:382<2b=91nh7pu;2783>5<528286`95=bb3tq?>;4?:181>4>0i3;3hk5r{505>5<72;0:4:7519g3?x}3:?0;6=4=:0:47<60>=1=5k=;|y763<729096<686;3;a6=zs=8=6=4?:3822f3=91o?7pu;2783>5<5287c395=c13tq?>;4?:181>41a>3;3i:5r{505>5<72;0:4>l519g;?x}3:?0;6=4=:0:;4?7?m01vw9<9:183>7<6?1k1=:77;|y763<729096<9lf;34`a=zs=8=6=4?:382<42=91897pu;2783>5<5282>57?7669~142290;6?4>96295g=zs=8>6=4?:382=21=:;1vw9<::183>7<61>o1>45r{506>5<72;0:55;5319~142290;6?4>99a972=zs=8>6=4?:382=<5=;l1vw9<::183>7<610k1885r{506>5<72;0:5l?54b9~142290;6?4>9`:916=zs=8>6=4?:382=d`==h1vw9<::183>7<61k<1:<5r{506>5<72;0:5oj5699~142290;6?4>9b692c=zs=8>6=4?:382=fd=??1vw9<::183>7<61m81;i5r{506>5<72;0:5i75859~142290;6?4>9d296=4?:382=`1=1;1vw9<::183>7<61lo1545r{506>5<72;0:5k;5a19~142290;6?4>9ga9e2=zs=8>6=4?:382e55=il1vw9<::183>7<6i9k1n85r{506>5<72;0:ma0:9g6=zs=8>6=4?:382e4`=kh1vw9<::183>7<6i;<1h<5r{506>5<72;0:m?j5d99~142290;6?4>a269`c=zs=8>6=4?:382e6d=m?1vw9<::183>7<6i=81ii5r{506>5<72;0:m975f59~142290;6?4>a429bg=zs=8>6=4?:382e01=9980qv:=5;294?4=9h?n6<>6;|y760<729096{|<;?1<7>52;3b2f<69>1vw9<::183>7<6i>91=5<72;0:m5h51528y~25=3:1<7<51`;5>42>3tq?>84?:181>4g>l3;>>6st43794?6=:3;jm94>5c9~142290;6?4>a``9534997>50;095dd528<27pu;2483>5<528ki57?80:x073=83:1>7?nc18232=zs=8>6=4?:382ef1=9>o0qv:=5;294?4=9hin6<6:;|y760<729096{|<;?1<7>52;3b`f<61:1vw9<::183>7<6il91=4o4}z611?6=8381=lkn:0c2?x}3:<0;6=4=:0ce5?7f02wp8?;50;296?7fn10:mk5r{506>5<72;0:mkh51c48y~25=3:1<7<51c25>4dc3tq?>84?:181>4d7l3;h86st43794?6=:3;i=94>cc9~142290;6?4>b0`95a4997>50;095g4528n27pu;2483>5<528h9;7?ke:x073=83:1>7?m2c82a7=zs=8>6=4?:382f66=9l=0qv:=5;294?4=9k9?6{|<;?1<7>52;3a7a<6n=1vw9<::183>7<6j=81=k74}z611?6=8381=o:9:0dg?x}3:<0;6=4=:0`7f?47:2wp8?;50;296?7e5<72;0:n8:521`8y~25=3:1<7<51c7;>76a3tq?>84?:181>4d2l38:86st43794?6=:3;i:<4=199~142290;6?4>b74964b997>50;095g0f2;8:7pu;2483>5<528h=j7<=6:x073=83:1>7?m72816d=zs=8>6=4?:382f2>=:;l0qv:=5;294?4=9k=h6?=<;|y760<729096{|<;?1<7>52;3a<0<5;j1vw9<::183>7<6j1k1>9?4}z611?6=8381=o6j:366?x}3:<0;6=4=:0`:7?43i2wp8?;50;296?7e1>098h5r{506>5<72;0:n4m52418y~25=3:1<7<51cc3>7303tq?>84?:181>4df=38>o6st43794?6=:3;im44=619~142290;6?4>b`g9633997>50;095gd52;<27pu;2483>5<528hi;7<9e:x073=83:1>7?mbc8137=zs=8>6=4?:382fg`=:><0qv:=5;294?4=9ki96?ol;|y760<729096{|<;?1<7>52;3ag<<5j:1vw9<::183>7<6jjn1>o94}z611?6=8381=oj=:3``?x}3:<0;6=4=:0`g2?4d82wp8?;50;296?7elk09o85r{506>5<72;0:nih52b;8y~25=3:1<7<51cg7>7eb3tq?>84?:181>4db038o>6st43794?6=:3;iii4=d69~142290;6?4>bg396ad997>50;095g`12;o;7pu;2483>5<528hmm77?mfg81a<=zs=8>6=4?:382g55=:ln0qv:=5;294?4=9j:36?h=;|y760<729096{|<;?1<7>52;3`54<5nk1vw9<::183>7<6k8?1>kh4}z611?6=8381=n?n:227?x}3:<0;6=4=:0a2a?5702wp8?;50;296?7d::085<72;0:o?o53048y~25=3:1<7<51b12>67a3tq?>84?:181>4e4039946st43794?6=:3;h?k4<309~142290;6?4>c54976g997>50;095f2c2:>87pu;2483>5<528i>87=;c:x073=83:1>7?l5c8010=zs=8>6=4?:382g34=;88;|y760<729096{|<;?1<7>52;3`32<4?01vw9<::183>7<6k>o1?5<4}z611?6=8381=n6::2::?x}3:<0;6=4=:0a;g?5>82wp8?;50;296?7d1:085:5r{506>5<72;0:o4o538g8y~25=3:1<7<51bc2>6g23tq?>84?:181>4ef039jo6st43794?6=:3;hmk4cc497gg997>50;095fdc2:i:7pu;2483>5<528ih87=l8:x073=83:1>7?lcc80gc=zs=8>6=4?:382ga4=;m<0qv:=5;294?4=9jn26>jk;|y760<729096{|<;?1<7>52;3`a2<4mk1vw9<::183>7<6klo1?k<4}z611?6=8381=nh::2d:?x}3:<0;6=4=:0aeg?2782wp8?;50;296?7c8:0?<:5r{506>5<72;0:h=o541g8y~25=3:1<7<51e32>1723tq?>84?:181>4b603>:o6st43794?6=:3;o=k4;229~142290;6?4>d34907g997>50;095a4c2=9:7pu;2483>5<528n887:<8:x073=83:1>7?k3c877c=zs=8>6=4?:382`14=<=<0qv:=5;294?4=9m>269:k;|y760<729096{|<;?1<7>52;3g12<3=k1vw9<::183>7<6l5<72;0:h:o546g8y~25=3:1<7<51e:2>1>23tq?>84?:181>4b?03>3o6st43794?6=:3;o4k4;929~142290;6?4>d8490997>50;095a?c2=k:7pu;2483>5<528nj87:n8:x073=83:1>7?kac87ec=zs=8>6=4?:382`g4={|<;?1<7>52;3gg0<3k01vw9<::183>7<6ljh18nh4}z611?6=8381=ij>:5f6?x}3:<0;6=4=:0fg2?2ci2wp8?;50;296?7clj0?i=5r{506>5<72;0:hh<54d48y~25=3:1<7<51eg4>1ce3tq?>84?:181>4bbl3>m=6st43794?6=:3;oj>4;f69~142290;6?4>dg:90ce997>50;095a`b2<:97pu;2483>5<528o;87;?8:x073=83:1>7?j08864a=zs=8>6=4?:382a5b==8;0qv:=5;294?4=9l;:68?:;|y760<7290966;72e>{|<;?1<7>52;3f5d<29l1vw9<::183>7<6m8l19?=4}z611?6=8381=h<<:404?x}3:<0;6=4=:0g1?=5r{506>5<72;0:i>?55278y~25=3:1<7<51d16>05>3tq?>84?:181>4c4i3?8i6st43794?6=:3;n?h4:439~142290;6?4>e519111997>50;095`202<>i7pu;2483>5<528o?o7;:0:x073=83:1>7?j518611=zs=8>6=4?:382a03==<30qv:=5;294?4=9l?268;k;|y760<729096{|<;?1<7>52;3f27<2>?1vw9<::183>7<6m?=19;l4}z611?6=8381=h8m:44e?x}3:<0;6=4=:0g44?30<2wp8?;50;296?7b?>0>;i5r{506>5<72;0:i:k55948y~25=3:1<7<51d:6>0>a3tq?>84?:181>4c?k3?246st43794?6=:3;n5>4:a09~142290;6?4>e8c91dg997>50;095`g625<528oj47;mc:x073=83:1>7?jag86g0=zs=8>6=4?:382ag0==jo0qv:=5;294?4=9lho68j8;|y760<729096{|<;?1<7>52;3fgg<2m>1vw9<::183>7<6mm819hk4}z611?6=8381=hj6:4d6?x}3:<0;6=4=:0gf4?3ak2wp8?;50;296?7bm>0=<>5r{506>5<72;0:ihk561c8y~25=3:1<7<51dd6>3763tq?>84?:181>4cak3<:46st43794?6=:3;m<>491g9~142290;6?4>f1:9272997>50;095c6b2?8j7pu;2483>5<528l:878<0:x073=83:1>7?i188570=zs=8>6=4?:382b4`=>:h0qv:=5;294?4=9o8>6;:>;|y760<729096{|<;?1<7>52;3e75<17<6n:<1:8<4}z611?6=8381=k=m:774?x}3:<0;6=4=:0d75?02l2wp8?;50;296?7a<>0=:>5r{506>5<72;0:j9m567:8y~25=3:1<7<51g73>30d3tq?>84?:181>4`2<3<<<6st43794?6=:3;m9449749~142290;6?4>f4f922?997>50;095c052?=n7pu;2483>5<528l=:7872:x073=83:1>7?i6c85<2=zs=8>6=4?:382b3`=>1h0qv:=5;294?4=9o=?6;7?;|y760<729096{|<;?1<7>52;3e3a<1101vw9<::183>7<6n1;1:4j4}z611?6=8381=k69:7c1?x}3:<0;6=4=:0d;e?0f>2wp8?;50;296?7a0o0=mo5r{506>5<72;0:j4=56`d8y~25=3:1<7<51g;;>3d33tq?>84?:181>4`>k3f`792f7997>50;095cgf2?i=7pu;2483>5<528lji78la:x073=83:1>7?ib285gc=zs=8>6=4?:382bgg=>m20qv:=5;294?4=9oi:6;k>;|y760<729096{|<;?1<7>52;3egc<1n:1vw9<::183>7<6nm<1:km4}z611?6=8381=kjk:626?x}3:<0;6=4=:0df0?17m2wp8?;50;296?7amk0<=:5r{506>5<72;0:jk<57328y~25=3:1<7<51gd:>24>3tq?>84?:181>76783=8>6st43794?6=:38;<:483c9~142290;6?4=01g9314997>50;0965722>>27pu;2483>5<52;::o79:0:x073=83:1>76=4?:38147g=?<8;55g>{|<;?1<7>52;037c<0?:1vw9<::183>7<58=<1;:o4}z611?6=8381>=:m:65e?x}3:<0;6=4=:3265?1?=2wp8?;50;296?47=>0<4o5r{506>5<72;09<8m57828y~25=3:1<7<52141>2?13tq?>84?:181>76103=2o6st43794?6=:38;:i48a09~142290;6?4=06193d1997>50;09651>2>ko7pu;2483>5<52;:76=4?:3814=g=?ko0qv:=5;294?4=:92m6:m<;|y760<729096?>63;5`3>{|<;?1<7>52;03=2<0kk1vw9<::183>7<580i1;i>4}z611?6=8381>=o?:6f7?x}3:<0;6=4=:32b1?1c12wp8?;50;296?47i005<72;092c13tq?>84?:181>76e?3=nn6st43794?6=:38;no48eg9~142290;6?4=0b293c2997>50;0965e32>l37pu;2483>5<52;:h579id:x073=83:1>76=4?:3814a4=09<0qv:=5;294?4=:9n=65>n;|y760<729096?>kb;:3b>{|<;?1<7>52;03`c7<58l>14<64}z611?6=8381>=k7:93`?x}3:<0;6=4=:32f`?>592wp8?;50;296?47n803>85r{506>5<72;09=543tq?>84?:181>777<328o6st43794?6=:38:997>50;09647>21?<7pu;2483>5<52;;9<7690:x073=83:1>7<>268;2<=zs=8>6=4?:38157c=0>80qv:=5;294?4=:89>659m;|y760<729096??{|<;?1<7>52;02067<59=k14484}z611?6=8381><;>:9;g?x}3:<0;6=4=:336f<2wp8?;50;296?46=o03mo5r{506>5<72;09=;858c08y~25=3:1<7<5204g>=d>3tq?>84?:181>770<32h<6st43794?6=:38:;o47c69~142290;6?4=1909997>50;0964>>21n>7pu;2483>5<52;;3i76ka:x073=83:1>7<>958;a5=zs=8>6=4?:3815{|<;?1<7>52;02eg1vw9<::183>7<59k:14km4}z611?6=8381>5<72;09=n959018y~25=3:1<7<520ag><7>3tq?>84?:181>77c:33:i6st43794?6=:38:h;46239~142290;6?4=1ec9=70997>50;0964ba208i7pu;2483>5<52;;n?77=f:x073=83:1>7<>e98:71=zs=8>6=4?:3815`e=1:20qv:=5;294?4=:8l:64=k;|y760<729096??i5;;75>{|<;?1<7>52;02bd<>7<59oo159o4}z611?6=8381>?><:86e?x}3:<0;6=4=:3033??2;2wp8?;50;296?458j02955r{506>5<72;09><>594a8y~25=3:1<7<52336><063tq?>84?:181>746133=96st43794?6=:389=h466`9~142290;6?4=2309=3c997>50;09674020=87pu;2483>5<52;89n7787:x073=83:1>7<=318:3f=zs=8>6=4?:381662=11:0qv:=5;294?4=:;92646:;|y760<729096?<;0;;;a>{|<;?1<7>52;0102<>1>1vw9<::183>7<5:=o15l>4}z611?6=8381>?;::8c:?x}3:<0;6=4=:306g??e:2wp8?;50;296?45>:02no5r{506>5<72;09>;o59b68y~25=3:1<7<52352>84?:181>740033o:6st43794?6=:389;k46dg9~142290;6?4=2949=`>997>50;0967>c20l:7pu;2483>5<52;82877i8:x073=83:1>7<=9c8:bc=zs=8>6=4?:3816d4=i9<0qv:=5;294?4=:;k26l>k;|y760<729096?{|<;?1<7>52;01f27<5:ko1m?<4}z611?6=8381>?m::`0:?x}3:<0;6=4=:30`g?g482wp8?;50;296?45l=0j?55r{506>5<72;09>io5a2g8y~25=3:1<7<523ff>d253tq?>84?:181>74b<3k?46st43794?6=:389i54n4b9~142290;6?4=2da9e06997>50;0967`72h??7pu;2483>5<52;8m87o:8:x073=83:1>7<=f98b1f=zs=8>6=4?:3816ce=i?:0qv:=5;294?4=:::;6l8;;|y760<729096?=?4;c5<>{|<;?1<7>52;004<m1vw9<::183>7<5;9l1m:=4}z611?6=8381>>?9:`5b?x}3:<0;6=4=:312`?g?92wp8?;50;296?44:;0j4;5r{506>5<72;09??95a9`8y~25=3:1<7<5220`>d?73tq?>84?:181>75493k296st43794?6=:388?:4n9c9~142290;6?4=32f9ed7997>50;0966242hk<7pu;2483>5<52;9?n7onf:x073=83:1>7<<538bf3=zs=8>6=4?:38170?=ikn0qv:=5;294?4=::<:6lm:;|y760<729096?=98;c`g>{|<;?1<7>52;002c7<5;>=1mil4}z611?6=8381>>9l:`g3?x}3:<0;6=4=:31;7?gb?2wp8?;50;296?44010jin5r{506>5<72;09?5j5ag38y~25=3:1<7<522;6>d`>3tq?>84?:181>75>l3h;=6st43794?6=:388m>4m069~142290;6?4=3`;9f5b997>50;0966ga2k;87pu;2483>5<52;9i97l>9:x073=83:1>7<6=4?:3817f6=j;>0qv:=5;294?4=::i<6o{|<;?1<7>52;00`17<5;m21n>m4}z611?6=8381>>jj:c61?x}3:<0;6=4=:31f1?d312wp8?;50;296?44mm0i9<5r{506>5<72;09?k<5b448y~25=3:1<7<522d5>g3f3tq?>84?:181>75ak3h=<6st43794?6=:38?<>4m669~142290;6?4=41`9f3`997>50;0961772k=?7pu;2483>5<52;>:87l88:x073=83:1>7<;1`8a3`=zs=8>6=4?:381077=j1?0qv:=5;294?4=:=826o6k;|y760<729096?:=e;`:6>{|<;?1<7>52;07777<5<:k1n4k4}z611?6=8381>9:=:cc5?x}3:<0;6=4=:367e?dfm2wp8?;50;296?435<72;098885bcc8y~25=3:1<7<5257f>ge53tq?>84?:181>721=3hh56st43794?6=:38?:l4mcd9~142290;6?4=4609fa0997>50;09611>2kno7pu;2483>5<52;>7<;878aad=zs=8>6=4?:3810=b=jo;0qv:=5;294?4=:=396oh9;|y760<729096?:6a;`ea>{|<;?1<7>52;07e47<59oj:b31?x}3:<0;6=4=:36a1?e612wp8?;50;296?43jh0h=h5r{506>5<72;098n<5c348y~25=3:1<7<525a:>f4c3tq?>84?:181>72dm3i8>6st43794?6=:38?h;4l3`9~142290;6?4=4ef9g17997>50;0961c52j>=7pu;2483>5<52;>n:7m;a:x073=83:1>7<;ed8`17=zs=8>6=4?:3810c5=k<=0qv:=5;294?4=:=li6n;i;|y760<729096?;?3;a53>{|<;?1<7>52;0642k1vw9<::183>7<5=9l1o:=4}z611?6=8381>8?;:b5;?x}3:<0;6=4=:372g?e?82wp8?;50;296?42:=0h455r{506>5<72;099?65c9a8y~25=3:1<7<52413>f?33tq?>84?:181>734=3i256st43794?6=:38>?i4la09~142290;6?4=5579gd?997>50;09602>2jko7pu;2483>5<52;?>=7mm5:x073=83:1>7<:578`fd=zs=8>6=4?:38110c=kj80qv:=5;294?4=:<<=6nmn;|y760<729096?;99;a``>{|<;?1<7>52;062f7<5=?l1oi=4}z611?6=8381>89=:bf5?x}3:<0;6=4=:3741?ec12wp8?;50;296?42?10hhn5r{506>5<72;099:l5ced8y~25=3:1<7<5245e>fc43tq?>84?:181>73?>3ino6st43794?6=:38>4i4lf49~142290;6?4=5839gc?997>50;0960?22jlo7pu;2483>5<52;?257j?1:x073=83:1>7<:9e8g40=zs=8>6=4?:3811d7=l930qv:=5;294?4=:6i>k;|y760<729096?;n9;f25>{|<;?1<7>52;06ea7<5=k>1i>64}z611?6=8381>8lm:d1e?x}3:<0;6=4=:37`6?c3>2wp8?;50;296?42k00n8i5r{506>5<72;099i>5e468y~25=3:1<7<524f4>`3e3tq?>84?:181>73cm3o=>6st43794?6=:38>i84j689~142290;6?4=5da9a26997>50;0960`42l=<7pu;2483>5<52;?mm7k8e:x073=83:1>7<9008f<0=zs=8>6=4?:38125>=m1i0qv:=5;294?4=:?:m6h7<;|y760<729096?8>6;g:e>{|<;?1<7>52;055a7<5>;>1il64}z611?6=8381>;2wp8?;50;296?41;00nni5r{506>5<72;09:9>5eb68y~25=3:1<7<52764>`ee3tq?>84?:181>703m3oo86st43794?6=:38=984jde9~142290;6?4=64a9a`0997>50;0963042lom7pu;2483>5<52;<=m7ki8:x073=83:1>7<9708e44=zs=8>6=4?:38122>=n9k0qv:=5;294?4=:?=m6k?<;|y760<729096?876;d2g>{|<;?1<7>52;057<5>0>1j?k4}z611?6=8381>;7m:g14?x}3:<0;6=4=:34b6?`382wp8?;50;296?41ih0m855r{506>5<72;09:o?5f5d8y~25=3:1<7<527`:>c303tq?>84?:181>70d93l>j6st43794?6=:38=o84i629~142290;6?4=6bf9b3d997>50;0963b32o=?7pu;2483>5<52;7<9dd8e3`=zs=8>6=4?:3812`5=n190qv:=5;294?4=:?oi6k6m;|y760<729096?8i2;d:6>{|<;?1<7>52;05bd7<5>oo1j4k4}z611?6=8381>:>=:gc1?x}3:<0;6=4=:353e?`fi2wp8?;50;296?409;0mn?5r{506>5<72;09;<75fc;8y~25=3:1<7<52602>ce63tq?>84?:181>71513lh56st43794?6=:38997>50;0962262oo:7pu;2483>5<52;=?57hj9:x073=83:1>7<8508eb4=zs=8>6=4?:38130?=no30qv:=5;294?4=:><:6<>?1:x073=83:1>7<86782450997>50;09620e28:;n6st43794?6=:38<;>4>0018y~25=3:1<7<5265b>466i2wp8?;50;296?40?o0:<:68:0213>{|<;?1<7>52;04<`<68;o0qv:=5;294?4=:>386<><3:x073=83:1>7<89c8246d997>50;0962g428:??6st43794?6=:380548y~25=3:1<7<526c:>46312wp8?;50;296?40ij0:<9m4}z611?6=8381>:oi:027b>{|<;?1<7>52;04f7<68<80qv:=5;294?4=:>h>6<>:5:x073=83:1>7<8b98240>997>50;0962de28:>n6st43794?6=:3807c8y~25=3:1<7<5290g>477<2wp8?;50;296?4?;=0:==l4}z611?6=8381>5=m:0326>{|<;?1<7>52;0;07<69830qv:=5;294?4=:1>267<75182571997>50;096=3028;9i6st43794?6=:3839h4>1278y~25=3:1<7<52946>474k2wp8?;50;296?4?>j0:=9=4}z611?6=8381>59<:037e>{|<;?1<7>52;0;3d<69<;0qv:=5;294?4=:12:67<7898250`997>50;096=>a28;=:6st43794?6=:3835;4>17f8y~25=3:1<7<529;g>470<2wp8?;50;296?4?i=0:=:l4}z611?6=8381>5om:03;6>{|<;?1<7>52;0;f7<690=0qv:=5;294?4=:1h267<7c1825d3997>50;096=e028;jo6st43794?6=:383oh4>1c18y~25=3:1<7<529f6>47ei2wp8?;50;296?4?lj0:=n?4}z611?6=8381>5k<:03`<>{|<;?1<7>52;0;ad<69jl0qv:=5;294?4=:1l:67<7f9825ab997>50;096=`d28;n=6st43794?6=:382<=4>1d78y~25=3:1<7<52826>47bi2wp8?;50;296?4>800:=hk4}z611?6=8381>4?>:03e2>{|<;?1<7>52;0:5<<69oo0qv:=5;294?4=:0;m6<7<6268265e997>50;096<4e288:<6st43794?6=:382?>4>20a8y~25=3:1<7<5281a>445k2wp8?;50;296?4><:0:>>m4}z611?6=8381>4:6:0076>{|<;?1<7>52;0:15<6:<<0qv:=5;294?4=:0?36<<:e:x073=83:1>7<66182630997>50;096<0?288=i6st43794?6=:382;=4>2648y~25=3:1<7<52855>440k2wp8?;50;296?4>?k0:>5?4}z611?6=8381>46>:00;3>{|<;?1<7>52;0:<3<6:1i0qv:=5;294?4=:0226<<7f:x073=83:1>7<68b826<4997>50;096<>a288296st43794?6=:3825?4>28:8y~25=3:1<7<52`22>451i2wp8?;50;296?4f8:0:?;m4}z611?6=8381>l>::015a>{|<;?1<7>52;0b42<6;>:0qv:=5;294?4=:h:26<=82:x073=83:1>7997>50;096d6c289<:6st43794?6=:38j36:8y~25=3:1<7<52`32>450i2wp8?;50;296?4f9:09;45r{504>5<72;09m<:526`8y~25?3:1<7<52`36>71f3tq?>84?:181>7g6j385<72;09m7??3tq?>:4?:181>7g6l383j6st43594?6=:38j=h4=919~142290;6l4=a729561d289m6<<;9;3171<690:1=<66;|y760<7290?6?o91;3:>41=98:97pu;2483>5<3k38j:?4k148g5<<4k248g6<m0o;<4k748g3<<4j248f6<461<3;;::5r}ABSxFG \ No newline at end of file diff --git a/toplevel_map.xrpt b/toplevel_map.xrpt index 56e5e09..f5244db 100644 --- a/toplevel_map.xrpt +++ b/toplevel_map.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -27,13 +27,13 @@ - +
- - + +
@@ -106,9 +106,9 @@ - - - + + +
@@ -117,9 +117,9 @@ - - - + + + @@ -134,21 +134,21 @@ - + - + - + - + - - - - + + + +
diff --git a/toplevel_ngdbuild.xrpt b/toplevel_ngdbuild.xrpt index 3f9c1ae..61db540 100644 --- a/toplevel_ngdbuild.xrpt +++ b/toplevel_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -27,13 +27,13 @@ - +
- - + +
diff --git a/toplevel_pad.csv b/toplevel_pad.csv index 2a14b97..2c596c7 100644 --- a/toplevel_pad.csv +++ b/toplevel_pad.csv @@ -1,7 +1,7 @@ #Release 13.2 - par O.61xd (lin64) #Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -#Wed Nov 7 12:11:06 2012 +#Thu Nov 8 17:50:42 2012 # ## NOTE: This file is designed to be imported into a spreadsheet program @@ -20,7 +20,7 @@ # -----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----,-----, Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,Drive (mA),Slew Rate,Termination,IOB Delay,Voltage,Constraint,IO Register,Signal Integrity, A1,,,GND,,,,,,,,,,,, -A2,pcm_out<0>,IOB,IO_L52N_M3A9_3,OUTPUT,LVCMOS25*,3,12,,,,,LOCATED,YES,NONE, +A2,,IOBS,IO_L52N_M3A9_3,UNUSED,,3,,,,,,,,, A3,,IOBS,IO_L83N_VREF_3,UNUSED,,3,,,,,,,,, A4,,IOBS,IO_L1N_VREF_0,UNUSED,,0,,,,,,,,, A5,,IOBS,IO_L2N_0,UNUSED,,0,,,,,,,,, @@ -35,8 +35,8 @@ A13,,IOBS,IO_L63N_SCP6_0,UNUSED,,0,,,,,,,,, A14,,IOBS,IO_L65N_SCP2_0,UNUSED,,0,,,,,,,,, A15,,,TMS,,,,,,,,,,,, A16,,,GND,,,,,,,,,,,, -B1,pcm_out<2>,IOB,IO_L50N_M3BA2_3,OUTPUT,LVCMOS25*,3,12,,,,,LOCATED,YES,NONE, -B2,pcm_out<1>,IOB,IO_L52P_M3A8_3,OUTPUT,LVCMOS25*,3,12,,,,,LOCATED,YES,NONE, +B1,,IOBS,IO_L50N_M3BA2_3,UNUSED,,3,,,,,,,,, +B2,,IOBM,IO_L52P_M3A8_3,UNUSED,,3,,,,,,,,, B3,,IOBM,IO_L83P_3,UNUSED,,3,,,,,,,,, B4,,,VCCO_0,,,0,,,,,any******,,,, B5,,IOBM,IO_L2P_0,UNUSED,,0,,,,,,,,, @@ -49,9 +49,9 @@ B11,,,GND,,,,,,,,,,,, B12,,IOBM,IO_L62P_0,UNUSED,,0,,,,,,,,, B13,,,VCCO_0,,,0,,,,,any******,,,, B14,,IOBM,IO_L65P_SCP3_0,UNUSED,,0,,,,,,,,, -B15,,IOBM,IO_L29P_A23_M1A13_1,UNUSED,,1,,,,,,,,, -B16,,IOBS,IO_L29N_A22_M1A14_1,UNUSED,,1,,,,,,,,, -C1,pcm_out<3>,IOB,IO_L50P_M3WE_3,OUTPUT,LVCMOS25*,3,12,,,,,LOCATED,YES,NONE, +B15,pcm_out<0>,IOB,IO_L29P_A23_M1A13_1,OUTPUT,LVCMOS25*,1,12,,,,,LOCATED,YES,NONE, +B16,pcm_out<1>,IOB,IO_L29N_A22_M1A14_1,OUTPUT,LVCMOS25*,1,12,,,,,LOCATED,YES,NONE, +C1,,IOBM,IO_L50P_M3WE_3,UNUSED,,3,,,,,,,,, C2,,IOBS,IO_L48N_M3BA1_3,UNUSED,,3,,,,,,,,, C3,,IOBM,IO_L48P_M3BA0_3,UNUSED,,3,,,,,,,,, C4,,IOBM,IO_L1P_HSWAPEN_0,UNUSED,,0,,,,,,,,, @@ -65,10 +65,10 @@ C11,,IOBM,IO_L39P_0,UNUSED,,0,,,,,,,,, C12,,,TDI,,,,,,,,,,,, C13,,IOBM,IO_L63P_SCP7_0,UNUSED,,0,,,,,,,,, C14,,,TCK,,,,,,,,,,,, -C15,,IOBM,IO_L33P_A15_M1A10_1,UNUSED,,1,,,,,,,,, -C16,,IOBS,IO_L33N_A14_M1A4_1,UNUSED,,1,,,,,,,,, +C15,pcm_out<2>,IOB,IO_L33P_A15_M1A10_1,OUTPUT,LVCMOS25*,1,12,,,,,LOCATED,YES,NONE, +C16,pcm_out<3>,IOB,IO_L33N_A14_M1A4_1,OUTPUT,LVCMOS25*,1,12,,,,,LOCATED,YES,NONE, D1,,IOBS,IO_L49N_M3A2_3,UNUSED,,3,,,,,,,,, -D2,,,VCCO_3,,,3,,,,,2.50,,,, +D2,,,VCCO_3,,,3,,,,,any******,,,, D3,,IOBM,IO_L49P_M3A7_3,UNUSED,,3,,,,,,,,, D4,,,GND,,,,,,,,,,,, D5,,IOBM,IO_L3P_0,UNUSED,,0,,,,,,,,, @@ -81,7 +81,7 @@ D11,,IOBM,IO_L66P_SCP1_0,UNUSED,,0,,,,,,,,, D12,,IOBS,IO_L66N_SCP0_0,UNUSED,,0,,,,,,,,, D13,,,GND,,,,,,,,,,,, D14,,IOBM,IO_L31P_A19_M1CKE_1,UNUSED,,1,,,,,,,,, -D15,,,VCCO_1,,,1,,,,,any******,,,, +D15,,,VCCO_1,,,1,,,,,2.50,,,, D16,,IOBS,IO_L31N_A18_M1A12_1,UNUSED,,1,,,,,,,,, E1,,IOBS,IO_L46N_M3CLKN_3,UNUSED,,3,,,,,,,,, E2,,IOBM,IO_L46P_M3CLK_3,UNUSED,,3,,,,,,,,, @@ -113,12 +113,12 @@ F11,,,VCCAUX,,,,,,,,2.5,,,, F12,,IOBM,IO_L30P_A21_M1RESET_1,UNUSED,,1,,,,,,,,, F13,,IOBM,IO_L32P_A17_M1A8_1,UNUSED,,1,,,,,,,,, F14,,IOBS,IO_L32N_A16_M1A9_1,UNUSED,,1,,,,,,,,, -F15,,IOBM,IO_L35P_A11_M1A7_1,UNUSED,,1,,,,,,,,, -F16,,IOBS,IO_L35N_A10_M1A2_1,UNUSED,,1,,,,,,,,, +F15,mosi,IOB,IO_L35P_A11_M1A7_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,NO,NONE, +F16,ssel,IOB,IO_L35N_A10_M1A2_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,YES,NONE, G1,,IOBS,IO_L40N_M3DQ7_3,UNUSED,,3,,,,,,,,, G2,,,GND,,,,,,,,,,,, G3,,IOBM,IO_L40P_M3DQ6_3,UNUSED,,3,,,,,,,,, -G4,,,VCCO_3,,,3,,,,,2.50,,,, +G4,,,VCCO_3,,,3,,,,,any******,,,, G5,,IOBS,IO_L51N_M3A4_3,UNUSED,,3,,,,,,,,, G6,,IOBM,IO_L51P_M3A10_3,UNUSED,,3,,,,,,,,, G7,,,VCCINT,,,,,,,,1.2,,,, @@ -127,12 +127,12 @@ G9,,,VCCINT,,,,,,,,1.2,,,, G10,,,VCCAUX,,,,,,,,2.5,,,, G11,,IOBS,IO_L30N_A20_M1A11_1,UNUSED,,1,,,,,,,,, G12,,IOBM,IO_L38P_A5_M1CLK_1,UNUSED,,1,,,,,,,,, -G13,,,VCCO_1,,,1,,,,,any******,,,, +G13,,,VCCO_1,,,1,,,,,2.50,,,, G14,,IOBM,IO_L36P_A9_M1BA0_1,UNUSED,,1,,,,,,,,, G15,,,GND,,,,,,,,,,,, G16,,IOBS,IO_L36N_A8_M1BA1_1,UNUSED,,1,,,,,,,,, -H1,mosi,IOB,IO_L39N_M3LDQSN_3,INPUT,LVCMOS25*,3,,,,NONE,,LOCATED,NO,NONE, -H2,ssel,IOB,IO_L39P_M3LDQS_3,INPUT,LVCMOS25*,3,,,,NONE,,LOCATED,YES,NONE, +H1,,IOBS,IO_L39N_M3LDQSN_3,UNUSED,,3,,,,,,,,, +H2,,IOBM,IO_L39P_M3LDQS_3,UNUSED,,3,,,,,,,,, H3,,IOBS,IO_L44N_GCLK20_M3A6_3,UNUSED,,3,,,,,,,,, H4,,IOBM,IO_L44P_GCLK21_M3A5_3,UNUSED,,3,,,,,,,,, H5,,IOBS,IO_L43N_GCLK22_IRDY2_M3CASN_3,UNUSED,,3,,,,,,,,, @@ -148,9 +148,9 @@ H14,,IOBS,IO_L39N_M1ODT_1,UNUSED,,1,,,,,,,,, H15,,IOBM,IO_L37P_A7_M1A0_1,UNUSED,,1,,,,,,,,, H16,,IOBS,IO_L37N_A6_M1A1_1,UNUSED,,1,,,,,,,,, J1,,IOBS,IO_L38N_M3DQ3_3,UNUSED,,3,,,,,,,,, -J2,,,VCCO_3,,,3,,,,,2.50,,,, +J2,,,VCCO_3,,,3,,,,,any******,,,, J3,,IOBM,IO_L38P_M3DQ2_3,UNUSED,,3,,,,,,,,, -J4,miso,IOB,IO_L42N_GCLK24_M3LDM_3,TRISTATE,LVCMOS25*,3,12,,,,,LOCATED,NO,NONE, +J4,,IOBS,IO_L42N_GCLK24_M3LDM_3,UNUSED,,3,,,,,,,,, J5,,,GND,,,,,,,,,,,, J6,,IOBM,IO_L43P_GCLK23_M3RASN_3,UNUSED,,3,,,,,,,,, J7,,,VCCINT,,,,,,,,1.2,,,, @@ -160,13 +160,13 @@ J10,,,VCCAUX,,,,,,,,2.5,,,, J11,,IOBM,IO_L40P_GCLK11_M1A5_1,UNUSED,,1,,,,,,,,, J12,,IOBS,IO_L40N_GCLK10_M1A6_1,UNUSED,,1,,,,,,,,, J13,,IOBM,IO_L41P_GCLK9_IRDY1_M1RASN_1,UNUSED,,1,,,,,,,,, -J14,,IOBM,IO_L43P_GCLK5_M1DQ4_1,UNUSED,,1,,,,,,,,, -J15,,,VCCO_1,,,1,,,,,any******,,,, -J16,,IOBS,IO_L43N_GCLK4_M1DQ5_1,UNUSED,,1,,,,,,,,, +J14,miso,IOB,IO_L43P_GCLK5_M1DQ4_1,TRISTATE,LVCMOS25*,1,12,,,,,LOCATED,NO,NONE, +J15,,,VCCO_1,,,1,,,,,2.50,,,, +J16,sclk,IOB,IO_L43N_GCLK4_M1DQ5_1,INPUT,LVCMOS25*,1,,,,NONE,,LOCATED,YES,NONE, K1,,IOBS,IO_L37N_M3DQ1_3,UNUSED,,3,,,,,,,,, K2,,IOBM,IO_L37P_M3DQ0_3,UNUSED,,3,,,,,,,,, -K3,sclk,IOB,IO_L42P_GCLK25_TRDY2_M3UDM_3,INPUT,LVCMOS25*,3,,,,NONE,,LOCATED,YES,NONE, -K4,,,VCCO_3,,,3,,,,,2.50,,,, +K3,,IOBM,IO_L42P_GCLK25_TRDY2_M3UDM_3,UNUSED,,3,,,,,,,,, +K4,,,VCCO_3,,,3,,,,,any******,,,, K5,,IOBM,IO_L47P_M3A0_3,UNUSED,,3,,,,,,,,, K6,,IOBS,IO_L47N_M3A1_3,UNUSED,,3,,,,,,,,, K7,,,GND,,,,,,,,,,,, @@ -175,7 +175,7 @@ K9,,,GND,,,,,,,,,,,, K10,,,VCCINT,,,,,,,,1.2,,,, K11,,IOBS,IO_L42N_GCLK6_TRDY1_M1LDM_1,UNUSED,,1,,,,,,,,, K12,,IOBM,IO_L42P_GCLK7_M1UDM_1,UNUSED,,1,,,,,,,,, -K13,,,VCCO_1,,,1,,,,,any******,,,, +K13,,,VCCO_1,,,1,,,,,2.50,,,, K14,,IOBS,IO_L41N_GCLK8_M1CASN_1,UNUSED,,1,,,,,,,,, K15,,IOBM,IO_L44P_A3_M1DQ6_1,UNUSED,,1,,,,,,,,, K16,,IOBS,IO_L44N_A2_M1DQ7_1,UNUSED,,1,,,,,,,,, @@ -212,7 +212,7 @@ M14,,IOBS,IO_L74N_DOUT_BUSY_1,UNUSED,,1,,,,,,,,, M15,,IOBM,IO_L46P_FCS_B_M1DQ2_1,UNUSED,,1,,,,,,,,, M16,,IOBS,IO_L46N_FOE_B_M1DQ3_1,UNUSED,,1,,,,,,,,, N1,,IOBS,IO_L34N_M3UDQSN_3,UNUSED,,3,,,,,,,,, -N2,,,VCCO_3,,,3,,,,,2.50,,,, +N2,,,VCCO_3,,,3,,,,,any******,,,, N3,,IOBM,IO_L34P_M3UDQS_3,UNUSED,,3,,,,,,,,, N4,,IOBS,IO_L2N_3,UNUSED,,3,,,,,,,,, N5,,IOBM,IO_L49P_D3_2,UNUSED,,2,,,,,,,,, @@ -225,7 +225,7 @@ N11,,IOBM,IO_L13P_M1_2,UNUSED,,2,,,,,,,,, N12,,IOBM,IO_L12P_D1_MISO2_2,UNUSED,,2,,,,,,,,, N13,,,GND,,,,,,,,,,,, N14,,IOBM,IO_L45P_A1_M1LDQS_1,UNUSED,,1,,,,,,,,, -N15,,,VCCO_1,,,1,,,,,any******,,,, +N15,,,VCCO_1,,,1,,,,,2.50,,,, N16,,IOBS,IO_L45N_A0_M1LDQSN_1,UNUSED,,1,,,,,,,,, P1,,IOBS,IO_L33N_M3DQ13_3,UNUSED,,3,,,,,,,,, P2,,IOBM,IO_L33P_M3DQ12_3,UNUSED,,3,,,,,,,,, @@ -255,7 +255,7 @@ R9,,IOBM,IO_L23P_2,UNUSED,,2,,,,,,,,, R10,,,GND,,,,,,,,,,,, R11,,IOBM,IO_L1P_CCLK_2,UNUSED,,2,,,,,,,,, R12,,IOBM,IO_L52P_M1DQ14_1,UNUSED,,1,,,,,,,,, -R13,,,VCCO_1,,,1,,,,,any******,,,, +R13,,,VCCO_1,,,1,,,,,2.50,,,, R14,,IOBM,IO_L50P_M1UDQS_1,UNUSED,,1,,,,,,,,, R15,,IOBM,IO_L49P_M1DQ10_1,UNUSED,,1,,,,,,,,, R16,,IOBS,IO_L49N_M1DQ11_1,UNUSED,,1,,,,,,,,, diff --git a/toplevel_pad.txt b/toplevel_pad.txt index 969cb16..4d20e19 100644 --- a/toplevel_pad.txt +++ b/toplevel_pad.txt @@ -1,7 +1,7 @@ Release 13.2 - par O.61xd (lin64) Copyright (c) 1995-2011 Xilinx, Inc. All rights reserved. -Wed Nov 7 12:11:06 2012 +Thu Nov 8 17:50:43 2012 INFO: The IO information is provided in three file formats as part of the Place and Route (PAR) process. These formats are: @@ -21,7 +21,7 @@ Pinout by Pin Number: |Pin Number|Signal Name|Pin Usage|Pin Name |Direction|IO Standard|IO Bank Number|Drive (mA)|Slew Rate|Termination|IOB Delay|Voltage |Constraint|IO Register|Signal Integrity| +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ |A1 | | |GND | | | | | | | | | | | | -|A2 |pcm_out<0> |IOB |IO_L52N_M3A9_3 |OUTPUT |LVCMOS25* |3 |12 | | | | |LOCATED |YES |NONE | +|A2 | |IOBS |IO_L52N_M3A9_3 |UNUSED | |3 | | | | | | | | | |A3 | |IOBS |IO_L83N_VREF_3 |UNUSED | |3 | | | | | | | | | |A4 | |IOBS |IO_L1N_VREF_0 |UNUSED | |0 | | | | | | | | | |A5 | |IOBS |IO_L2N_0 |UNUSED | |0 | | | | | | | | | @@ -36,8 +36,8 @@ Pinout by Pin Number: |A14 | |IOBS |IO_L65N_SCP2_0 |UNUSED | |0 | | | | | | | | | |A15 | | |TMS | | | | | | | | | | | | |A16 | | |GND | | | | | | | | | | | | -|B1 |pcm_out<2> |IOB |IO_L50N_M3BA2_3 |OUTPUT |LVCMOS25* |3 |12 | | | | |LOCATED |YES |NONE | -|B2 |pcm_out<1> |IOB |IO_L52P_M3A8_3 |OUTPUT |LVCMOS25* |3 |12 | | | | |LOCATED |YES |NONE | +|B1 | |IOBS |IO_L50N_M3BA2_3 |UNUSED | |3 | | | | | | | | | +|B2 | |IOBM |IO_L52P_M3A8_3 |UNUSED | |3 | | | | | | | | | |B3 | |IOBM |IO_L83P_3 |UNUSED | |3 | | | | | | | | | |B4 | | |VCCO_0 | | |0 | | | | |any******| | | | |B5 | |IOBM |IO_L2P_0 |UNUSED | |0 | | | | | | | | | @@ -50,9 +50,9 @@ Pinout by Pin Number: |B12 | |IOBM |IO_L62P_0 |UNUSED | |0 | | | | | | | | | |B13 | | |VCCO_0 | | |0 | | | | |any******| | | | |B14 | |IOBM |IO_L65P_SCP3_0 |UNUSED | |0 | | | | | | | | | -|B15 | |IOBM |IO_L29P_A23_M1A13_1 |UNUSED | |1 | | | | | | | | | -|B16 | |IOBS |IO_L29N_A22_M1A14_1 |UNUSED | |1 | | | | | | | | | -|C1 |pcm_out<3> |IOB |IO_L50P_M3WE_3 |OUTPUT |LVCMOS25* |3 |12 | | | | |LOCATED |YES |NONE | +|B15 |pcm_out<0> |IOB |IO_L29P_A23_M1A13_1 |OUTPUT |LVCMOS25* |1 |12 | | | | |LOCATED |YES |NONE | +|B16 |pcm_out<1> |IOB |IO_L29N_A22_M1A14_1 |OUTPUT |LVCMOS25* |1 |12 | | | | |LOCATED |YES |NONE | +|C1 | |IOBM |IO_L50P_M3WE_3 |UNUSED | |3 | | | | | | | | | |C2 | |IOBS |IO_L48N_M3BA1_3 |UNUSED | |3 | | | | | | | | | |C3 | |IOBM |IO_L48P_M3BA0_3 |UNUSED | |3 | | | | | | | | | |C4 | |IOBM |IO_L1P_HSWAPEN_0 |UNUSED | |0 | | | | | | | | | @@ -66,10 +66,10 @@ Pinout by Pin Number: |C12 | | |TDI | | | | | | | | | | | | |C13 | |IOBM |IO_L63P_SCP7_0 |UNUSED | |0 | | | | | | | | | |C14 | | |TCK | | | | | | | | | | | | -|C15 | |IOBM |IO_L33P_A15_M1A10_1 |UNUSED | |1 | | | | | | | | | -|C16 | |IOBS |IO_L33N_A14_M1A4_1 |UNUSED | |1 | | | | | | | | | +|C15 |pcm_out<2> |IOB |IO_L33P_A15_M1A10_1 |OUTPUT |LVCMOS25* |1 |12 | | | | |LOCATED |YES |NONE | +|C16 |pcm_out<3> |IOB |IO_L33N_A14_M1A4_1 |OUTPUT |LVCMOS25* |1 |12 | | | | |LOCATED |YES |NONE | |D1 | |IOBS |IO_L49N_M3A2_3 |UNUSED | |3 | | | | | | | | | -|D2 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|D2 | | |VCCO_3 | | |3 | | | | |any******| | | | |D3 | |IOBM |IO_L49P_M3A7_3 |UNUSED | |3 | | | | | | | | | |D4 | | |GND | | | | | | | | | | | | |D5 | |IOBM |IO_L3P_0 |UNUSED | |0 | | | | | | | | | @@ -82,7 +82,7 @@ Pinout by Pin Number: |D12 | |IOBS |IO_L66N_SCP0_0 |UNUSED | |0 | | | | | | | | | |D13 | | |GND | | | | | | | | | | | | |D14 | |IOBM |IO_L31P_A19_M1CKE_1 |UNUSED | |1 | | | | | | | | | -|D15 | | |VCCO_1 | | |1 | | | | |any******| | | | +|D15 | | |VCCO_1 | | |1 | | | | |2.50 | | | | |D16 | |IOBS |IO_L31N_A18_M1A12_1 |UNUSED | |1 | | | | | | | | | |E1 | |IOBS |IO_L46N_M3CLKN_3 |UNUSED | |3 | | | | | | | | | |E2 | |IOBM |IO_L46P_M3CLK_3 |UNUSED | |3 | | | | | | | | | @@ -114,12 +114,12 @@ Pinout by Pin Number: |F12 | |IOBM |IO_L30P_A21_M1RESET_1 |UNUSED | |1 | | | | | | | | | |F13 | |IOBM |IO_L32P_A17_M1A8_1 |UNUSED | |1 | | | | | | | | | |F14 | |IOBS |IO_L32N_A16_M1A9_1 |UNUSED | |1 | | | | | | | | | -|F15 | |IOBM |IO_L35P_A11_M1A7_1 |UNUSED | |1 | | | | | | | | | -|F16 | |IOBS |IO_L35N_A10_M1A2_1 |UNUSED | |1 | | | | | | | | | +|F15 |mosi |IOB |IO_L35P_A11_M1A7_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |NO |NONE | +|F16 |ssel |IOB |IO_L35N_A10_M1A2_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |YES |NONE | |G1 | |IOBS |IO_L40N_M3DQ7_3 |UNUSED | |3 | | | | | | | | | |G2 | | |GND | | | | | | | | | | | | |G3 | |IOBM |IO_L40P_M3DQ6_3 |UNUSED | |3 | | | | | | | | | -|G4 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|G4 | | |VCCO_3 | | |3 | | | | |any******| | | | |G5 | |IOBS |IO_L51N_M3A4_3 |UNUSED | |3 | | | | | | | | | |G6 | |IOBM |IO_L51P_M3A10_3 |UNUSED | |3 | | | | | | | | | |G7 | | |VCCINT | | | | | | | |1.2 | | | | @@ -128,12 +128,12 @@ Pinout by Pin Number: |G10 | | |VCCAUX | | | | | | | |2.5 | | | | |G11 | |IOBS |IO_L30N_A20_M1A11_1 |UNUSED | |1 | | | | | | | | | |G12 | |IOBM |IO_L38P_A5_M1CLK_1 |UNUSED | |1 | | | | | | | | | -|G13 | | |VCCO_1 | | |1 | | | | |any******| | | | +|G13 | | |VCCO_1 | | |1 | | | | |2.50 | | | | |G14 | |IOBM |IO_L36P_A9_M1BA0_1 |UNUSED | |1 | | | | | | | | | |G15 | | |GND | | | | | | | | | | | | |G16 | |IOBS |IO_L36N_A8_M1BA1_1 |UNUSED | |1 | | | | | | | | | -|H1 |mosi |IOB |IO_L39N_M3LDQSN_3 |INPUT |LVCMOS25* |3 | | | |NONE | |LOCATED |NO |NONE | -|H2 |ssel |IOB |IO_L39P_M3LDQS_3 |INPUT |LVCMOS25* |3 | | | |NONE | |LOCATED |YES |NONE | +|H1 | |IOBS |IO_L39N_M3LDQSN_3 |UNUSED | |3 | | | | | | | | | +|H2 | |IOBM |IO_L39P_M3LDQS_3 |UNUSED | |3 | | | | | | | | | |H3 | |IOBS |IO_L44N_GCLK20_M3A6_3 |UNUSED | |3 | | | | | | | | | |H4 | |IOBM |IO_L44P_GCLK21_M3A5_3 |UNUSED | |3 | | | | | | | | | |H5 | |IOBS |IO_L43N_GCLK22_IRDY2_M3CASN_3|UNUSED | |3 | | | | | | | | | @@ -149,9 +149,9 @@ Pinout by Pin Number: |H15 | |IOBM |IO_L37P_A7_M1A0_1 |UNUSED | |1 | | | | | | | | | |H16 | |IOBS |IO_L37N_A6_M1A1_1 |UNUSED | |1 | | | | | | | | | |J1 | |IOBS |IO_L38N_M3DQ3_3 |UNUSED | |3 | | | | | | | | | -|J2 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|J2 | | |VCCO_3 | | |3 | | | | |any******| | | | |J3 | |IOBM |IO_L38P_M3DQ2_3 |UNUSED | |3 | | | | | | | | | -|J4 |miso |IOB |IO_L42N_GCLK24_M3LDM_3 |TRISTATE |LVCMOS25* |3 |12 | | | | |LOCATED |NO |NONE | +|J4 | |IOBS |IO_L42N_GCLK24_M3LDM_3 |UNUSED | |3 | | | | | | | | | |J5 | | |GND | | | | | | | | | | | | |J6 | |IOBM |IO_L43P_GCLK23_M3RASN_3 |UNUSED | |3 | | | | | | | | | |J7 | | |VCCINT | | | | | | | |1.2 | | | | @@ -161,13 +161,13 @@ Pinout by Pin Number: |J11 | |IOBM |IO_L40P_GCLK11_M1A5_1 |UNUSED | |1 | | | | | | | | | |J12 | |IOBS |IO_L40N_GCLK10_M1A6_1 |UNUSED | |1 | | | | | | | | | |J13 | |IOBM |IO_L41P_GCLK9_IRDY1_M1RASN_1 |UNUSED | |1 | | | | | | | | | -|J14 | |IOBM |IO_L43P_GCLK5_M1DQ4_1 |UNUSED | |1 | | | | | | | | | -|J15 | | |VCCO_1 | | |1 | | | | |any******| | | | -|J16 | |IOBS |IO_L43N_GCLK4_M1DQ5_1 |UNUSED | |1 | | | | | | | | | +|J14 |miso |IOB |IO_L43P_GCLK5_M1DQ4_1 |TRISTATE |LVCMOS25* |1 |12 | | | | |LOCATED |NO |NONE | +|J15 | | |VCCO_1 | | |1 | | | | |2.50 | | | | +|J16 |sclk |IOB |IO_L43N_GCLK4_M1DQ5_1 |INPUT |LVCMOS25* |1 | | | |NONE | |LOCATED |YES |NONE | |K1 | |IOBS |IO_L37N_M3DQ1_3 |UNUSED | |3 | | | | | | | | | |K2 | |IOBM |IO_L37P_M3DQ0_3 |UNUSED | |3 | | | | | | | | | -|K3 |sclk |IOB |IO_L42P_GCLK25_TRDY2_M3UDM_3 |INPUT |LVCMOS25* |3 | | | |NONE | |LOCATED |YES |NONE | -|K4 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|K3 | |IOBM |IO_L42P_GCLK25_TRDY2_M3UDM_3 |UNUSED | |3 | | | | | | | | | +|K4 | | |VCCO_3 | | |3 | | | | |any******| | | | |K5 | |IOBM |IO_L47P_M3A0_3 |UNUSED | |3 | | | | | | | | | |K6 | |IOBS |IO_L47N_M3A1_3 |UNUSED | |3 | | | | | | | | | |K7 | | |GND | | | | | | | | | | | | @@ -176,7 +176,7 @@ Pinout by Pin Number: |K10 | | |VCCINT | | | | | | | |1.2 | | | | |K11 | |IOBS |IO_L42N_GCLK6_TRDY1_M1LDM_1 |UNUSED | |1 | | | | | | | | | |K12 | |IOBM |IO_L42P_GCLK7_M1UDM_1 |UNUSED | |1 | | | | | | | | | -|K13 | | |VCCO_1 | | |1 | | | | |any******| | | | +|K13 | | |VCCO_1 | | |1 | | | | |2.50 | | | | |K14 | |IOBS |IO_L41N_GCLK8_M1CASN_1 |UNUSED | |1 | | | | | | | | | |K15 | |IOBM |IO_L44P_A3_M1DQ6_1 |UNUSED | |1 | | | | | | | | | |K16 | |IOBS |IO_L44N_A2_M1DQ7_1 |UNUSED | |1 | | | | | | | | | @@ -213,7 +213,7 @@ Pinout by Pin Number: |M15 | |IOBM |IO_L46P_FCS_B_M1DQ2_1 |UNUSED | |1 | | | | | | | | | |M16 | |IOBS |IO_L46N_FOE_B_M1DQ3_1 |UNUSED | |1 | | | | | | | | | |N1 | |IOBS |IO_L34N_M3UDQSN_3 |UNUSED | |3 | | | | | | | | | -|N2 | | |VCCO_3 | | |3 | | | | |2.50 | | | | +|N2 | | |VCCO_3 | | |3 | | | | |any******| | | | |N3 | |IOBM |IO_L34P_M3UDQS_3 |UNUSED | |3 | | | | | | | | | |N4 | |IOBS |IO_L2N_3 |UNUSED | |3 | | | | | | | | | |N5 | |IOBM |IO_L49P_D3_2 |UNUSED | |2 | | | | | | | | | @@ -226,7 +226,7 @@ Pinout by Pin Number: |N12 | |IOBM |IO_L12P_D1_MISO2_2 |UNUSED | |2 | | | | | | | | | |N13 | | |GND | | | | | | | | | | | | |N14 | |IOBM |IO_L45P_A1_M1LDQS_1 |UNUSED | |1 | | | | | | | | | -|N15 | | |VCCO_1 | | |1 | | | | |any******| | | | +|N15 | | |VCCO_1 | | |1 | | | | |2.50 | | | | |N16 | |IOBS |IO_L45N_A0_M1LDQSN_1 |UNUSED | |1 | | | | | | | | | |P1 | |IOBS |IO_L33N_M3DQ13_3 |UNUSED | |3 | | | | | | | | | |P2 | |IOBM |IO_L33P_M3DQ12_3 |UNUSED | |3 | | | | | | | | | @@ -256,7 +256,7 @@ Pinout by Pin Number: |R10 | | |GND | | | | | | | | | | | | |R11 | |IOBM |IO_L1P_CCLK_2 |UNUSED | |2 | | | | | | | | | |R12 | |IOBM |IO_L52P_M1DQ14_1 |UNUSED | |1 | | | | | | | | | -|R13 | | |VCCO_1 | | |1 | | | | |any******| | | | +|R13 | | |VCCO_1 | | |1 | | | | |2.50 | | | | |R14 | |IOBM |IO_L50P_M1UDQS_1 |UNUSED | |1 | | | | | | | | | |R15 | |IOBM |IO_L49P_M1DQ10_1 |UNUSED | |1 | | | | | | | | | |R16 | |IOBS |IO_L49N_M1DQ11_1 |UNUSED | |1 | | | | | | | | | diff --git a/toplevel_par.xrpt b/toplevel_par.xrpt index 6f6f9de..1b4271e 100644 --- a/toplevel_par.xrpt +++ b/toplevel_par.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -27,13 +27,13 @@ - +
- - + +
@@ -48,12 +48,12 @@
- - + + - - + +
@@ -70,20 +70,20 @@ - + - - + + - - - + + + @@ -91,8 +91,8 @@ - - + +
@@ -119,16 +119,10 @@ - - + - - + - - - - @@ -230,29 +224,17 @@ - - + - - + - - - - - - + - - + - - - - @@ -331,31 +313,37 @@ - + + - + + + + + + - - - - - - - - + - + - + + + + + + + + @@ -443,17 +431,29 @@ - + + - + + + + + + - + + - + + + + + + @@ -466,7 +466,7 @@ - + @@ -548,7 +548,7 @@ - + @@ -757,17 +757,29 @@ - + + - + + + + + + - + + - + + + + + + @@ -791,7 +803,7 @@ - + @@ -844,7 +856,7 @@ - + @@ -866,29 +878,17 @@ - - + - - + - - - - - - + - - + - - - - @@ -984,7 +984,7 @@ - + @@ -995,16 +995,10 @@ - - + - - + - - - - @@ -1059,23 +1053,35 @@ - + + - + + + + + + - + - + + - + + + + + + @@ -1093,22 +1099,16 @@ - - + - - + - - - - - + @@ -1160,7 +1160,7 @@ - + @@ -1402,7 +1402,7 @@ - + @@ -1487,7 +1487,7 @@ - + @@ -1679,7 +1679,7 @@ - + @@ -1820,7 +1820,7 @@
- +
@@ -1842,13 +1842,13 @@ - +
- - + +
@@ -1860,9 +1860,9 @@ - - - + + + @@ -1877,21 +1877,21 @@ - + - + - + - + - - - - + + + +
diff --git a/toplevel_summary.xml b/toplevel_summary.xml index 313f272..d61aafc 100644 --- a/toplevel_summary.xml +++ b/toplevel_summary.xml @@ -4,7 +4,7 @@ changes made to this file may result in unpredictable behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> - + diff --git a/toplevel_test.vhd b/toplevel_test.vhd index 7388d2b..3696f5b 100644 --- a/toplevel_test.vhd +++ b/toplevel_test.vhd @@ -70,7 +70,7 @@ BEGIN BEGIN wait for 1 us; -- wait until global set/reset completes - test_output <= X"00ff"; + test_output <= X"0080"; ssel <= '0'; wait for sclk_period/4; for i in 15 downto 0 loop sclk <= '0'; @@ -79,7 +79,7 @@ BEGIN sclk <= '1'; wait for sclk_period/2; end loop; - test_output <= X"0128"; + test_output <= X"4020"; for i in 15 downto 0 loop sclk <= '0'; mosi <= test_output(i); @@ -87,7 +87,7 @@ BEGIN sclk <= '1'; wait for sclk_period/2; end loop; - test_output <= X"0050"; + test_output <= X"0010"; for i in 7 downto 0 loop sclk <= '0'; mosi <= test_output(i); @@ -97,6 +97,38 @@ BEGIN end loop; wait for sclk_period/4; ssel <= '1'; + + + wait for 3 ms; + + test_output <= X"0000"; + ssel <= '0'; wait for sclk_period/4; + for i in 15 downto 0 loop + sclk <= '0'; + mosi <= test_output(i); + wait for sclk_period/2; + sclk <= '1'; + wait for sclk_period/2; + end loop; + test_output <= X"1020"; + for i in 15 downto 0 loop + sclk <= '0'; + mosi <= test_output(i); + wait for sclk_period/2; + sclk <= '1'; + wait for sclk_period/2; + end loop; + test_output <= X"0030"; + for i in 7 downto 0 loop + sclk <= '0'; + mosi <= test_output(i); + wait for sclk_period/2; + sclk <= '1'; + wait for sclk_period/2; + end loop; + wait for sclk_period/4; ssel <= '1'; + + wait; END PROCESS tb; diff --git a/toplevel_usage.xml b/toplevel_usage.xml index 1088d0a..1bdec42 100644 --- a/toplevel_usage.xml +++ b/toplevel_usage.xml @@ -4,1232 +4,1232 @@ changes made to this file may result in unpredictable behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> - - - + + + - + - + - - - - - + + + + + - - - + + + - - - - - - - - - + + + + + + + + + - - - + + + - + - + - - - + + + - - - + + + - + - - - - - + + + + + - - - - - - - - - + + + + + + + + + - + - - - + + + + + - - - - - - - - - + + + - + + + + + - - - + + + + + - + - + - + - + - + - - - + + + + + - - - + - + - + - - - + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - - - - - + + + + + - - - - - + + + + + - + - + - + - + - + - - - + + + - + - - - + + + - + - - - + + + - + - - + + - + - + - + - + - + - + - + - + - - - + + + - + - + - + - + - + - + - + - - - - - + + + + + - + - + - + - + - - - + + + - + - - + + - - - - - - - - - + + + + + + + + + - + - + - + - + - - + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - + + - + - - - - - - - - - - + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - - - - - - - - + - + + + + + + + + + + + + + - + - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - + + + - - - - - + - - - - - - - - - - - + + + + + + + + + + + + + + + - - - + + + - + - - - + + + + + + + + + + + + + + + + + + + - - - - - - - + + + + + + + + + + + + + - - - + + + - + + + - - - - - - - + + + + + - - - - - - - - - + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - + + + + + + - + - + - + - + - - - - - - + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - - - - - - - - - - + + + + + + + + + + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + diff --git a/usage_statistics_webtalk.html b/usage_statistics_webtalk.html index 9861569..67aef2c 100644 --- a/usage_statistics_webtalk.html +++ b/usage_statistics_webtalk.html @@ -17,7 +17,7 @@

Device Usage Page (usage_statistics_webtalk.html)

This HTML page display Project ID (random number) -484846c13c904279b3ee43cd80e8d0b9.FA4F06E52F86657846F8DFEEA488ADA3.1 +484846c13c904279b3ee43cd80e8d0b9.FA4F06E52F86657846F8DFEEA488ADA3.2 Target Package: ftg256 @@ -29,7 +29,7 @@

Device Usage Page (usage_statistics_webtalk.html)

This HTML page display Date Generated -2012-11-07T12:15:48 +2012-11-08T17:50:57 Tool Flow ISE @@ -45,9 +45,9 @@

Device Usage Page (usage_statistics_webtalk.html)

This HTML page display CPU Name -Intel(R) Core(TM) i3 CPU M 330 @ 2.13GHz +AMD Phenom(tm) II X4 960T Processor CPU Speed -1866.000 MHz +800.000 MHz OS Name @@ -57,9 +57,9 @@

Device Usage Page (usage_statistics_webtalk.html)

This HTML page display CPU Name -Intel(R) Core(TM) i3 CPU M 330 @ 2.13GHz +AMD Phenom(tm) II X4 960T Processor CPU Speed -933.000 MHz +800.000 MHz  
@@ -118,12 +118,12 @@

Device Usage Page (usage_statistics_webtalk.html)

This HTML page display
  • AGG_BONDED_IO=9
  • AGG_IO=9
  • AGG_LOCED_IO=9
  • -
  • AGG_SLICE=113
  • +
  • AGG_SLICE=117
  • NUM_BONDED_IOB=9
  • -
  • NUM_BSFULL=154
  • -
  • NUM_BSLUTONLY=84
  • -
  • NUM_BSREGONLY=100
  • -
  • NUM_BSUSED=338
  • +
  • NUM_BSFULL=148
  • +
  • NUM_BSLUTONLY=97
  • +
  • NUM_BSREGONLY=99
  • +
  • NUM_BSUSED=344
  • NUM_BUFG=4
  • NUM_BUFIO2=1
  • NUM_BUFIO2FB=1
  • @@ -132,24 +132,24 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • NUM_IOB_FF=6
  • NUM_LOCED_IOB=9
  • NUM_LOGIC_O5ANDO6=57
  • -
  • NUM_LOGIC_O5ONLY=66
  • -
  • NUM_LOGIC_O6ONLY=104
  • +
  • NUM_LOGIC_O5ONLY=65
  • +
  • NUM_LOGIC_O6ONLY=105
  • NUM_LUT_RT_DRIVES_CARRY4=6
  • -
  • NUM_LUT_RT_DRIVES_FLOP=5
  • -
  • NUM_LUT_RT_EXO5=5
  • +
  • NUM_LUT_RT_DRIVES_FLOP=12
  • +
  • NUM_LUT_RT_EXO5=12
  • NUM_LUT_RT_EXO6=6
  • NUM_LUT_RT_O5=1
  • NUM_LUT_RT_O6=65
  • NUM_OLOGIC2=4
  • NUM_RAMB8BWER=2
  • NUM_SLICEL=31
  • -
  • NUM_SLICEX=82
  • +
  • NUM_SLICEX=86
  • NUM_SLICE_CARRY4=28
  • NUM_SLICE_CONTROLSET=31
  • -
  • NUM_SLICE_CYINIT=371
  • +
  • NUM_SLICE_CYINIT=378
  • NUM_SLICE_F7MUX=3
  • NUM_SLICE_FF=266
  • -
  • NUM_SLICE_UNUSEDCTRL=24
  • +
  • NUM_SLICE_UNUSEDCTRL=31
  • NUM_UNUSABLE_FF_BELS=102
  • Xilinx Core fifo_generator_v8_2, Xilinx CORE Generator 13.2=1
  • @@ -161,43 +161,44 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • NumNets_Active=463
  • NumNets_Gnd=1
  • NumNets_Vcc=1
  • -
  • NumNodesOfType_Active_BOUNCEACROSS=7
  • -
  • NumNodesOfType_Active_BOUNCEIN=63
  • +
  • NumNodesOfType_Active_BOUNCEACROSS=6
  • +
  • NumNodesOfType_Active_BOUNCEIN=67
  • NumNodesOfType_Active_BUFGOUT=4
  • -
  • NumNodesOfType_Active_BUFHINP2OUT=8
  • +
  • NumNodesOfType_Active_BUFHINP2OUT=12
  • NumNodesOfType_Active_BUFIOINP=2
  • -
  • NumNodesOfType_Active_CLKPIN=93
  • -
  • NumNodesOfType_Active_CLKPINFEED=18
  • -
  • NumNodesOfType_Active_CNTRLPIN=85
  • -
  • NumNodesOfType_Active_DOUBLE=360
  • +
  • NumNodesOfType_Active_CLKPIN=90
  • +
  • NumNodesOfType_Active_CLKPINFEED=22
  • +
  • NumNodesOfType_Active_CNTRLPIN=83
  • +
  • NumNodesOfType_Active_DOUBLE=349
  • NumNodesOfType_Active_GENERIC=20
  • -
  • NumNodesOfType_Active_GLOBAL=65
  • +
  • NumNodesOfType_Active_GLOBAL=73
  • NumNodesOfType_Active_INPUT=83
  • NumNodesOfType_Active_IOBIN2OUT=13
  • NumNodesOfType_Active_IOBOUTPUT=13
  • -
  • NumNodesOfType_Active_LUTINPUT=802
  • +
  • NumNodesOfType_Active_LUTINPUT=809
  • NumNodesOfType_Active_OUTBOUND=452
  • -
  • NumNodesOfType_Active_OUTPUT=455
  • +
  • NumNodesOfType_Active_OUTPUT=457
  • NumNodesOfType_Active_PADINPUT=6
  • NumNodesOfType_Active_PADOUTPUT=4
  • -
  • NumNodesOfType_Active_PINBOUNCE=311
  • -
  • NumNodesOfType_Active_PINFEED=1003
  • +
  • NumNodesOfType_Active_PINBOUNCE=302
  • +
  • NumNodesOfType_Active_PINFEED=1005
  • NumNodesOfType_Active_PINFEED2=7
  • -
  • NumNodesOfType_Active_QUAD=95
  • -
  • NumNodesOfType_Active_REGINPUT=135
  • -
  • NumNodesOfType_Active_SINGLE=637
  • -
  • NumNodesOfType_Gnd_BOUNCEIN=10
  • +
  • NumNodesOfType_Active_QUAD=196
  • +
  • NumNodesOfType_Active_REGINPUT=128
  • +
  • NumNodesOfType_Active_SINGLE=606
  • +
  • NumNodesOfType_Gnd_BOUNCEIN=18
  • NumNodesOfType_Gnd_CLKPIN=1
  • NumNodesOfType_Gnd_CNTRLPIN=3
  • -
  • NumNodesOfType_Gnd_HGNDOUT=8
  • +
  • NumNodesOfType_Gnd_DOUBLE=1
  • +
  • NumNodesOfType_Gnd_HGNDOUT=11
  • NumNodesOfType_Gnd_INPUT=55
  • -
  • NumNodesOfType_Gnd_OUTBOUND=4
  • -
  • NumNodesOfType_Gnd_OUTPUT=4
  • -
  • NumNodesOfType_Gnd_PINBOUNCE=18
  • -
  • NumNodesOfType_Gnd_PINFEED=54
  • +
  • NumNodesOfType_Gnd_OUTBOUND=3
  • +
  • NumNodesOfType_Gnd_OUTPUT=5
  • +
  • NumNodesOfType_Gnd_PINBOUNCE=22
  • +
  • NumNodesOfType_Gnd_PINFEED=55
  • NumNodesOfType_Gnd_REGINPUT=5
  • -
  • NumNodesOfType_Gnd_SINGLE=5
  • -
  • NumNodesOfType_Vcc_HVCCOUT=47
  • +
  • NumNodesOfType_Gnd_SINGLE=2
  • +
  • NumNodesOfType_Vcc_HVCCOUT=50
  • NumNodesOfType_Vcc_INPUT=1
  • NumNodesOfType_Vcc_LUTINPUT=123
  • NumNodesOfType_Vcc_PINFEED=124
  • @@ -208,9 +209,9 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • BUFG-BUFGMUX=4
  • IOB-IOBM=4
  • IOB-IOBS=5
  • -
  • SLICEL-SLICEM=20
  • -
  • SLICEX-SLICEL=18
  • -
  • SLICEX-SLICEM=21
  • +
  • SLICEL-SLICEM=14
  • +
  • SLICEX-SLICEL=24
  • +
  • SLICEX-SLICEM=18
  • @@ -228,7 +229,7 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • CARRY4=28
  • DCM=1
  • DCM_DCM=1
  • -
  • FF_SR=17
  • +
  • FF_SR=24
  • HARD0=8
  • HARD1=4
  • ILOGIC2=2
  • @@ -237,17 +238,17 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • IOB_IMUX=4
  • IOB_INBUF=4
  • IOB_OUTBUF=5
  • -
  • LUT5=129
  • -
  • LUT6=232
  • +
  • LUT5=135
  • +
  • LUT6=233
  • OLOGIC2=4
  • OLOGIC2_OUTFF=4
  • PAD=9
  • RAMB8BWER=2
  • RAMB8BWER_RAMB8BWER=2
  • -
  • REG_SR=249
  • +
  • REG_SR=242
  • SELMUX2_1=7
  • SLICEL=31
  • -
  • SLICEX=82
  • +
  • SLICEX=86
  • @@ -301,9 +302,9 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display FF_SR
      -
    • CK=[CK:16] [CK_INV:1]
    • -
    • SRINIT=[SRINIT0:17]
    • -
    • SYNC_ATTR=[ASYNC:13] [SYNC:4]
    • +
    • CK=[CK:23] [CK_INV:1]
    • +
    • SRINIT=[SRINIT0:24]
    • +
    • SYNC_ATTR=[ASYNC:21] [SYNC:3]
    ILOGIC2 @@ -388,10 +389,10 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
    REG_SR
      -
    • CK=[CK:249] [CK_INV:0]
    • -
    • LATCH_OR_FF=[FF:249]
    • -
    • SRINIT=[SRINIT0:238] [SRINIT1:11]
    • -
    • SYNC_ATTR=[ASYNC:170] [SYNC:79]
    • +
    • CK=[CK:242] [CK_INV:0]
    • +
    • LATCH_OR_FF=[FF:242]
    • +
    • SRINIT=[SRINIT0:231] [SRINIT1:11]
    • +
    • SYNC_ATTR=[ASYNC:162] [SYNC:80]
    SLICEL @@ -401,7 +402,7 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
    SLICEX
      -
    • CLK=[CLK:66] [CLK_INV:1]
    • +
    • CLK=[CLK:63] [CLK_INV:1]
    @@ -493,11 +494,11 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display FF_SR
      -
    • CE=9
    • -
    • CK=17
    • -
    • D=17
    • -
    • Q=17
    • -
    • SR=8
    • +
    • CE=16
    • +
    • CK=24
    • +
    • D=24
    • +
    • Q=24
    • +
    • SR=7
    HARD0 @@ -559,9 +560,9 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • A1=12
  • A2=39
  • A3=42
  • -
  • A4=44
  • -
  • A5=48
  • -
  • O5=129
  • +
  • A4=43
  • +
  • A5=54
  • +
  • O5=135
  • LUT6 @@ -570,9 +571,9 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
  • A2=108
  • A3=122
  • A4=218
  • -
  • A5=152
  • -
  • A6=223
  • -
  • O6=232
  • +
  • A5=153
  • +
  • A6=224
  • +
  • O6=233
  • OLOGIC2 @@ -593,6 +594,8 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
    • PAD=9
    + +
    RAMB8BWER
      @@ -794,11 +797,11 @@

      Device Usage Page (usage_statistics_webtalk.html)

      This HTML page display REG_SR
        -
      • CE=161
      • -
      • CK=249
      • -
      • D=249
      • -
      • Q=249
      • -
      • SR=105
      • +
      • CE=154
      • +
      • CK=242
      • +
      • D=242
      • +
      • Q=242
      • +
      • SR=106
      SELMUX2_1 @@ -839,14 +842,13 @@

      Device Usage Page (usage_statistics_webtalk.html)

      This HTML page display
    • COUT=18
    • CQ=19
    • CX=3
    • -
    • D=1
    • -
    • D1=4
    • -
    • D2=8
    • -
    • D3=8
    • -
    • D4=26
    • -
    • D5=10
    • -
    • D6=22
    • -
    • DMUX=3
    • +
    • D1=3
    • +
    • D2=7
    • +
    • D3=7
    • +
    • D4=24
    • +
    • D5=8
    • +
    • D6=21
    • +
    • DMUX=1
    • DQ=18
    • DX=1
    • SR=15
    • @@ -854,48 +856,48 @@

      Device Usage Page (usage_statistics_webtalk.html)

      This HTML page display
      SLICEX
        -
      • A=27
      • -
      • A1=20
      • -
      • A2=33
      • -
      • A3=36
      • +
      • A=29
      • +
      • A1=21
      • +
      • A2=31
      • +
      • A3=35
      • A4=40
      • -
      • A5=39
      • -
      • A6=39
      • -
      • AMUX=14
      • -
      • AQ=51
      • -
      • AX=35
      • -
      • B=24
      • -
      • B1=16
      • -
      • B2=21
      • -
      • B3=24
      • -
      • B4=26
      • +
      • A5=42
      • +
      • A6=41
      • +
      • AMUX=17
      • +
      • AQ=50
      • +
      • AX=34
      • +
      • B=22
      • +
      • B1=20
      • +
      • B2=25
      • +
      • B3=26
      • +
      • B4=27
      • B5=31
      • -
      • B6=30
      • -
      • BMUX=6
      • -
      • BQ=46
      • -
      • BX=39
      • -
      • C=24
      • -
      • C1=22
      • -
      • C2=23
      • -
      • C3=25
      • -
      • C4=26
      • -
      • C5=29
      • -
      • C6=29
      • -
      • CE=39
      • -
      • CLK=67
      • -
      • CMUX=9
      • +
      • B6=28
      • +
      • BMUX=5
      • +
      • BQ=43
      • +
      • BX=36
      • +
      • C=26
      • +
      • C1=21
      • +
      • C2=25
      • +
      • C3=29
      • +
      • C4=32
      • +
      • C5=35
      • +
      • C6=33
      • +
      • CE=37
      • +
      • CLK=64
      • +
      • CMUX=14
      • CQ=38
      • -
      • CX=30
      • +
      • CX=29
      • D=21
      • -
      • D1=15
      • -
      • D2=18
      • -
      • D3=21
      • -
      • D4=25
      • +
      • D1=12
      • +
      • D2=15
      • +
      • D3=17
      • +
      • D4=19
      • D5=28
      • -
      • D6=27
      • -
      • DMUX=7
      • -
      • DQ=37
      • -
      • DX=31
      • +
      • D6=25
      • +
      • DMUX=8
      • +
      • DQ=34
      • +
      • DX=29
      • SR=21
      @@ -923,6 +925,11 @@

      Device Usage Page (usage_statistics_webtalk.html)

      This HTML page display
    • par -w -intstyle ise -ol high -xe n -mt off <fname>.ncd <fname>.ncd <fname>.pcf
    • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
    • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
    • +
    • ngdbuild -intstyle ise -dd _ngo -sd <dname> -nt timestamp -uc <fname>.ucf -p xc6slx25-ftg256-3 <fname>.ngc <fname>.ngd
    • +
    • map -intstyle ise -p xc6slx25-ftg256-3 -w -logic_opt off -ol high -xe n -t 1 -xt 0 -register_duplication off -r 4 -global_opt off -mt off -ir off -pr b -lc off -power off -o <fname>.ncd <fname>.ngd <fname>.pcf
    • +
    • par -w -intstyle ise -ol high -xe n -mt off <fname>.ncd <fname>.ncd <fname>.pcf
    • +
    • trce -intstyle ise -v 3 -s 3 -n 3 -fastpaths -xml <fname>.twx <fname>.ncd -o <fname>.twr <fname>.pcf -ucf <fname>.ucf
    • +
    • bitgen -intstyle ise -f <fname>.ut <fname>.ncd
    • fuse
    @@ -950,7 +957,7 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display map - 4 + 2 2 0 0 @@ -959,9 +966,9 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display 0 - ngc2edif - 12 - 12 + ngcbuild + 2 + 2 0 0 0 @@ -970,8 +977,8 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display ngdbuild - 5 - 5 + 2 + 2 0 0 0 @@ -1000,8 +1007,8 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display xst - 29 - 29 + 3 + 3 0 0 0 @@ -1041,38 +1048,40 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display PROP_intProjectCreationTimestamp=2012-10-07T17:54:34 PROP_intWbtProjectID=FA4F06E52F86657846F8DFEEA488ADA3 -PROP_intWbtProjectIteration=1 +PROP_intWbtProjectIteration=2 PROP_intWorkingDirLocWRTProjDir=Same PROP_intWorkingDirUsed=No PROP_lockPinsUcfFile=changed PROP_selectedSimRootSourceNode_behav=work.testbench -PROP_xilxSynthRegBalancing=Yes +PROP_xilxBitgCfg_Rate_spartan6=10 + +PROP_xilxSynthRegBalancing=Yes +PROP_xstPackIORegister=Yes -PROP_xstPackIORegister=Yes -PROP_AutoTop=false +PROP_AutoTop=false +PROP_DevFamily=Spartan6 -PROP_DevFamily=Spartan6 -PROP_ISimsUseCustomWaveConfigFilename_behav=changed +PROP_ISimsUseCustomWaveConfigFilename_behav=changed +PROP_MapExtraEffort_spartan6=Normal -PROP_MapExtraEffort_spartan6=Normal -PROPEXT_xilxPARextraEffortLevel_spartan6=Normal +PROPEXT_xilxPARextraEffortLevel_spartan6=Normal +PROP_DevDevice=xc6slx25 -PROP_DevDevice=xc6slx25 -PROP_DevFamilyPMName=spartan6 +PROP_DevFamilyPMName=spartan6 +PROP_ISimSimulationRunTime_behav_tb=8 ms -PROP_ISimSimulationRunTime_behav_tb=6 ms -PROP_DevPackage=ftg256 +PROP_DevPackage=ftg256 +PROP_Synthesis_Tool=XST (VHDL/Verilog) -PROP_Synthesis_Tool=XST (VHDL/Verilog) -PROP_DevSpeed=-3 +PROP_DevSpeed=-3 +PROP_PreferredLanguage=VHDL -PROP_PreferredLanguage=VHDL -FILE_COREGEN=2 +FILE_COREGEN=2 +FILE_UCF=1 -FILE_UCF=1 -FILE_VHDL=9 +FILE_VHDL=9  
    @@ -1519,4 +1528,4 @@

    Device Usage Page (usage_statistics_webtalk.html)

    This HTML page display
    -equivalent_register_removal=YES -slice_utilization_ratio_maxmargin=5

    ISim Statistics
    Xilinx HDL Libraries Used=ieee, xilinxcorelib
    Fuse Resource Usage=500 ms, 654724 KB
    Total Signals=591
    Total Nets=3810
    Total Blocks=36
    Total Processes=109
    Total Simulation Time=10 us
    Simulation Resource Usage=0.2 sec, 236314 KB
    Simulation Mode=gui
    Hardware CoSim=0

    ISim Statistics
    Xilinx HDL Libraries Used=ieee, unisim, std, xilinxcorelib
    Fuse Resource Usage=710 ms, 687104 KB
    Total Signals=871
    Total Nets=3237
    Total Blocks=60
    Total Processes=215
    Total Simulation Time=8 ms
    Simulation Resource Usage=15.83 sec, 236711 KB
    Simulation Mode=gui
    Hardware CoSim=0
    diff --git a/webtalk.log b/webtalk.log index c2b321b..e43593d 100644 --- a/webtalk.log +++ b/webtalk.log @@ -4,7 +4,7 @@ Copyright (c) 1995-2010 Xilinx, Inc. All rights reserved. Project Information -------------------- ProjectID=FA4F06E52F86657846F8DFEEA488ADA3 -ProjectIteration=1 +ProjectIteration=2 WebTalk Summary ---------------- @@ -13,4 +13,4 @@ INFO:WebTalk:1 - WebTalk is enabled because you are using a WebPACK license. INFO:WebTalk:9 - WebTalk Install setting is OFF. INFO:WebTalk:6 - WebTalk User setting is ON. -INFO:WebTalk:5 - /home/jenn/git/quad_fpga/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/13.2/ISE_DS/ISE/data/reports/webtalk_introduction.html +INFO:WebTalk:5 - /home/jenn/quad/quad_fpga/usage_statistics_webtalk.html WebTalk report has not been sent to Xilinx. Please check your network and proxy settings. For additional details about this file, please refer to the WebTalk help file at /opt/Xilinx/13.2/ISE_DS/ISE/data/reports/webtalk_introduction.html diff --git a/webtalk_pn.xml b/webtalk_pn.xml index 7ece414..802ed73 100644 --- a/webtalk_pn.xml +++ b/webtalk_pn.xml @@ -3,11 +3,11 @@ - +
    - - + +
    @@ -29,11 +29,12 @@ This means code written to parse this file will need to be revisited each subseq - + + @@ -43,7 +44,7 @@ This means code written to parse this file will need to be revisited each subseq - + diff --git a/xlnx_auto_0_xdb/cst.xbcd b/xlnx_auto_0_xdb/cst.xbcd index 888a6c1..ab33c95 100644 Binary files a/xlnx_auto_0_xdb/cst.xbcd and b/xlnx_auto_0_xdb/cst.xbcd differ