From 3e42e3efde7ab6c329e2f1f7f2f42a5f8f64e418 Mon Sep 17 00:00:00 2001 From: Jean THOMAS Date: Thu, 6 Aug 2020 17:22:49 +0200 Subject: [PATCH] gram.core.multiplexer: Fix regression introduced in 7d8339c --- gram/core/multiplexer.py | 4 ++++ 1 file changed, 4 insertions(+) diff --git a/gram/core/multiplexer.py b/gram/core/multiplexer.py index 3a01278..4efdd17 100644 --- a/gram/core/multiplexer.py +++ b/gram/core/multiplexer.py @@ -367,6 +367,8 @@ def elaborate(self, platform): m.d.comb += steerer.sel[i].eq(STEER_REQ) elif i == settings.phy.rdcmdphase: m.d.comb += steerer.sel[i].eq(STEER_CMD) + else: + m.d.comb += steerer.sel[i].eq(STEER_NOP) with m.If(settings.phy.nphases == 1): m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed)) @@ -396,6 +398,8 @@ def elaborate(self, platform): m.d.comb += steerer.sel[i].eq(STEER_REQ) elif i == settings.phy.wrcmdphase: m.d.comb += steerer.sel[i].eq(STEER_CMD) + else: + m.d.comb += steerer.sel[i].eq(STEER_NOP) with m.If(settings.phy.nphases == 1): m.d.comb += choose_req.cmd.ready.eq(cas_allowed & (~choose_req.activate() | ras_allowed))