From b5f2f611f6aaa45333fa2ef1367699f4f3916ad9 Mon Sep 17 00:00:00 2001 From: Iztok Jeras Date: Sun, 10 Jul 2011 23:33:56 +0200 Subject: [PATCH] updates to write DMA (input from flash), but not finished --- doc/sockit_spi.odt | Bin 132023 -> 101177 bytes hdl/sockit_spi.v | 4 +- hdl/sockit_spi_dma.v | 63 ++++++++++++++++++++++--------- hdl/sockit_spi_reg.v | 2 +- hdl/spi_tb.v | 2 + sim/sim_iverilog.sav | 87 ++++++++++++++++++++++++++++++++++++------- 6 files changed, 124 insertions(+), 34 deletions(-) diff --git a/doc/sockit_spi.odt b/doc/sockit_spi.odt index 8c354d2891e1bc210c12729a33fd060946de858f..426ecf3d47e1deb82cf4a6861772689c32ef9f80 100644 GIT binary patch delta 46669 zcmbTdWl&tt*DZ{@ySqzpcL_4MYjAgWI1oIz2PZ*;ySqCC53a!p4nbe|{pES;et2)y z{cxtc*6zJmuf3{f&gq$H3WsY+g+W%9gM`8Y1A_wt8Bch08Fv~Bn{=72?R*vx)29#oLXrtWhm-Vb_Pu8o6nwcf z?5aXKl&vFPW8cUgUR#eWNawJv%#8b^81VR@X6bTi$nuib1!zZw3eT=jR{XLkOn0!f zNdNG}*t1x4mD=g=Sz9yFlzMb9si*eIdQ#8xc0t#c=Jm+F`bLi($A7zdO6vEN?9S$5 z%O3(uhgCZ_HOn~GK+s4suQ90Ghj zYu(mvR<5p2J%E+9+qTqauMbMav#ys5kY2wV^c?Mi>ocY%xg`2}vRqpjbFUg1Cp7AM z!|j8~(lh6SEi9#Cj!M}|`IX|*`L++8VQnnr<|oGq3!J~UKYM#z-tPA}YL&LFiY1Nw ztvXM9+Fy(lW?3pLH#J^CE466soD@`8=D+VS*C8pn2M0doLFpGR5@yYA1TC!0{npd- zmkN$00t2|21doCmB+=1G$&gc}pO0mHp{;tcvuHg`9oi zL(I){j6myQbLpY!pcKVP*5Uc;!b0k)PlS#c?pIOffnfW?%ILK*;*HCqxLd!RC9Lkl z+`gIc&_%#|rr|VK{E{`Bv}nH|VW_#);#_09!F={-XBC&;P|?}|T{rj}emI81Dp2HJJUfP6jf?Ya>o$HbBwl^=OzANtFM8Owx~tCU;8|WCr5&1YPRh!LWEm^(z51*Nrk$a?7<1F zyFH(X6v0e5t!kd5yTdOU6|z5y!sHpz)3(c>_Ek87e8>>(PAo{hv=#;zxCn>V;C1p8 zAk=}M###&dbrZ@-4QG?0AeQSt%YLMyi_07HUG@b6M$q20l0j0ip)wEi>=jJP31K(E zIC-_Tgfo*MH~MqrZ^;x$dbIWAN;Lou?}x)&ulEGcO%~_GQ(R zJ$D3TUI#YXx_qnkafOnyNg;S`-4%mr&Yk!zP`$O5DOk%*@gMXb)Z(64md0VLL_$4W zZ6Vc^Yz}mfk=CH|6p!L4c>|^W3xxq2VSG%L+)Pqbh23CG#*R;!R_;Hriss9-U5d70SNS{X{b}y!!q?`l0K_^q{ zV|k*V<-yjj0wE8Ut!6Vkb4ED{D!Z&yf{2T3n?#6-|JbFil8G{vVu%KVUkVnpuh8%d`$l9w3^IE!lK{}PkXvlu2&dc ztkE@G;(4THt!C*sJjnXDPNM61PQazy&k9b0vwOuG{nMRms9I{F83{5}Q)(-LS+RnU!A6pv+RE3;2*8My zk~u}ClT^T?HA#OY?wy%seVOTT5g5BQJTk-DsNSB&ceaq@H>nW#dXa9 z9WNjef6_Azo!_7;^auUJ`Ml|ra}+J{0yn1p*kka5dB$r4&t;{U!&_+=2Y;0Kmj)CW z*uy}Ek6_sNR?@ja3zz`C)dclKG3QJ&{3d+qyi7wE$=zpu5>rAhefp$2805w3lk5;< zl)_YkZ6qS$D-^f`)9BxTX zGCGMeVk8Yw-a15W2sY#4B`V{$1wq_` z7;^l(Ii_(TV^)-43#uW(rWer$wIYOYPKF0=UR5G1s4sUZ*Ta#J{VvTjB-uh;S@nqS zo4S&)J~3DF5ik;-q_+)6znzn@^A^qTDlTfE;fj~y6}K#|c>4WJ;QA1ww3F?@)Y#FXCxQ1}eUUZux z$~j;lR@v|b&U;wqLyoVNh?RagVf_#QJ?gJ;*qr*kYUetmD!9ifnRJ@K;m3jE;2~}@ z-SaA!;SiU8@t43N!@@E;mjF?~2YqJ$gS?=kC%tDzy-O8IzUF->haK1(hL8kXVr$#hVjE)akLf-4&?jN{3wQmuweLs2F9S-=5oZ=vett}Co3(CD5mpWRTj%@nNAgaS{%$@?ii==T zlzwS#u=1wx5F+wq!q4av>6X~*p$twhX83q6Yo`R=dj!t=oK^8}J%k?_zx6OG>xu`5 zYcsUuC}%S@9Jft_?4T&m95uOYHI-ueOt>=3g{V~J&PFuN3l?g60R98Tp&!zQyFUac z{AFN8D|V76t&%g$7IJ?phC_DRKjzQ*HNUv=4?8ME2`vmRYp_(0FxYMO*84OF zIJx{_ZZ@sc&s2d?tIS8Ss1hJ4EOj^MAI*+uUQ|I+J42EF5vi7MAmX`=C8`^&OBx8C z=#ztQ!9HwgZ>jWm5V$>#y)C^F3dR2Tbcwf}W@_Bl5TUt^CSIF`VZ4S=O&4v7il1bG zHcvV!5#>Hg;SdBh5{pQ)3LsAh=0{%@24{*o|EJDiqLXLBVaIC&^gDrWZ7W zL0Biuo}tn!R+%5xS1o{FSj0#_Dl<~meO$6lHuk9{Hv@)S87TUTs5s1`Oe`iD!(R8( zIq7%A^;u3Sj5IM9okrWHSN)#7T}`Eio`3!PO=4FdY{p@jI0!2A4)o_`l>L*}q1XLo zXKdcsd}kYRk=$#iv%deu_@kwW07@RF)Kc%$dNz}K-%l5LN~y7jVzyuw&bAqy{#dtp z5yT-4`=%M$exP=_PJVk*W1_`o$~GTNZ}Q%~xi2&{?*ITp8#Ox3@tSHR_>_y9%63=O zPw1&Gt)=iHyGi~0rAzU}!=hp#?AHHo(E#$&-(zm5e0}_>4)@Onr#DdVByDvy~-uP=x6s(f18t4>ul8OBi;O7O!Inq-TKW&$MP z#@Q8t6lH0q2z#JKUcyM6C#|dU__E;TB(-gk9%?5Y#r;-#LHu%s-5sYSHVS}%`|ey$vJ$QIsLrZ zos+WsSSKlq`IUXIL{3i&`7ad)HjqNg#9(7(RI@D1Ek9=P1IE8FBaU!$-IF#$mSns< z=5VNDonjZRd-4_@uZy?f8m0~Kd!@;3E22<)tEmf2J z>C9#%{z{=v;d2%lxDeJ=^?Ads=Zz!bp(0nda;A}4`uA-2$?36;=Ph`$Fu?L<`$(-> zgd%OJjWq+~^E^B<+_V8FKekB-)> zx>@OxNi~tzQ*7Dw!&yV!dGVCRMPz~z}-LdD{Fp)fiMVfDT z(x(Q51Phsvj5iqO9}tkkL<&VR?!T1D{l^rCA!j&Lo@56MN`0rSx{`B=B2|0QEJ?blU5A zzc*MD6n4M$W7X*tg?(sqnVS5T=XyaavSo0L@GQ6N96dDNu`NDs@zsW41F=o2+AoEv ztJ*XS`GV&fAIPfCd`&`r^&0!!P_-fPt?w6MQWbVqZN<-|z$dP?8Y3KfD9rb_(_L2%b3hGg67!r7F95%T4C5M$(t|co2Wjq~ z^ILU)2qFynKus+FROrr^Smm=APDtA&@)_!7BD>?;;>aN1Ifu;V!{hnwxzN{)oXCXD z=HQ{h?A3&`-VvNWR4xZg0s%#0Tf5=h18d)i2^x&>p+~obj@Q<8=XWF%N(g>iK(8f7gyE^J& z7gU03J>bn85Pyw@{RDxEdmtY_a`6{740V$U0Uwp$Jz( zzHf!oWtS|KB4Q8IcA4;ZA2gOR`CY}*#=G%du2rl&g%Sf)& zf29J$T-dwYy9Dl!d_M*{dGTthnd0(riWl4xGxe{NYOfL6Cox`?JqATcxWm4+7-P^X z-wRnc=CU};`#mm%d+dw_P*EJXIAasjH%Jp(mKM#X1G+$tzp+9NL7mk&< z;@7Uo=XKsK?Vw8yEo@MXlZq%r<^E`#<2D*-P(c8^4>)WgOQD=NnNy>r9Zvv1$c z{x|q$_PgkJ5W7Yf^A_*RKMiU6|7!^FzSIBR5#^=eTO3qx!DV*ZLGe$AgB-%Di*o+I z$s?XoD1;C^Sp@Ol4uS@AAv{c`NSGEIG*8%wC)Y)13+?Sm(*7voq*e&^Zv4*bT8H5L zqbNT%cb`NHWmAMP(Q2uud@W@MjpKm1+QY=T0` zJLp}qqB+N7%~JA4%pKqCB3zNu+<}8Zc{@Y%{3UkTz58}M3sJu=$506NVjex&pmLy(M@@R-LjZAdFfYHRp)dKN`9n=Y^?BzAxUS# z`QsiCdMO_qpD^|Gx-k~Pk@Lz0$uI~7|5$I1 z5zO?@Bl|`6d6W2Vtw|!^R-BQKW?|@+Y>Qg4&37(EP{oJ=dp)nmFxsk>rRE(ULVcc8;Zo&>iO?8tEvk5n;QQprUt4{APJdq zQrXR%`mW*z{LQ*gWSNykePy{c_JT)4uFB}v0f+?WBDRXNTO4dT9!O|Oe3e3T9QYqr zX)VfQ8rEk+Sh5X>z$TQzcUP)9)>%5%-(Ek7zb-G2n1SyqYX(Eol|?FR4i%ISUT}-+ zz?)MirzmT3F_vwCC(o>vhY5+76Bu2a&=4GtPOlLGD{*?f-D(kZ?gH*3Mh+@EzF07EmM*Mdr==yo^QSIxG z_)+;Yn%|>C|5fP*V)+Qno$29w?0||jqsox@znFc%?cD>#aUfNOw@d0%=*-CLQ{LBi z1UB-EQbz@qm^sTY12$v~I&g1$c?+@RRiG%3nvj8Xh*BCc3Yp&b{OwY&`W z)HeU61l~EvOvr5h;cWQ7a3WfjLC)3UMd<$173Tll6&oF-kIescCG~&0Qd+8u1FIrE zYNXx54?5rwBi>tJOp*Q8$Gi(!xp;KnbbSbTFrZ>AHQA_@`baR4Zp=gu{_+reY09<# zVI*&+3_vzx(>G(QFd+qkr$a#lqkIm&NH<5-Pdp@7-3S`yVeQf49F?e{VJ|*8enn z6X%`n|AaN%5qFPAtk>K}MTxF_f|3`2(#p`XFa9j{(=c)h*#cq}`tg~N8ASvZC~kC< zOx&^Qu!U1Y5lII4aoA`cBRvh<(Sxd%cSLVK@u?wAD(bmp8N#m1Hav?hj1PB$0M?lF%(-`hW)a)%Go zT=MCx>ZGMO4xC0!{NA1M_5Yj%P0RB+N$$@dctxD7RXD{D9mTY~I!-Q>ye)6XWt(O_ zBQcx1Gmn1%U3mZBg?Ilf#N_qX_32V_s@>d`a;j~XRdl*^cB)<40Wh=th_aY&@1bZ( zVQHg;gnLGqx6NGZe5OyDu5%T$@Cw|yE?Ivtf zaAYB}y;bo1lie^$)2`?Uc=}Ri-->ZBFQ;>Elnka{$Hnji0A+xNPsMs$`L-xV+h=*sJU1VA>W3_u`3FMbkmoSG7A`oM#_mfpbsDxi3}iYrBni%-CIKeu`?4oMdXc3aK| zsn>U?d%N>DgW`w@!7eO}53DoWtH4!7=yOd!bGX-z0G^bE50aGoRx!8bvPh8?wJrIl zpE*Q-&4%!diU9NT+tU|4Xs=yX49f|$xNORJ zpv2ZyXv(LqXCi!FgkxELK^HHE`k`N{pYlJ*d41HZq6!T}ZjS^4Q4{$;j|7@qR-`w` zc*hUpI2;iKGO%)hBSKw*a7xEddlqUwLDaVx+%rP` z0L$m>EeVw1pNUPJ-+Z^Ihvmv&jLNtmnTs}Lv7zx*=J4()Nq-Ao-+Y%kJLQn@t&pCK zwU~>8Ll&p}s$wg$R`zSJ3oZQf&WE0u(LLXCmTg6uFX1pq%yQ#5B3;eke%e764P6#mb9cHg1$MjvP)tKVLrw2p`2p z(U}Eoi}SeL!QUf--SSKo&d?{7Ss_7dR0^HLL&vm zMuxeIGFJ2PIE1cJuXAhrpPyl-ohn*OudAJ@Y9HMOxv9}+hcU457m_Td?4MlT6OVT(@{+4EW=u1QK;voT6BCa2xBnTNEHN*VR(&x^i}gG#S_9S%FoUc~CVJ!OnTR|*-`ymh26my@b`VwH| z03IOz$s$p4bYuW`;-~%>c_WArd0j`OVk^s|CqLU`BbZ5*Sb?xToTCF>jyX%LhmI6V z@Vc=wWgeYEnr%7wbh-?C#{~z9L3a0yuo{ldbfK#${=t0#?Tyy5VC~0X83p#7vz|Xz z+`1BeBt7J{aJKRE;4mF^IA@xnAEf{{n~1GC87s4UIKAb5&ekz)h`&kRc%>B#lVl}P2J$cDRvgNp(aEy0Q8BxOr9GqX9FT=y@DB2zCG`f~Xys zXDQq_3+-dJ3A8B9o-;LIjNXvufvbqR3S&q@;*uI zouMqS=I6D|`E`mmYEd_`X%?s{t0F!-n-2MW;5Vl3v;a%#Sx>ghVnwkMv^SUCG@Oc5 z7h~Yl?~hlI+Ks_WsnvzuA&UXTDfiA4XgW?$lps8j7I?faCoQnK;9R@QBp>#N;cin$ zYRS$S*t~>xD>u`g+YvXJB)r&4Wf-vU!CvwVxnPqgO{@Quf4HWC6TI{KdF0{7dS)V+ zF#jRLEvXll3LEy>dV+4*`ZI9WdqOID%o6Nx;VwXH+%)StEL){`Mgk8=1vm1{I$WHw zEJEKV)vUU|S|aUx5}S!5iB@CxT=Q`|wEr8iEWlw6cU&Dpa0e9X5SQEAC) zp}cmTvAeJ2t046|Yu z=a5LMpe`P`plyyguWlybzuF%S<#!ITwO+tS)lkAYOlY3$PrkldFoyp-)7?5q075R%(U+rdB4U-Crpwpc^Ny7?`g_}$k<2VTo2JvAkFz$$$~fux zrSGZQw{0|B&!!b1=VZnFs^Yl^X5FZ=-|q5! zziv4ZLTRF0mR#fDS~GOyI8L1}Vhcs(KZr+tt#~tksupmW~PT+!@aNJ};o5 zHoQEoAlK0QT?sZpptvF9Y)>HcORhceG?)00q``{owEax^s4^I;q3E9ub$!3PeYw@M zkmEK}VMC9216Qo{L7bqe_vj%TLN7eHO|GB+Ga+wru zyUh|0mNV? z%7(fEq5~M9MaCQBigh`0cCVF%d>UmT&~Amh}AQy_~#12SIkI1 z7tv;sDlT=s-#bDnWM8QgpvnhUWb4vE3P>kQ}o}*eD(a3h>O?S+&|9mN(zdSE*1zbdc++FS z9}|)&mU2=aRXEL{gZ5cnP}<$*6%@2vNNTh_rLO(5fJYuMxcQjiQ5c8 z%5^n@CtoZu*eo!9tGk?F50{{>B%@efm*V_Mf{^1XvJinqp4|15aNeH^x-{eEQ-D%W z|D;tos?s!i+Mcx8PZA$)K4+`Fei1b1C1cDfrCrFaZAP!vYhUS%A4S)BHSMMtcD3Rc z=2!;-(cUmQ*XeE_U#P_(Qn9_HayLJaTUGLTV=sB{~8=~JhIrlBae%i zr4ekF(}T#Y^Ek(~PF3fN5bm)$9|V*)vb9qi{N>i4aI2_FTXej)Og4F{@L&l~DVpn! z`=b4)ms%xXQKA`4lM@A_?WM-3J|6?o4dvX7uAWzC)LX@ZhV5|y#(FCjni!7{Ar{Hfh$@{JN)+&QX`qpMSu<&JgGhYxL`bnY)k6>}9dcus>sgKa3edOMX+_iGE%#>xFNJw44 zsgU)_5571l#z#AmG$IE;vMFcfWmZc~R?&jWRmBHcNH`X#WFT05e8#bFL-WX9UNEZ5 z1N2)sB0fK~A<_%ep@rSj7|5)x?W6g^mW`MD?NgXAm9NgnA-6;D=#IgOIlEW_%ii8X zVfZS;z`Vr=$|1mAIyJE1;w||bl9@d!Pmr=1TPXHH>)kCg{!>7>MHBDzt>VzaLI!AN zIi>xR!T$56#E+#8RbDqG;h~Sc$2r;hUIk^6c#NTtf8q1C(k6m!Dw*eE5-PzBpjh>| zNVyI<{h}F#3Q~zDQ8g&eH&?ho##Nl)FM_|P_P=|~ZdCpeQFmaV4}G42;;bfgVSqSc zz^@K9{^4+s{8JI|2205dZ(C1S$5tmT4IZsxz++$-8jB)!sKDXem#dsFJ7x)`lx--V zKbls)&{cpbSFvs2U)5;QEDN^5sazaU5+U;Y(HX7l4?MRi$BrOYR_zAYS8<~H`a_Q| zjWR7BZT2dEptqF)U6FK%KRrFtep`sus2H(K6o;tITbBZ64)bdFG>Ibu_Kv$%_JdC| z+p}C4Z9)S&I;zZjG{a#Y6s|TOwnz!bo}HIYe~M%vY&HuN09mr=5r2bK2GmMay`^kb z6TVd9IdRLRYOlL1ghk_6+kH!Au3Hu1(G$^XTP+KY`hebYv}ZsV=;wZS|KONYsi?me zAo^n%!Z91z{(vcJ)4UzfWAul$_>+Jg$t`P0zuoCirzKKQ@u<_1=&MJn6TG}EGc%5} z>(coij`QSKbw~+Sd&AyBb!YJhRjy*w$B}fA=cG?fwtM3ctvZr4u`MD+dk_117n2A9 zP76JMCkkiNer--%oVFR9kMZEUM3`9hBvY;FI~qLzA*Ns~+FTnN3U~4#BZ@zMHJ$*t z5$Hw)p-6U5+{nGnVE`TOtCPO`TrEyOfJ3LXzF|i{=a&Gw;!CBUTzUt;TOqvt3w#a2 z9429*QHmN8WGP5Sejb9-XqauIk*&~m?ZR<8<0UTX@OAbe6HOd{9?K5XYBhCT)QhfN zfW!mtXEj&v!3nKUtwncr7p}yBZ*we>lWpG?=ATUSU zh`ultp8+{X&+Hj0`G^sNGCFqy%FPfh@$%SDzr7Pm4UaWcYcThcPO`A_dW7O{S*aW* z&qY5L{r3?nqEye%DC%j7qJ=;5bhRLAWE~CxFz;d=KgNi~OxFV}`D`$C zp1-2}G+114J0cd_m+pEr)=^D3k=m0<nd0e$(3BnSu%ExmuQa(>;_ZDel&De^_UKguVn-jxHa12qubIa}5xb%dAoY0r z!c+KT4Ca#=>*DrKLj^859@}jT0GTb}`GJtCO1B*o#Jn|3gWBJ^g88VfzFTQp*aBK6 z2Guj3PUh7i={(os`$k-%3hp_<`KCeZh8u>Uw5C7SIYe>|TRIkDrWbyB2lP@4q1?2G z5kXjkY8tv**uKQ=`@K>L_Lws^8yfOs6N&IyJorBhW!V$ccn)YOz1?~w0ZuZeN?Liv z%Sd@lquL_s8_&1PwUGMe>TP_#cC2MvlFhs3=7su2D?c=p5V5M5XhF?rz{d-Aj)SR$ z;wT$ZowT!3sQW~c!{05kmJ#n4GO#QN`sT=r1oGdbQ(ZtlmeINEtXxHXC$_6Iz0_Vv zWL`*YN_C>$98R49UT+a}T4mw6SSDr$oYCdyt#)jNB_>R_p0;Ia=N-ekIQKF8=&CDL zq)1@SDLMU-^;Zj$W7B?{aLUF8MjGbQeHiWYLH5RBndmo&6^&})Mg7FUEL+2a;SYb% z`z*diKc#Nl01-(YDQGv#gU z;PdI)Rk-0k#=-QsWIs@AekpBZ(xJjCyG6k(3&;*5oom>8TFQTQD`XMWLNq-93!ikT z6T8Q0jq%*w3}I#UErGTTSE>Pf^5V{=ctJ8fV-ywcZlJpRRPFkEw~`d$$WKC1eHH;% zFfFVIs=6}|z@E0uc|?0ybV^IsZsi;5o5^sMno2IyW)yWToUK4vtr;Z6Gsw05Ae3`2 zMIpd7%)Os%&e}S4n{a@XS_(PL<8BEr3Q9vvOTMScWHr-edH^PA_B38mlbP3?Q z`Ch*L>m(!ED)T&d1KY0(YMt>u0IeG`?2jPbEg0u8Ts#J}6&jQk9z-*iXrDY7GI*Xb zLKs?}F&9`2J7ls{tqPGu(i+&$E>gLgWnRqHe4%pg(%5+#d~lFugR^@%_XO0m7pgfU zl+2k1#LwN$cABwtu-p^lMp^f98b*9_(0-#e5ZXUM^H}T~p+_zGE96x;Vt0M(FLP#U zglH<9k2_JCf{J8VbX^vcmdutN2rO(>Vw=Huvz@;>|Lr)>Y!2ZO zu)6^nkCtHH_NosCEy3%5@ljTlcWDto=;b~_>CFo|`0%CD4(&$b>=TA02=fL&+cX^XAPybdH$}%TDh4e1FpcfZ$i8YNk~E-6*)LgJt?D{ID{YP4j7%Cee^;=Ti%OUVD zWU};?Z5$NVXoY%MMPJu6cz)fAV<^2MyURGd3a@uLmbc{(Yc{6UkG6oF?|}*xqmhcO`Bbgp#r%i2l$T`Q zrAchvB)JU2Vb-I&T|(??PlU7p$RH)l7PLz(xF{(g$iJvE*wV|C_?tn=b26p9SL9sH z&lL?q>B{x8dok-9y^^QvWwOg{D?e9LBPquo|qja&3}gs)tH2J;F#Y)y#U(wXyyBP{Liv}p{$c4)ri7>v2I zZyAzpA}va*?Zp~Y*H|%XLzUoMfKl_C^zkMvH)u0kR9`Vk!%|xmu=I~UYCWYovrOlD zz|l!PC-a_pUQ0T=l0{`#Y&8lnZL}AoE={M@RfaFTOHup$iyOL+a?ssKyE!$OZY9+S zQ2Jt;f@Us<`3v?Z8Hx&!$_#oLYEVdYzgwg?M)v&%qCPP;sld2(ux!An@+mZ|*nJ-C z(d$ObnH4?ao>-)5FrxVJ+mSs3YF>RKa$P~A;2z~TIOT`&UGUbt76#|M-MBk#VK0~u z@vGcuNDB_1;kl@zK|aspkD1`JwLwV33il+bNAy(OO^Ri9FFH!Z(g7v<7;;(ou$hQ;t>mt%;k*3Aq2hZPmNAck- zx&-49`VMzn*<9wSKEm-eY>uZAEDcV($vNVhl~7BVN&!QkFsw=yclJLZJS)uHryyBB zG1=#`*s<7>lE%LP?aAKMHeS*kw~uRLG5C+GYmrp;*=EW+^ec-hJLa4*_L`$>VjF!h z9b{-BV{MrGnT^l5hxNgkX)cbesl_{6%BjWqJ?AlIdSpDDmmaJHbbS|26nCP}N*mJx zFvyo42)Var=B(zgnH2>FxNa@4U2K6@G(A%BJq5|TL6&I%^r@epC-;GzskfI@#vEZa zo+sr9!A{=tR~QW#e=SpGG6=b;SJ^sST4QMLa@>qfFW+wok*M01XvJwDy4T%FIc3nH zqD1$wn9;$Qc%u?XkRm$c&@C}6%PCl%^%N-)Npg?ldYqwTA0=H(>pd--QNDN&k*{+bC%RTE*;vsY%zTAR?M{_^&d8} zWRjVH`<mK7qU)T@EL<&3{@t7WYPB$W>5QKQD-OV_`hXH7`X#3^6O zB<@K7IkfXV8=JF*+AhB9&rbY#b>4n+M4AAOW>kHs`*4_hf!a`YW1^{*9()d)d2lrn z*pJ+5nDK)TFW-%7TgQl|H|2-(Q0omK;qA%+nGT(PbfND`j=tdrcGeB=-`9<~aE|sh zLcfUg)Ywq9hbBFDzWVH=CUtA?w_kOrxngl8@_vYbmv zP*g~iq*p|}#BTie9@l_b|915tNk7S6_WB}l76)S=(u5iG`g~y4g%53D4VIK0=q~dy`y1p z!Zu|h=kz&r#YltTXVJ8K%1EpXw*mWcJ|=Bkv?w@e&JSX}c0|?S@yi+fdM@BJKje$h zz`Su2&+0)Z6O z37m`I;)KwnYo{^SN#FS8zWz-T?n5AdkuyUh%eS3iqT~+!-n%VC&&jdKM$$Mc+cr*6 zDsvibU_$4xwBIoyx~p3jTc^G_qCuv5n=nYeB1{r}eBnY7@I|x)CUS;Yf#Mq?d$Pp` z_$W6LuDk|Y5n4O$(R`9emhd<-2*7lMA@#*rsiSg%q4&NlgcLB#4R1|&0(@s)8T|P# zwxPtZ%m;Kw4YcrVK{#TOEhQrA$`+?wr&;qgXThuCQXj3ws_7)9-0Am|!WrtuU2H?ZeUH3d} zQWatOy7#+rUa&0SHr zv|c1X+yYwucDT+dD)G`TInfF~(Q=eTKU6PyKm$~2eh^|P!enmRjL<|ERffgL05vb% zNr1go=Fe`K{7V<#GSW=C0!X3TMi|X91Rm3NlKFm>r>Mvz)=AP+j}!K>I!cE6e~4wa zs_4)Ag3nl(x138Ny5|a|^-QPkf~5t5DY>o8cWG_Im6v}~G)Ah-cjh`i7(3n9tjhOS z$16TKe-k0eR5DuPH2W^W3$A$^*ND1xV_@?CS6;ch?Qf5=?;5tx=2Yf$BZvR>Dst2Zg(K7LVoextM*Q zdZ+{Lw&cpvfO}zfd3HjpfnjB2>c5)eCJ|rIxrI@;a)jF@ntTg& z9i|cU`D5}$R`T&-yN|TMk0AZ(qNtH$* zZXr(lbf_+ifhyAvg!7pySe6Bw!o&%GL6!RB+D;4^S%7VqLG%l0Xayww!r&*zRoAtU zS5OJHO}Ek)S^R-18T`G0scOxqa_+FQD&$jN;xc+yp$YM5j!I~@#zsxcjX7zTJroIy zk?Dsasg=3BZ|qu$bRqdFE4YiCSF%Ck_vu~atmEDEO{v&FNy*G04J z;c{$Fcm`c*FeV0j4)#t3WcCAt5 zwoK#*EnKLxGbu7Y=ukeY(gQwd$Q%sKfrQYk4H(8e6$q;p7|Q-vvIawmLCH#yo>Uwa zVnC2}8vq=nq>I(^>Cg+k{8gSn)HCEJ!IL``+tnc#3}tVW+Jj@ZnH2aVazvTUBvM*6 z2tUhhX|X~?rJpm ISPo(vIo?wjM}wY3g^9IGIh8<@lQlEx!R}`zHvrqU;{3`nwDjVmF}!9I*8r-T5kTI%isZm zZ>fZDPTidL> zalZn!uQyRi?O-W2xR6Y+nF0fox0_4T@|0#k0)*K?X z8dRM4I0zHa3ju)vul{^WEK0n#EVa>H3*n`CbImuRwEnWg)`KfQY+0Y`U_+Kb8F(rv zgV8`N8dmT?9XAtZ+R1+Zk|F!Ok%1^CCz!P06Qy7c6Qv*{ zAx<3w6R9xPx_Efdg`|&{-^ByZ)x+Nvi7JbKzY)K zg^;Q=1NryBAP4m&jFmzr>TGu7#3wDJgH;S;==Xm!55*%J3@K4*kSTvMLOfYOHD%HE zKnrR}p>G{$mJ$smZH7zj0sd? zosO%GbO-84J9lgn|Gk|!Mahz|H?ToRq*Tv|S#@25s0sYWA&y~Tb4jg3nzBGR*9=Xu z2_6d&-13If-tvXgp7QvLuUx&4P_OrdP`?pALPB<2+^NOdjMG7AqUjdfN`QiyL(cRy zf5+kDpvtqndtbBY`oF`e_~}k*=%wH}oTG=l}JKXf@ zwJ>I0rZ8r!uU(7kN42F1;L%u@$Go;OO=x$qjB0n07Q^+F^_6>!Af)~ms-YG2*v;Nn z5F_xP*0H!5ARWEhDEj3$rH7SG=0WS)niqb!&|XjIRqNby%B=>pc`xyurGQ3qfWQ%SL(l;5F!9rN zZC2k7NHK3iQe;|q-GI8GAbDdj9iVbcY*KYMZ2u^l=3Fl;*KBK8w38QMldg!_J+l#g zLmC(AKkr&z(rBQZ6#Jg^MRqzmOD)4|f74qna^FZ7rEMF&EyuIAKd)`2_@ew287Ejv z^6Be__F2fSjBZtK?tJ@J=kyNkmF{jo$wfa2xF)D#-?V`HSZ8Egp@L!SJf=Wzrc~Pl z_u3uwo7(j9DmhJDE`%uhiFER{DbxSxW>DUse5~%-PmT9w=ofuLr}Wji3GTLZPOB>> zI}(QCYXgDV1>WAE9r{sOT#8*Yu%u(1L8P08t1Vq!RUPg7n7*{y4;YttJ=vc#I8Cm= zK~I=PdUG71*4EOI6YMkr$l^};K7lLMDf~(ArgbLmXmInL6yGFyx8UaoElqcEleH-S z$y*GD7AHmvS1r0ghDUK$2r`d7he?sb6 z5>-ZO%gK}!{Q+{`5&Ov{D07A(D5M@h_5fma6Mr)tn}S*VVIb4z^MDBhdBB82jtx~) z-LnCBQbX6h93fa3tH!#uU@3(N;bYh*R`q9pi_h^1nmT&w!X>zq8+-Gzl)OVET?BKD ziQqSYDu4ij|7OBqnHn>KJKqyQ$9pec%y^02c$}OB!j8n7R$cK=ja0N`+EHD z`HR*_0d}?>6`odvlk-qkB9b}WmJ;Q zI=#IeCu566tg5|{FSH>fXoyG+<5yA`@|#!{lJ`ui*f>h3|_o5yL+Z>mt*#P&hel(q|NzIY`YfL(P--UCb%d zMh>jQ7s|}T>iSfu&2x!`N-!rXzQZt0oH^d!_5F$BC)6i(4V_&yi^}}D(ti53XpkPx zJH&Nc->2{A>CSm2X+j{(ImI$q*f*G4TvgT4=u)%4IP6qbBmbwt?q4Dh4=3QI>RcJp zL797o24#fQ!l!#Svrj;dcEbouC~br2!-)QiKi0;<{0i#3j?Qp)m>Zb=8vRSd;vSwC_m=|`CWWi2IY(c=Qxdb^AIP*mO zQ9QWy=M0%48ID_Pk25E5#jFSo+uAb=qXT-ax#cU_l6@U(&-?u48nrc>^Bh&NVK}I_ z=W|%$Zm{~kM^sU1>ex$%apC2Gng_=Y( z0k-SXZH6b?!qi9xl%86Q#Zq*`Lj|@?MM(?HY_OQN%afh@*glWz%0QXLt1z8Ju)9~{pDpLJK->Hvrcs^o#klEpdj7SC+j+B=fv?C^i{ zP1u*=>(FrYcYdq__Y)+2$xU|O3faZku=)o`isi-`WvdLpn$&P4a#Rn%Az;{xb;IGl zW5J+F?F^Tse^~0cyLmBajz*w~v+1~-v4cWNH6x?Qal2`1>NAQV^T~Vi>sr?a2H>?b z&MKURm(eT^so9@u1oXVI!uLR& z3YJWt+QCF&_9I^p`KzD^I4#*tjP`B@5$xnBvxCVff3OxFRUhB zBVq&iW-&+fV`ptgi7qI%B-tl=-e4FKSw=%J*_BqeQ2$=D%&RjNHlf#6K~wr69K%l~l17#ZN%JgbK;@ zN7*j~(Fg}SP8HP;tjv5$9sgioXaA0V27?&jN;XqzNj@xZP9Cp|?n#*i8M-W%0|gJO zUx6X_pHtC}Ajr52L39o|7);P;bG0aa%ijxD{*mG0+PktJTCZ>I9-^o2KD0}rPUszS zxRRpb;>>m4bUV=&ih)qy8>t4)Afr2TMALw?&YA3_q8f5gt`dUuhiB0tK8-u$g8CX* zksm0{%j~4mOzCvzIa^NHP>%hOo793=OsPc+o}<}Ws$Cj-@!OeUkj{1ZC5*?QJ%~9YLQePSD4EaZd*>e^dst#hL$4mG zLaFXJ@;XLmr9kSZX$F@9!5^#8N5^QuF_sNN@(3whE3@3|mISRlF(@O-JNDsmMMG(bAfnp#b`hG>=1o1~#8hBh)WfvnnFjBpf}l z%EUFoMm&~P4j7e~MYxP!Hf&!BIwE5W{bp%ni*&_3yoT!LdZ;t z!0`WL7+AKAC}l`3iG8t|N@GL6+2A-VRJGmzrq@pztAe2lxZ_0~wR4|AcUM%}r4>##&=V zMT4x}Us_vGR(9XQ7h8>U_=tK`u%=tnxYyd!xW}5(xX%Cs4c`m%OO!ZWoIRqTI4i@=d@L{%4 ztBM=?#+T{Ok#^;0%%kL%M#bMl%3Qfqw!euQGQ!IzJJh6(XZIg}Kz3cgm7CA&R_0gI zOCeR0z(xZO^$Gfx-@3L!R59n9^&jOVyY>xu{kkQ8l!s}t+Uc;$D{;Q1Ms1uwvN5MG zF*73&O;P&Vzr2YC%*5MB$7M!j&2UWcgf&@|PM?N7<29TaWUu4>*y(D07_B{{s<9Y- zWqlv}M_1P!tbu+`@8;XIb^gVV5 zOxS%>Aj&`TFlxwRZE6-Y&w{XkD_3^w;9ydAnq|8Q-nG!xm}?nNz2*4 z<*S9$yMrBPLn0C~Th-t;puRAf5V@a^Qx^obBairbQ#r`76ZbE5kkjk$V>Bv$AI7jk z$5pFdTQkv_-pMpckyX2%O{X5UbsLWc@*RyI>RKs3BvP=>5X8kDtkr@1kp63UaaB>c zSXz_hP-f9}TrM#g-l_s?MRqIXSLXs~g+OFt@Q0OPd4} zKcej{9sF)A|B!`$nl>Ki9o6_}@}uH@+Jo=~?;bi&ZBuATgo7v8LTJ>q{gofdnM4~h zLHyQQgx%`WGOG=E>$vBd>k6QC+13Pxb$vgsAb^qiQ#GQv!@d)W?{|{SJuq4BLW`t)l0gV1EL{s_@fz^!Iw_e^pCt6mmtB7gVk@v4Efl-&-(aLTu~+~`p=Pmb za;BMbk4j1${UxOd_^oy)v~7_Sd-bzgnG$y8*|w=@=z1y88)E`7|5zY zwP<~GCen?p9qN!aC&^}!=Z&HmzZ}}<6pMJXoW-tgChlwdgcTNZ^41Rj}an?88Jb={3m(asdbshpn8F()H|N0UB z{Xm7khBjspBoCwFa9no`xzcXAY6y^yVITZ=OZ(c_N&27zVE)w>@^ho*FrXtbCD1a{13^Wdfe;XVDt29Xw zPqISj_=UsS1gQr!T;KV?i91PpBL6uiwo{~>th+#p(wyFK4J5gvPM#XUqm)QFm7LCK zE-8sTpXR;K!z>T$;;OISpS$Jh8)kgT1GmKj!w@-I$NO{QJUlKi)B9AKwPHS1fE2$?Ycv}u=rP!A>eVu_F|sfp`0*=jf^lb z77BcP=+8@D3N53X-xYBeMP{9Uzli;!(de9`OfKgI_SOA?yK3?bZc|o-Lhrqsr+-{w z`2@sjC~HdbL_Gk2@KSIXm~av)+Z9_9sVBgSi)EFv!S-6lVq&OTrRdK$k<`tQ%iKhV z;|3ouR)`J;%!Kkc8AJZVU2-%OAWq^}1kH(vQ_-7NvtU0S^UU0a4w-!wUr>mK{0_}B z3Rt{6VQU!4KX!xfMUma?jm{lIFbucyYgQuP^-u>2^RxqW6-Rgtk*xW%y1bITyO% z-=`hP>HmorwTeBEGp7Vt6P^qJF{CUm3NhH-ykaWgVA^{OmH3faiQm5OZ9o=Q71Wbe zwy*N-@4*A?0VRaeJpyioyLb0&Ft*UD@vdEcU}OVZ&nuDS4Yr{g(UvTcfTA~IIy-l@ zt}koXqFzdUQi~j5)rp}yYG+pQQP-e8`;IQ?R(OIWV?@18&l=RfUE7SKZTsK|GXt!$*B%5~kI2lN%_chlgOrWq?W#U^Pqf+Tc}sF z5D8cmA_G5-)B;)A#x0k!q~mQU=Gw+drx}t3^U`Hb$1}Ox%VPigPz zA+6u@)B#%t9UEo4*9=Kx*uR9FVqkOPnEP%Li+N4-g6Ea#H*FKnYfGMflrJR}S^bdU z8*uXD*U$ZgLF};AK%!BnyT)b>TZa~-(W;t`<`yTH411yZu)OQ35u{%&tMYQf?Mi@^ zs1Z>R2f5ATeNNh1P@k7NH_9qhDjyjv?#NCnj>C7C@6?U3W^M@}nDI+%=}ep~1)6ig z0TU)eYc`MiyN>#Yd$)=&V=P428&2)o?Wh2Y+^uVupc4X|QNj zc@6xtFQ$5#^$$`wIR*()dEZ(tCS>E_#3bpMY3P__M+7QbNs~{CU7r$U zr`?Kasqe`0M^F6JM{&Pu{57}??Kf;KxN=3+n=HO;91|{}QKM|^O4%D}SRaz!8pX&V z(s~+1iWa@E#3@1m(Lg@n(-0_MZP$)&OY|;5JZziFM4%aF+QQ~WX2C&?JTbkPq zk}zxcd%JJmV`j@{UYinaV2=88L$am=AkXxS(FQM9Ya#;fE>fNa8cjAk! zm`V$YU?BvtP%{|5+avR~&Z4C7C0MLQHz+8UKZ#1xZP>vXsrZoabxfei+T4fJMlcxe zGcw|LZ*(9UgJ=&3g*A3~1a6{jkN=?UN*br`5TXg}0Fh70-k~ga6T@g5yQ9gvQhp_- zB8N*p3ACqAf>+-(_=W&OBnkXYZyQ}7owlbw_d6zi+(F;S;dtT`D|vfwiOzCv(}0=G zmzT_Zu9LW*(Cz}$c|1&>Bsmvi#O~*e(XOYBxIa@PZ)G^eYzA<>{=Tq282d&tH^!@A zY}xgsRURLFX>oEBrN0gTE9>#}k9L^ZoXZKdX`jEDw(Pr^%=QB`uU3uN0$Q{uNww-j zgq{|Z)S(pzfA)bnh_9N4p~1*LLrG1+J&p#IERNP0uNRNz$=XDnGHxxUX3=0q=2mx` zNkx%QfG?CGsEga8i>Pme^)K!eH=O?7U&AN*GIZ&syn-!I^K0$q7r8q*x)2Z| zb419ws<)zm(`*C{ax7bO`JoRHX`X&Bl!`(>(x76|>{i>p3Qd8(0(NXXws11~#EJ$Rpx2?NYCT3=Ni%Q|6h3jc|`kcjFGPHF^C3T>MVzLoFk`=~+o6 zM5*BgB>i#zx(d&YsSBJOxS`VZq4UCJEcnW)nK*zt`G#gd_>^{OThI5k3gXcGGFC}k zBhgG;gNPQ${PXAir%EBjJfQzB{AY|Ug`yki<$>ymN#K`L=rwUJCkmFQ6Qef3uDmlv zV_uS>Jt4*)P_x=!?Ga~}Pkg~mq<~DifDdknfB&bn`ER)5msbgr{dbpC#1yOD6fWs% z+MntFD)DJ^2ecC?t@5C)Uy8B(BI_-WNLD6~nU|kE@@hU_kIvtZw}GynzkhErHh24h z+u5w?9zgo+COR09b;S?3|0_G>SdTQ`m!S;;MaMWdt|YoTRLO%WO-?U`nO#q!6rqEDI>Gn~SS^YQMstCzMvK#9G(nj`-KSX6udR%Cd)sHSoX!@Kxq%b5M zBew0KTi^-KgzqnLXJ~v{wJ#P3I|v#;7O_xEacFCgRZv9e-o#8K*t?G&K#p$FNTx!e zop&+x>*D@gg*OXY%Z~~!d@RzoSd2VsaAxn_3rD?7=~# z7qhHibsKa7^BYTkAm*TIKF5YMSn!;nC(F#S%H^A!?i=MChqqZ3#}DW0mC+4=ETalj zqa+>txHd&N_Isk3ITsmYb)y0PM72jRiszix7D+|RQ7xawh$e?7aZ$HE17+TmRA4w7 zDC$atC6vbZq!DkO$d&p!h%!w)mH?r%3;YSs8u{5gx!*y%Mhw)e7z27-LmU=~=kDLG z_YL}ai+@raFVv0D;`bvr25&9`X%+092yY0ANfWbI#aThd&835dGy<4ASjPl$ePZmE zX*%)jphqc~ri{)HU}X_#CuSuqE#{~@yQ9OpBh2vG1%lc0B7_pbI0{<}8&ziPs!rFP4J+M1n+Ku9;ssx{ z#}(g2vX6EPE>y=?yf))PwS8r?uRvTi$7!_BGIqQHNpA{y)RMfgQKI`Hy%Ys3%tCsT zw#IlBLG;CIhh{r)XF=*BW~l&@bYQ5Cc zVHQf8xTqA_cVYSfY{DRa~F+!8}q6> zCq32qqpj3@?E9&pgMM!$*eqwC^V)qsiBC9OXck#2&p%#%Vg9 zWtb4P_h($rBm>E_UX0T>mdr25P;#n`A1Nv5g2QCwXEkJ%;$ z4H6)6)KqmKSSKYC%pG*u+NAX_pMToFmi|rcA}O=%C1E8Ylv~}{q}p(r;R(>nuYVvv zF0PPp{grY1PajkD$Gbbex#5jUk&UT{UO4*K0hRnwG#5RAaH3ypABPF<2njjl@VRAR zGPnx;()oH(MOq>N47CB0+{u|rXA4;CNeQr?$9$UOB<4{L)#Zehwi6VZ^3&5vgb#K? z98RhurvWLO`WG%sw?O3&i2$#7y7dvb;M@1Mxd+N)-rOiSV)j<2yNj{@@*u5Hdu_UJ+ZYi0V+v@j;L{=dG z0WMEUdsv|eB{Fm-%X6gK(@zxEa{t&u-d{f3?``HiqTHQEFJu5*^j=B)rm81!x!1dWd^ZbwR@}u-UyVSuxuHh*?hO zMP%igGutv7#m;cnI+dj?AMWi7oMiyLjCJ6)27hsw!fe~U=+*0|oWy*3K;$Y+@>%kfKH=}d^z;Rn^&PmSm*j4%xK5%)ucS&# z);h`S#J%1sV;w42!86ayg{nEm-CON@(jz`P2^0H$+~1&>-&i>WRO3u)Am>2pQ0;2v zH3vQ??Q2#>Y)S9>izLT=+sTj49~mEYnA(FQMrQxer;tz_7XIYfOQe2I_iSFsHxWGi zypy`3UdACho}=asQqKEy_x&s=CC4eZ8^1UkK_uN(+m>-vn!-)wFRsWoUSkc1ns~my z&WoH*>euzn?&M4<39ii(Q`>WNYBG_0iNwC*q*9Q4R)h zW7BU12+YL-RI`5as0PIoy6NXg&Yn}U>k%*!8`WVy97A39Uhj&q&?Dq;{IzfHNUK9< z^iO4$ArMpq1m)8q6!CcI5%5>mvQwvecM;DM#CYW`8tB|PQMOv`wblWoR6+^wAH~yl z2s98>L&bglzpYBAA0j1w@`Llfg?wOtGYwF87{z6J=OIhx?n2|3^$ z4BIIh?0F3pVKIZxGpZf2fUdn2k=)B`;;t?1IC@mb2{T{VPx;juBK22o18-eY^1*^^ zJgN%yPs{EXJTyQ!;SV-|hE+isYX;l5DGs<z54lN+(Ht-|IzskoSir z&|c%AtBqj?hcy4y(?scTa;V=&!rsAb@cb*Vi2Ns{mLrA#WOCy*-QFg$yZF^A%*E*q zxHinXT#E&MCv&gLB?IM3e``;{p9RmENkfbkAhVKsStxjLG@}S`hEP>wScut$!me$X z5XD1K=avl_;z@#-dY@7=X=WX{R9p~foW+*jvoTtav8mdb#swwwnI-u~Cn31*6g-+H z&T~wopoL0iP#tc7Bx=$+f?%D0htez754f8B5K9|F&%_SSO_X#zoW@}lI6sUy5ct-;dFJBr zdS$xtC2eTHJl~ItMIxH^*khgfB6>~*JrcGaU&?Uv%OXzickyS`U%M;r4YTy3mt6I2 zNh63Pkr@)?0ckUv(+od~aT z5129u*XbVr+^uZjS$tg4c-^OrlMNJ46M&z0i=3~TxX9Iiuealv!j?CiUOoH2o2~bY zncrJX&8>CwlX6SlO47L^cHUnNvI**(W#bfn7h$g6Ra8UpM$V}Xl{r~}^-lM^3hr9> zx+|Iv0UCju1GeW_>r3f;1Vtx#FxI7o)1ypu(A9sfoPpb>&3Z$=E-JowXkw6SIa6VSaM z?4i=az*ioonQvT)akvTy!bXVfFPKVhh*)~e08*5b$ZS7#M1ie1T_{m5SElT_p9;9oQaDHndRIvsgxXmB1)<1rG3GuLiljp~c(viP~ zAfO_xwH82^|gkj4`oX<71!G1*T1jyM5X|Z%*&t{~!m>KKY};IL<(<=4ia$ zHcV{Rq!A0k#je7_Au`?5d1=t_G`rxlfLA0-X(=KTjPSeMy9cAy)M3R?dr3cHbQ31< z3}inZFXMM|-O%&gAeoE%#arFtqv1Yf`(&cZ8;G4tT*61p2O|%RKeu+NN)Zu^11$qg z@2@-o6=EOj%j0>)+e|$^l%VD|O>5~V>(Ez(G+JY{j*OtNT|ad(i4sqrASMQbY#|-j zri+-g%|_2j`>{xggo)yWjnKYWz-|`$${g~3i`%ju%Z5wW+>AQ|Q#z`5{MT*b7%Jr!gyz6pKzAVlyy$Tbij-cno zgqA~vt0)((YCGsF4R{X5dgVp9AL^I{cJSS? z9&JAG11g(0S^k3c6(FK!2Lkbi@2}6ypKBGnGmovwZ+P)zDshHpK#C@&cRAMJ_Y_Q;3*u%5jG?NCtMhk7<=3eZ%}IrgD|vK*=b@iU>x$>VUY> z_v2*yradQa`Jz89r1|h6IOXsK`&aLdP293{TWlA#vzggUUUc%UXQC^GN8-6u^)b!x z6QKDn)Hs%wT#)&|fx~YTVOf+K2W&+Uq=~}b=R=<2V6aQ~nn5m+3{Vo-lRK6X5%x1g zco5a$c&q!@3N&3nle#=ku}4vPp3e_J&GJDrMOxUV;+hr!B?0c8qITa~Zht7EID89~ z$vR}B%mpjY81Z>hJBjWXAe;+JgDsR^0S+h#J7mX)5N|P;yjeKw`E$T@E`qJgVr;ro zvUN3rY!6;{^$bEAKtwEFr$>0Xm|g|MhXS7ivL;tU42nc@Ji?7?C^KAzbqH4v=4H5e z|H;=0ae2XbP_Ra(okwmlqO(|`J+V`DJhM~1e&x2WZ04Ysk=)?~nI2=vj0f}@a&lc1 zxuw<0!BK}LTsu`6YLigQFOMFJF-#C=doG|CO1P3Y?BBnu!@_#dIlHwp!kw526(Amgft~vcg8}m z-~~}~W#E3TDPZ3Y#Fx{~ElTZisXW7# z0B3=rXq7wSF%|?p`(b~{&HNN(`CD(>;2>|%0O7TkLfAp7c84mYm?n92Kc&A3rOY=l zO*8Y3%v@yj?06qN_7IgpKk_i%J)Xa2wf>ZqUm6h+2r9vqupk=a{X?6(Dfg?SdQ(m@ z%vSaRY1T(IVk16j*}>4B;tBVbORv*%do;=Jx|G4V1dHHq?=^LIseFXdZ zTTFva7|+bSY2URDr>_3#-fMasDhoOyFhc&l{tpa3Cvn6vP@9fW#SA4^(4$2j`^x6L znLm4JH(I=}ux{%u$z6iaHCyv|`1|I^Pstcf23$4GxpY=ubWRI)J_^e_dXX_)wVurMC*3?p$tcxi}n;p*vR z82NV+|6jtAN~=GwOt90LWdvkEa8V!AzXT8Wt#bz_B2+a0ZaYn9LRxe^&>Vq1$$L0& z2SAM&={=}mMOfjHPzpsV@rZ^;p+93gaA!ek1L&-Juk~`Qm-6tnPI)&dzX@b2ha*0@ z=7hHaZ-46(mJUrvJPyN}Qhi=?%r%JN`k=e7{qQSgn=Bxad4+gmGn*j?R{ejsxI|T~ z$V7zdlSl*_M+5V{Lj`GG1>aW&Ok(&Bd2zWG_e3|bn{MuHqJlS+aS}( zf6dM)M`l>|711*y<7nkP(($supalD}IUz^vS+eGOdp{>kgK+$igO}E-geVMl1 z9P`ObA)z%W8uBJ8gm@6pA{%iS61xRW#0G?C6HODs)$%&b^YCBiwSngAhnN+_#L15= zQB832lRs1~n9=HnE;(dvJ-6w|0OGP%=`z3EorD6eiBm;IUPw5lZ_HLZV=Q!Jh00EP z77_PcJS2C3^Dp}(r06ua{Dxtx86Em%KEzC$qL}5V?em+iobzjpaM;1pcq!t=WT}*t z5lfl`E3WxjcdyFdb6QPBvv2Ogcyr`s0-5=OIl#75p)vb=dZC!PvZ(noz*VHa5^u0D zi^R2{Re0Ie93+M)*=@i&^>F0AphYGu$@Sq!A;VGsd>K>Tw9vS1En}+ru-|lP-?!zDAvrt7yd4h*qT^l=`2kR6lwTO4>Nhi?yRXB7*t_4W|ohY5ImUQo& z`(1|l)qwS-^uD-R<%f;^NSuz2O~t9444L-2`hs2FD&|zPsb~)Wy0d~!%1_&ezZ-V5 zv#$I0lf${@?IO~Rz)3+{!^Q@`ZZa!ca)EuUT4gqy(KG{biPrmNmVxGQZTscf(QovE zF2^s{H{Frck%M_hI24QO~(D(yvEerOa7?qI;GmGsyL^L=0OPO4+{WiqJ zR}~@Gdx3wM<^N$0CYS(=fi{q8+xxR2K;O>j&mpv3tJ{0Qjav+4co!9|RwvS4(r%Xe zl~Kw=Lk0&RTCj>IYJ8^7HW7mOys*;cxEvOg9+m0k*&s_QE_5hkm3+F9^__0PlxD-` zDl^(qJTzr!OL3P=X)m}Mz2oknP!~$__HS=!1 zjKGkH-BeUkyb#Mcn1ywXm5rg`5t3`auQ@=83!jihj+RG={@mHeY zqC^!L)(A68rcAfoAK3nCafCHu$mCFQfrJCVI+i6LgF-Wcq}_HFFI)t*H#0%8m%W0F z2o8D(oPh?fIU@|caO!>T_C>+kvC%?x$uc(+pJiQv&f~XA{yEjxtF`ibWj2B<&9PY@anK{YDWIv1AAhb@6o9FR!9oH3dMcE6o;3FASOQBPj;S&Dqw zfo)0N53KLiUN8`v58xQLck6rH?J}f5$OuhZ4u&Aiye1N3R*Ac>f5?kos zmB!FB%YCJ}qR_;U0sfqmRSo|!$RnD$2HFx?`cx~-Biga~M3ci?5s)k==;)^3guk)$ zY!VVeNV5^(TCQpRF|Hs5C2*}>6P(UkrMN@7*uBluzx{IN!?ErOgG@}{-Wlw=GP ze?fu;BP)Yt3Gu2%pudc=hja-8v;E__$M%@yk{-0TF8_uU(@wGq-YPtpe2su$+?d#*^&@Lh0NPL9Bnz=FF>tYZ}E zvrAZpiI)=oiCL(#Lgw@}kto7dA>`-dk@otWb1cQ2+)GqVe`A>8dl=0I2*h@y!zNzP zv8WU~?Y1<_&&)6&Oc#@RVw+_sBb+yZ^Kf9L$QhT4;3BQ`p5oFOl)or^&KISU7QnVyIEDqSC`x+4=_j>CL>h-lQh3$jW2 z7$jUxm`4JZP&}$MQb%J0K*%wV8sO~V#J4$nd->7wtNIP~MMK*owCb9BbvmW@jyycCronEa=je1?eg$2KzLf& ztlx5KIUg`)9}4`CGId3x&?68j%nkTbNxvlQUI;@6_%tTzB$a{yzETnIJdN68;SIl7 z9l-Z{dLKijGPhac0EHhqsNgxl04O6Kv_B~YD|TKr6NV_?7@07ynlhC9riMJ?5>6e_ z-}GMs#*p9YFV)2=Z~c9_;=00~q3a*=Tp%k+!2LF6lcFW?H4Gv6Sm*yskUXwDU7njjAf>ICmW;oaIYcO2>fYgj^x0v>b4AmozwHr;9d zKCr}&P^eyAvM1lPwS&py_97dU!d#;F;M?V?)nt}i$DrRU7SjT0%CxuY;N9z@8r#9n zMeihjDfp}3n9A}5DD}%ll=u{&`mJio-VZ^P3BGj(@Q{0Eh`dYHemj$uvJGROg1GB% zu&nd1-4#s)c90B(8N2YacRY#1N%4HuU$Mack~4(m8cW{9ZB0VIyhs_VY8)FFjhW`C zC=VHb_qrmr@IS;Yy2?Mgmwy+L+=JelfbCTC^(LHqRHS+drEnXb(4V?Y@31m@!gic_*Lw0B zccsTXB?Wyo-51FW6-;PhkNN}(p742%o7$AKUDrsZ$oOLC#Wt4KmCwL)TG`Tp!TK^p zQ!%rk&*H*usr|HtVh_?RqpXPYU&mvpaay-FV3$wGILEV#zW%pgtZE=c2}H|kq39pH zU^`Wg=T3-}hrL43Bn>5#OYWz_an;Ik`W;7iEO-%Rx61+=5+{b`TN|~rvPKof=OQ)I z&CgJ1xdy9^izs+#i)^ZTq@+5nh0?sPDxXlq5NL}t+Ln1CX;J)B5za?SP^X7L@)Ao} zKs(L(7$R;|AXcN{l?V(s?C9^zZ9pE&-FcsN=;!7yOWv+LC1Y9C=!vKt-I=g!1%Juy zG-g3L6L@Mp-aaE#sAnY9BO(QOr7BLZ3YV1kXXNIWZsZik?CoFi93N4FF~1s!%G#dk zNf9q|a-OT1dk{7bg&HK9tF6mfzw^8fsk?|Argb~-%I(3BUgwBxhvXDY=WQn}rrIE9 zGi2)&h}+Gq`PYf{ZLb>6BwsLh@6CemIsJP(_*9aGhT&W8>uB`{0g0xD22qj)hrj@V zfq?{y27NXUcJ0s{6ad4(Va##47^;607n63sCf+mfj=N}yHA7-3rjDBMFKgx4rB!63kPVJ zF3}&$j~E~zATyx<8Tbz{U&w%PKu@&bW`kmZLjNyJX=}eg2?5=t^=3@J>zW;!`EF%2 zY2`FKuAITL^@%|RYWKfueUb5l=@dK^O>2)e%=Se>kv#2{ODNf%Y^fnGAldj+9x!kt zEuWq;=*OtoW^(m!0o(-01lf$z7#8PmeGbIi?e~3x-EZS9rcIG)FHB_Pc99KZj1|Wa zX-JpZDIS5Ig1`#aDxt8M-j*57(4l@)Cpqub+5hzR)p2pf%%UvN;!wOe6yM@nC@!VN z-K7+FcR8h{Kyi21;_gmyC=ycb7Wn> z7bIztRYz^I`-2G+i@4qevitq6T3#a^+w2a;)h^2E3;8Jo%+>j`UgEO*x5_jJfpt=m z8(#unD)Ld=oo{=W&`!1_RL+1ajSbt4qFk{nW*xBq7#L;nk-c_-NGz|BJ7Bzp zzJQA`tzY7hF5>>|VAG2MXFK14bul(SRy;YaDEEiz4YmlOf(AmlP<`E23v~Pj$?Nu90@uRa59SS}UipnA6g=5$_)uBra zr7|%z*Xx~MICmQYGIdqKvMiZWA&(nwo~|x%7vI9o0jw+1GOy!|KQsm z#2G4!B4_enX@6}j5Yp)R5Ww{GRiuH!^Jr0PlDIc*2)J3pO+Ug+(FRF6&&(R(U9+c0b`N9kczS5>7dRkDn2XP`Uf-z z=_YPNt#5U2Pt2*6T-~#GWRoHh@il^|fv`eq8MeYpB#CbLYs~x5yGADg`5_O@&p5fv z;E0n@!!?}z7puELxvsBliY)36F>zV-er$?+9(@RCZLwWas>vQwO}1@!Z%EZIF^#hb z%Eic4>s4ev+Y(1-bg|QDF*G-7)1z0388Z4bX22HW=t?u!$v)(=RH2yqjz93-4uI|t zV1Kxms(}oV-P>?IiKb&Zf#+|uLeHb=lOWT~Hc;lUs(O-xYb9ce1R03GkD$^lO`-9>bv6l^4L_XGlndh0GC6FLf0z-$I{}o35;+ z^zN*5U9%{GzI-EH-9hOcqfj}X-#29Pd{`wBAEf6jG3Br8SHc;ML_M9JCxg^aBc4L6|Kp(P`RO{j+ogQB^iR45uM4Be-H9!iCx ziC`0W;$O;8%l#rej_iqk{p33Xv<$GY37;s!HH643S>V}F5DoF5Y#^Whb|{rRT%!s6 zy=aBXZWX7v96W0=eo!M0j|ZzSzpG942M=-`IS6$fgi`M=VNlY z0#?Tx%J55P__vg&^Wr}SJ&7qWE7pT?!f8VM=dx zkLwJ#^7}*holM8x9mrolOK+YAstmSx-boLxJPM5u^Y&%3G0Z&1=#?{B_R`@B?aqOE z!}fb?(^UcfPP_N&i)H6+7;yU1);%Et1DzS)Rbyg48{B;3ljrS(om@QgNNnHKgrjv} zXIC8quRmY&6yf7=d*!Pj@6#umm*=J_ z?~lM0NH&W0dJFjXf@(bFUa;VzwwX9|AI{T;qK~HgBegJf;Omf73zgCd8kt57)K2Gg$h!6l~H~Nr#^Qfl{Xjh z&=(s)2Urdss`VQz@yZ>k1!4}~(mTJz+td6KpR5@5ODuZL8~(j~Ne+|cY*M`S=MQB| zYt-i_=iD2C1sCt-7p1rNrZymh3ybm#U`{I^-*8MtB2pLSf8n@@M`SF@&-0{9FZxWE z9$S$c3A;mQQ9x(`C2C96flmk5=F<4rJlUHOe$NFx3cjzw*qh;h z&jmfcd|!jUH^cLu3wq>!UxT(c!#S)yMp;#sdpA74`x|P*Q$3u+#D3x|6mggcmv2wJ z7Iol`82$wl{=l0*P$%*M4~ROn{z`~CP(%&4r%I!YlP#bmTdSj_-)${kI(BHnoJm{+ zewmwqMWnM>dEY(y*_=E~Q; z8^E-B9^KV*0(q;G?upHez*%~Gu<@wJPNB0d+T2oJ%$Z4}SW>%wucl3ZxPO60z$E|| z4Cc=8v+E;fT(#T>tY2dSG#a05KVFRF0Y9k?HzyN*cgr-Z>N_CZ{6r!iQ8jvvNLlV{rz;)HwWDA<2*2{nlV}}G zHR+mYu__P6x(QKA+=R0i_cb7?6q=NT7GtFnpzX1@Qi!VWEGN0lm43;4V7Wr%YD%-ffBHGU7Br# z-AL#Az*tLZ{212Wt?#S)@!aS6{-yb8+??WrO4y4{g2Z-jri;_$s;g2kiFRlXLTAFg z-36zKs;V<(0S)62gvW2`6tb*RPtXQ0A^FxHG6OV&`s@Y2Bc6E>a<8O4wY%b4s zxkO7>XS;Xr>5dyvX!u7q$}uetV>CnD%@C|)L+bSy@WoSBi2x18>-9Sd;u(}8c7Uqx|;q`IIzm83E()b55?aO4okjfFTo>H3WSm$aQuP_3E?z)w zc#~?liSZft=j-1DbMnD;9}5~Yx+gWT414XDg1M{5N|!%C}JSg z<#PnDx+_-d!~|$Ybsh)F+mJdKVZD=5f9Dgh;J=ODy^Hp!0wGfDnr>YOCv!_B>gML) zqw-jz{tt*80;#GID|>T>fdY0M;if4eO=B?JAxHz-fsj<< zcQ-t2yJA&M-3tCRTr2*8E;yjCXa^@L`8_{F*qJ3yrtCLtE7ap%wk|hF3eufnvYc%{ zNkg#4(Rmf@Sk3hw4>5mS+Rc#N^Ss_Ij9Ul}n^^Pc>kl_mk~ucCWVqIsj_7@D9g>H)YrnrfH`kiL=9Vu)=3Ut} z><||PYS%I~)QQdtoG&?%3XL@pd& zo1M3w+n_E&I&a4WVCDo9)WrF2n?2+Njr6EH@|asL_~yR z)_-8kQDF+h3{p;ieIvV=b4T5s@bq;fHd;9cmrA^B%^pGpW9(CJW^z~eCB)>st+<)c zLo(4;3ZSCc`|1iv(}Bpn$n9puHtIvm;0ZPn;M^B1IZWM&mb!<`OSZ14%b!Z;Egh0c zy)~{Pmat}5cXP%1d|YQK706rGW-DAEH(SpkuEZUA`(D1xWCYH4mTUu?f3B5J=}XE1 zEzuPt{ixN4l6R)cKMh!{#zWoM{!`Vj^vUAS+sDzZx4UGXFoO;h;fX>4S} z4hm0>ZMn{FQXgr@jJ_fMP{!?8IvQQTzV$ix{6>b+bw}jWNo??pD!yw+IrqMkkK}e! z2ez-8i?D4d3T%+hk0B0HQ$!MkqjR;|`|P1Ryd<7efR^y(Aw7vGN_(Le?`OWm5n{?L2;# z1JyT;Y;2YT{4&y14MS$(C63vt@D)||_1lm|Uiu%rK;=rsJh3SFMx+ro;A`w^9)|hLwL%3-xneW zB#zfQ6*o6}yG%-2MXM!Hs*E+1chJ2_aj6}jEa)8cOq;8BH7PeWDyw1fm)ys1(;t@- z3G6ivc;ztj{grvE!OrbB#AYr zbAZrirC=LyDB=HD%n7nNKiUMy4ov?J-`{&#x z%)_Lvz#;niKK3IR80=b%F_1kh8W$rgn=%U1IIW_BiaDiv!pSMq;B>e<@cm>U^5GPAR@Sh4a@ zi8z^A8Zxr6u+=(Gf{;M4Y^-rUQy?%N%QJE-BS(E+TYVEFMgtosYe)WPY}|2eQ=q3@ zJgl65s4h14hJUGC9NcVw&<3XZ_WA~nM)rSc>@RqD;+{-{n6Y3Ge_vyG6PhUz77Pp# zR-D2#h!prNFDrqDOo$Af%td?iT1*iNt6^Y3Fo>|wl)G?xFboXl${R6ZW!L2W1vl++ zC(`cYrTLEJueR)n-ziAL)dK=0%(vXt0yk7N6C12{3e4iWjq9te6K88?KfO{diIvDP z%w7BBmhpjhrH6urr31C!YajK8&!h>qaFLfFa36m)(0_Q5vSj1QZ@h8iK#EZ(n->MT z#GT(*1i606z(`O3pNzo&sPz9L{5I`EpRfK$c}9$dgBp!1%Xua<&^1ubta~KH-y;wE`gid zE8W8A(_~kA*b;XtU{mLCv)4R?9$#hBd0pYQMB~ZJ2RZ%Dbg%7XY%P1OF5EMl6LW(n z)5zhzs|U*n!U|O(e!8;FxIfwE@34sow|RNl1No3f&}~W7aJ<8Q5R-KBl{8q;lPuTb zYB{P)0|oqTRacV7lQplQFPpU(?w0mkRv=ZbO>RWE(ioWxw% zp2`NYjG|IruISv1**hTHRU%OClr?eDv&~r0I%#eNj*7x8gL=GA8YgSPFbnIv-%_Y; zvRof%l*ls*Dbd&hYNow7^%HM?{(O63bhru`w35A7%e~q6VFV1Pw`MVu8=9A%UiIo$ z#xX}XCT=+aS37Wg!5csQ53?xLSK{;XzRf$87TvTmuXA_baZsLua85zd{zVu`!X_8t z5T=%&JOjLxYBbjdayDdQWHlB|?$IYy`NCp5GS9dCp3?C5Sd3cz(~H zyZD(E)y!?eY%YlS!Xd6C~iI49Pbg$G(@%wh}IcAwiNVS!6wnlk?K^*XsNcr?^?ZgUH4y1h-$3% z${@D@hQgld?k5Fgt=u3TE5RQ&B||)C!x>Qw2fOpAbRE-@zkV@rXmTk!X#a zlGa9+=H?isvpn<$&o6&=()(*lbwnC?cJ2@2NIpSAn1pQxFxnE=spZpzyC zOA{s6`irM&nl(dGFS)E&`YxBtQrT83#*UaM-ow0l)Fes_v*)w* zo-P_MCnI_E@DOsOllSUI7 zt@E7wWoJq46Fb8XwXbx_yq0&cF;gU3u#(oW37agvkXP^c?9f>xLJ61MEKR0Ze0H1F zR5B-K14~XExujw~N4O+%ygi%s0zQ}-goaq1DYoj&ba}+%nWZMfdzSybIep|RCW&~5Ov1_gP_Cr-_9Y*8c=f*EO{vyXzf~`& zizTJ0>XpS>zX{D^!kA41%x6;^dWYE3fWnc(0L2S}&u1$og(<1$m1~nF`J)BBj=WOB=d&t}d-YKnbMge83Sg==VP6K*7JGg*2r00MODZaX}4K`>`8_Dl;wa*D0Gz~ z;#B)>BIjsmeVT{<0Q5CxQ=LgC*1-pRSI&e>&A7~tr`>bV^_o(;$qJbXN``XUK6c{1H!SMlf?&4h5U;M%M^*NWw>v!yOIiuWc|je043;%mLT3F`4;Jd^1uKFwGg%G;4)Ui`%RM1z zz}EMt`jpLtws{_h9g1b#Q#P03)@+Sni`DKzW0R_6KDy)qw2-qVPjbD^V@skoevieB zHH)d@FGp;)?)^KC-iHav&+d=u7Yt*Y!s5m)`<{l9;j6@-hDkpKqFaxzI=kcX!3mCfgR*l zL*uIQ!_VXJ9U6XAOgahe$A}!W3&w561mHf;;vBPTgm!qb+5Bv)@KRy@17T_ zF!+p{*Cvq&^RI9o05Z`&{N}Z2=LO@+>=c3E6nSHgV~v=4g1Jg2i3`-h8#|Y;;nsmU zNnux1bXZyyK|?cI2&y`@->Ps@$BwJ~r@XxA%S^O|W~!eFrp_2U6ileXkNOfGT|EmX zW}&Mvrgywm!d)+RM@Mh0X>0&$zYH^t1Q)O6p$R_E`luBq1E`LN>>nNIINKfzC*_aKwi znT)(3iPGB#1GTaBd9(uB65HpvZaVin*OS{t^Ocv_VcYC4B@^GOWe`BKGqgxkG=*2Y z-ku$rF@_y}HQInHUv^Z?M9OtPxsX4zubs5{}VR@*QILQ#oY=>v}oAx|I zUt__(Tvl&nTPy*gq@SWDmXOS5KGdmx-4@lD25oRGN-{%ztAE5YZW@2C`IRFPMhOQ; zFmP2hOPoFyxABznW=HnG7R-+L48GY%V);oBCAD=b;wMW~69Q zzWeIN^a4^$>vB_Vwf{-a2>#r&A?yQZ-A0_Tj=MNQjW^)jqvYg~Vfqy$6xFbFn5UhF z?uBr_QEhX(SY+JS2$(&_AnDh8Z-40ZqM%hvcf`wYG}@+&mALiw$N&XHC$$Ow=xdP|gyLVHSzQ~0M)N28*vPlZ* zsQ7l$6Wu6|Mhd#5o~*U$<4-3fvsDRvCncMQr;Lr*Rm5NEzZV&1Q`B}Vs5lCiG>Ep( zDaj83hL<{eS#aGl%edMjm3Rn~#+fzsc_LTcP1y9nUjosO!okV-?1fRO;n8WTJtFJI zQLGo?{JdOWcWk|soNb$k9~lkOm5_5NuJo7Iyh!?idwoCo{wm1zsA-_}^81nvqw&IO zdIEQx0HnZLe-2}&bg|CigqBmSa@YDG!2!BBB{@lJudaSAdFFsL4gb#HuZiSk3JQ^z z;E!eBFpG4K+1vG+9w|7N^}(6m6eTPVjh304nr+1a$K1?ay%^Og?d?GVv|RR(EY=NX zHn*w87A%A?D{h?^32DV#Ujn7MKG&&KnXO7p*fqn=Rd4X%Vx7liRwbzU5pNMW{nWm8 z2V~kaN$DB;HIhyQ92eZmY%WtBwzt>YK2P~#3CI}?gK;rEX|rkr+OP0z(thj|fb~8z zWqqfrJi4wvAEIYe(8>{(o*aag$sE3SU8b&f{V|_NFmQt)z{ezO`MlFHSAnDJmRjUl z6+!Q}XBZ{%9*P~YNPLnhizQ>obWQsG_^iA+x3-#u@p3!k# z-(rt?9fG7%R5yFT2|e4(%SA~ebkha)=+G+4VcleIH66H4ESw>5;(m0qOX}(|1OzAT z9+@BZ&zuH{_Rnp%Bx`WPo{WsRhj|058_#POE{bok#-Kh6u2AHUu27+a(f^OA5GJtc za4VcJml5WEgkW^=USOwu`xwD!N0{s^U3^jDUx_Q`>#XIH`N9x?=)@4r z8*y2&5|Otb{%!GJM5Gwp!{SH@5ce7*O{(%&6U@&1F;yA|{V__MlD;GrOY11dD(VC3j%W^LlYg4!!CC$S&cg1F6uJX+UpKPhCTT>+NZ;l$E(TmSrU$EXU zXoV-Dv#=*9^=_;dE8An#h4IFCS@*eTqGZ?mLVQ-E}j%BM-Z=)C*$_Ev{yI|}N} z{)u9`uuGdEyt_mPssc>ZVzB~!^w>xo$tNkaB^KXjz_tU;>Bx$lL2R-;K|6B0mjOv= z#U4>FMzz4;8pPJ5aF)(G#0swA!*dja!Y3z{{iWi&;5!aLgE792%LnNxpT@ zMtUqU0sMGRmHjWgCq1>WqEF%B;UBlBW+DKg?g*qU-{Lc;h^Bd)-tVj($8ZASVB;^c z_isL*efqi{Fx>CirV-ZzBL+!N>me(LSC-S~14SL=dXOwH``6$2o$wH(mpQ8pho^Li z{0OM0550a)w{0=FMi=ArhTWxs_xn?Z(vJ(XszA~$J!OF7+nfjy(Vkh+YLsRtOD`dg z8v&#Q9x;U)A*D934wbaf@;4?b(($&_cZ7?&j&+9S`<$UTXRNaQvkKWJ$TYqRu!t_& zYv$Z4fj=%n35?~II$s!9yzeH_$Fxt{p!h;x)c^5ak371`eeiJSAcP8Y*8{EhpxJv2 zIzSDLf2ZLri-o5SH(ugeyxdJaOSKb$#O0PG>iSD}M<=08?G&^_f#gDOp zuX6I2cH$(6C<}DGM4X>^DL(8M9hPAeNHmT9M1K|1lo|d8$Mj`QiC1)F$_jSQ@k-2A zsn9Td7!~OJ+glOTs8&^bFx$}(UpB9~LJ#h6sE@l{pNOYHbaBqNVfQWMB>U*fNnPnr}Mbrd)g;})^)B<#iq%j{F2y@3beh3 zXk0zG@JS84jiG6Ih~nF?hS7?MINB)h89wXcNN2YS?Le~EEMw^h&)E(x38#Dlq>_|! zs6C^X3zyVL((YvMefisHeb~>XL-wM(XMAn7gu2IkP^_t3S9Ukwo*M~uqP8Q515zq- zMU@0!uoeA8%EUyu@OM5u!JAA8DYx`DDXl23tO)w_p-BBn(u*9)uxHh-in5y2?%8N@&TaNN4^8wdcmlvhF@B-qewSKg7{8#>cM?8v6b^ zj+#d0ZM8h^s3^~D_Yv>jE9ak;9v_rFrG;_7C$d%qD_kH+R-;@S2p>q?iH2nCA&)c3 z#>%_h+R5fC#XD4heKCYCD7zs8rpOU0KDKr}#g z?2!E&`ID|gH)OP_P~ZFP(5&+0^5l(q(QBBe#n6?IEM=~lwWWqr<+BYk>&PTjZ+~3u zXWAgB%Gp#0qrXiV22+k1iae9o#urf`xH`YaV(uBcwpL-Mmb8seB~oaVt-r8-)ufVd zEL*2sPN*HoH}P0GqrOyF|N7*%N=Xw~634ftr~0-pHYbM9N(UcQ&Kizs9E_8!PejZA zgtBDUA~CYyi7{APqj{ys~4EJ*>r0Graiq$ z1!sJ!2Jxz@mz=;;$S+SEvHk3BLg$e>Egf`(D_(g7^Q?MYVjyWnr`^SOx-poUgf#G@ z6CoY=EnK~SI{@oViM1@7(H=6MOFq1SBgpt={J9 z+64PL)h8eWr-|5txpE=coN-hNK>2jmb&Ql=0F|^l){j11|48RY+j(;k|I=Ib#n25{ z3uD-r8H^BeOMg@dW9t2x{c6*Wx#01$Wv>tb#b6!|=E+>&j~mRg%+`fRQ%j(S8y=6T zZ_x+cCGZMrVUm{u!Q#L?>=pQJi_-04L#2g2w;#Sx6bwup_fHVT!?Qjv{}e<7l8Jf& z%fj%N>+e%v9I1$aNdX2Xa}WlG@qz0f;RG&@;tcfimjJyp5D~1WN}MMYLH=Oe``5i3 zDF0x2NHF%tVV;9B8|Jg1R?*r4Z|lOB6v(sD8956 zL>M;=`GXgL;^8DbK~ZENl9)x<-fe`_>x4-(;Op_~yQqPX{< zKdis>?EhgUjFUV4qemOsj^HW1pdydHLVKeJS0F@a^*yw(U&`J-P^?=)#4!S}kE#CN zp@038`u7G9?-eHx2TMrtP!IpwvT^^d9yC9K;*JkMczE(sj~+izaG{?@s9w`g&?W`* Fe*k0ClPUlJ delta 76276 zcmZ^KbyytFwk>YKJ-AD7f?KfQF2UU`xJ%;>L4pT&cXxMpcXxsX%Nu^@-tV4s-+S{< zb*e-&l8;UzsE_F_PxN-|EGuV{a-z1Z*ZP>xLPw8^1ZEr z3RZk?Yr|A4T>i1AlLaRPY+^f^L=*}7Cp|UWz1=VC^R^R$ghWztd7KR}b1}pGLM0;E z$_@rB*(CBrkPJn6n3JQ#s*@34kmNijA7q1F9WNe!zuI3sK*LS(!}&$ADkKN=6@+Dk z(S?^o*==I(Vtap}!5wE@-bt9Q*C0Y}+s*@HBik|nf0T6SqltD0w8tPJbF2z=IS+D( zKXD6}?bYJhjqW*#al2;2xQ2H*WclN&V*Q%giGhxgjHU|u#`@)ve?(FC$Y?nCRVq3M zm>TMqQ$!BN3~5NmK8#*=sfiO^?Q0189aln3n^B&%gK5LH>1b?-V9X`uM--n)<@+s> zocbq1``olHZT{AJ5UY~Nu_ z4gm&_N&+^Rpg&M28wL+W_JVyu1p55F$?T|9>)|8_%;*JG%o$bKoXfmf`} zZ1tJ_(HT-|wMea^XInnR>%a3nvg+mS>`eT16-eUbL_tA`fOX%7b>$pl8^^zQL8)rD zZCr<@TNtUfy+WAn?!m7UhmDdn`ufeUbi1*20R8&>0?Ojd zlg^wTzsx?Py=L}TdrkbSi_O;uYB$3*FAAn*K;X?GCP;tXiGGDc{1fk0L)3JnmRaY6 zHvph~dL_xoLe!STOh|jYlsOF)YsqdJezK zp_Uw|HAB?!Y~Iepzka-0cdWC;l`jj=1>7K|JQ?rk0Kcz#zi98Xxz`IOi$iqJ8Lm^8 zP3tc4w@H~Tbl3<(ym&`I{xGyHFS^pM6cIKjQ+T^KIXG=2LR#2Na~2O8a$Vac`a$)R zFxWvwtZ{YI_Ndj$QY9$ot$v8 zE*uWt%~0nE)tRhVo|h9jGTD~2Hd6*72I*Y~T(x#Ka41Qd0Syg4kCWm^yCG`A1-7Ht z0Z5;DDl2^QjWzdm2iJ8rw~@AqfN5gz^8(~H*Ehy1JHbJIc#Q&Cc$LB43U650H0}6u zoMqA4)(zLQIq+MezvEAh=~pSC5IpdT1=B^S@WiiCn^2z??A)eUe{su}bu2xMBk&c( z>Z3Ec#yr0Hd5WZ#kC^xCvL(yEq7{g@+#;=57~n}rJMWv*1qY+(;<|j~0X}bgDyeQ8 z;chz<%5WB*Y22)>d=+5Nxo1B$vpre@etQ+_kkt`0gY)0>%}g%oEovD)+oQS%zFzOb zPG-NgG)&2SbPo)<)|}LIckOelEZ>Ue@NqF-W|AE$QS7f8`&HQ%{4~vhQE%0)o(Cp@Zv^7x zi76@Z^^Wn%6+gs(H{SW=i+0e{mfNXN&~@lwuC^yb|gX!SyQ=`Fws?D~F@%yZ=lvilv(86(3bulUsrg|_n+pl7O)3_>H z?{4c#3i7daM_7%$b_58S!-7A%kb-mr0je_12ui9PU%B!wJwq@VRCMA>NWPYkrPwI| zM}#Wwq{XVfBSXwucA1sL8By$0sN=eWwxSGcL zXPc@9nQaEV^Jj<7FXxpz`xplywP+Ew>IAZNHv&u;4lrnxK=EkFYh)38`b>Mwg$~xS zCS7Zx+&nR(Fv%oYkA>6vm-)FFTosGQ)}y+AxcCBw58$k+@ov(Ad8LPcr&bwxfwb;SyO z|K)nZoJ+S=6Y`YemTbmS)4 z$Cf5!H9052h_KF1Oc|}tf*KwWh%H6qD{{?EwXWutz>QvJMP|gr&?J8dk{GM(t@M&u zvOa9^SoNowYwz*~j9W6O8~XeD5m&fE^@w4}vi>Pysklyg_gx94uUF{eMEWcPH9zQZ zj3iV=uX==t^x3JH5c566Wf#~b{Q^c7xy;U)G5*EL9{rNH$mQUSz8~+nKVAV_48~2b z0-Qv3ctJWQbJ;*_(b5$}AaJer5KsktU7(wMrim-=AtfY}D?Ktbfr$_fMF^?;%f-N- z_)UjdIAL2u=ztlXKCozhpKR+H{$OVioMe6|vN*38zOvf@ImVJ4Bef*q6hebz58Hpl z(I!KoB8uCmVjQgGHVD?w^l-n!UuQP18?dW5T&yB0WcYJK8kq0a8ghS)_zka7kA+6luzmy4N zu2OEBsE8~Qf8pgxCv4`7TgSiCXUQz!mzjMqW0M^`7%4kk`SH5SUqRK~ee;&W><3s{ zHiZ(UG}vPLKoxPCm4zpo9Y)F$awjvN@PlVgFkc%}d|2u9PbstxDA)Du(J{=J+)4Kk zSh+KlS(89$40p!Ks1k=#+Aq;y>PUGcEjK|sC*0Q*ayCdFGMuj7_k(8;y3BC=>D@fsPT655r%6eYpAOh zNlcI3yw3ocGj#r;*Sr9t!b3ukut19&zJrZ`8%mN3OB8lFSBi>D{>sg2hoh?n~xWSa%rncTAT>qaAo62?2es= z&tbzHnDW7)5KS*-RhHc$iC=FSbXVPzMT})WpS7JZE1fGr=C7B8(GAQ5i95gVK}8kY z`KJM9VSlXTZ#h-Swl<;lDr6>x0 zr5~TWRoiY)_$T5Apu^%RDKi(tPyA0zkLS}0b4PocMKce2+DFJ_$#G+x5CNm@WNQ>pKWu~Bet$?+Dy$BcUM&O^~6?twZ{ikLs|+*1|PQWwS7e{uu~{3(AS1g z6xW3{P{T?l-r{83NRiours0T!{H10wwx&!{_EUvXfFvT1BbABm8pPwmp0#yNKW7~E z)#qDicVA9LM!vh;OK~nPR0QBh4aE&;lTv-DEJc~F1Dkz_YDe2HiUAHrZ&w2E8#$>* zaXrcl+PEZb6D>fBx@WKwpc8M|wld$W4c7hLn>>xV`W1O4$aQrxQvZz{}YDX1LOY)I|I6k{=UMDC$mMpviE`D77sR_%I=N? zR1xhe4?3x)Y--mmelk=AgC%kx6b6?^Z=4_}mb42gMRM09{!b_g;hGAO9sL=o@45i4 zhR|H4SX!4Nr2e$<&ujw%3kXgd352?sy^M6WDS_^!%6eoGgLp} zx0<5ltnfH7op9hTn=D|^tIYsLz`V7*! z6i*5yVZz6;RL{tB8l2osFC_PiX-)vnxvQAqW^LC&Jo!PI(BuNGHK5RpT(&q{ZVg5- zz4`JF(Vy^! z^9J0p$sa9$9;d!qd5T0L3L1Du%A~{KvWZ87#Zy|-Im5X$n>}$qMl1%{8HBTsP zz?z41gP^5_C#GH$@5Wq3(i~XB>L2ibs4-D4aUnWW!ExL-X|d4TFjCIZe1e&=#ID~` z(YaF+j*@V(Ap15s*Zfl<_bBzghH4J3Z~{t~Zi6cz|K9&Pvx|ig6?d=z?I*V6*hOcC~)(yZui%Vago29a!lcAf1@N?Gv2ajH;}XvzC_ zzSNqNk$FVPRhiKkc!G!8WLPkC*{u$F(puwrvk~@HR=XY9t#x@}zEQONjWAc*i!Vxv zL&d|rzRHJ6YXDknjfN5Z)?7;0>*aX&>S)waiP&aECx_W0ApddvX9BRnu>K1N`uSCM zRQ`W- zb$R{<)5%@rXPMn1^u4#zS1@1K``CQvm`oVE@iA@o=&f!#wthB4*(%H-&V4aCz~N)e zjyzd0+^ii=*7Ir%WQ_(&rMxQ#qu_stcl)*fj10=VRqWi4jg;mlnh)if$`KmKhhmUz z`+Z2WGE+|lcpZ&#ikWcVKYDD8s>t>|w=dPk`(QasTb0DDZ^jpbmAL@5Tu_JAV zgAzsgrm*Ef{^N+`pE}DELFuxTB-9C6R*dIyT{fnEd7@yRB-9yaXN@|`wk{Ae>pwlD zQ})u3|L)n$vsk{Q<;gmZkX)l1wp0YLYkK9!vDxFpSupl}7R%XZwD>xUaFb_4viGsv z0T4vfq*x^@vO_}`r$beMDF4KbSAQl?hs<+QeapeQj(gW&FkRLsl>3Mdze(A?0P)`(9FKk-%HyqO4hnJFciE#iHMt@F!b z4xV2NC|ruuuKkkn-YwxL%z>h?be|XH#Z)maRg%3v%0q$*VmYS;pG3DpC_b(@BE!WQ zs4J9JU`8}PA=#|iA4llT)-NeI@J%FRsQhwN@~W!UC_1HD#9&Kygj@t<(~?k^?&pIs z1d+j1nqg{wyC6n}9>qEif@LAY%lipfJWhGo22c4DJD3p(IIX8Uzz z!s|*xE!eD95N=nv#-rgAz1dk-(yFkuLy7D$yb%!qLkiVUfVDH2$@Tgcybgmj%tN#ZQ&XgYr*uIzT}zfxYLN-c`h+7Glg&r5X^VyYV83%p8J1`R zh6i{aku?&3&4qw-sZ(s}IRWgT`N)5BU!h?@YCF^p`m*Xea+!Gp6c2>UdH*!^8i~4k3fG5@x&U za4nk+bT^d6Sl}gSPIF3!vQTwKQ;Psdhi=`IPoWAr;DrTc4N$KE0 z3+-PSJ}9`rU}_p;)t5mpD|v(zu|#TOTU&?tQ#K=%EO!SVde@hgEX94O_5q4q;EnUb zNrWslp6r-0HJ4@+@8DbIRw(1vC~3@cO^Uk`>%J?jxfkPCowg{Bdib0xki_Y0u+vby z-*(Lf^{V~ltzfhkkU|D{o(S^8)*3|>l;zu7Q5Ik09V7WOovk$%$|(`9xd(hEd88Od zE9*tOr^hrI`~JCWza|0FBKB6uf9M%hl}LrCicM&1w-vfdtV&~iOXq4jmVIfG3yg%& zJ@Z_?PQr+Gt0HRoj|W+N%SULKqmRT+dZy_MKk#l4fgm^vf?DoAo6L1Hc`2OPdq z(kQVOuOOy`&6K;8t#8T}R~Rq<*SwE#cwg{bUu_&{@l#cYT6oLCWySYdplP`E?$piD z1XW0Z>8wx{W&C23;w~i*eu(Tz4yqt=HX?n$gJKIJl%nutRsuq5$_wzNV}Q$<3aEubuvVX{h8#FvOssJ9HaHBZv2Pr;l*wN|z%vGs)zewd$5t?O zS(^|)gV$=t#TqTg%uXt`&U|YR3(dB`4%SW~si(7_C+?c!^C{LTE`0-QKvaa(7}2ryEUEdNetN zp(`8O)h|Y)*Bq`1A$ZuK z9Ol-c_I5gajDnyKwY|l`s{|r?L$Rd2MH3Nl9n-c{1EZ7XE_NNEEhw1qbtu>NrQhG% zprxMlwJs-!rC{#Ick5DKbH3hTs%9Zl>9RMT+K8^vUMwjWn-Klu zadhiiuJ?vomK48Ay0pp2hu={?La<6nNb4f?P4Obq>>{r6L`ArGRY=ySXNG4b?6NZe zOxG-tRPUX?jsvZ_Gb8Km4s&rxoE>#_yj5R;=gInTc0z0evnS2P0({6Awp&2j7a9G> zrjT%uh4S{HFKLYHakJB8aaoHLl_T*Z@Y(Pay9 zjli=j18EkkizC?;!72PH2C$q)?S+I)iGO-bf^fbW3}MpsRA^M7F92J?LvSJOHzDL} zY5t$0yipm5_R*)o5(<0*Vs zd$AF%OVcI@$CB)iX=Z4o!*vB&T}mgcVx^2`A;FLP*#`dvR5v!E+ptR-N*AXf+I${t_gyHrSF*t>jmR45pcdnL)UmLpuIZX}{xl|T zAt{Awd{-l@U_b~*ZXMVCuJ**V*q`?K0pn@{}p?di&G-{$JhJFX)_^jco6kTqm> zknN9mJaQswLVd)Goz*gw;$*i^q<{U&hL-2ZC5KC$(edUY%HCaR=~Ql5a|q36zo2Hz zJy}e*dx4Y((krr_tke3-m6x2>( zE2`d?7_`?AD~_h~%9pKqRm?NHXp%R^oT@x#V?7q!+~=;%_4PvTBYYs<)@jHL=PyNH z*>Dy?#9Ufe?YE;78gBfLk`DI>;%MUz^2OEJhvaJ4bXJay@1%ez%Vd2W_qUcIh*0++bAucas^U6-2~Fn>ic26)@3K9MKx`nv@+ zd<`mL{s_@_Q>As&t*b33TjL#Ij7@CH7Qga5c+S6}jy6H?!@TT_j_)t`r{{ROgXuX# z$9~R?8$6YWUm;SsUf)qTLr7=q4Jo}`X79{(IR>f?i@h$mGJagRA6`PB!1h!=W&>Hi zc^?!6XEbQC9B3Fdz*V8bANS6VaIf5l4^2khHB^yb&JOm29l1O#i()yw3e2N2&$MLY}?u0W!lWQT>%>#u-3!Ip!D!)@}zwTtAa8? z{ZH2Xw{DVYRSv=rF`kZE>dz=(%5B^81O+{s z?LacYdL5cR=W|1q=tnTBt@g~ue!??wUxz$Oh+hoN3>T6QD40&Qe;b-G_@NZ4Ryk}V zS|=dE@_ECNhay13l~b{Gb6B|fPxD74m(_ahQ6Ba?;iVyOeh{A2{iRh;up>~h?K&`+k2|%i zJI<=0z2`_nQ)1s2_?D-_of00M<%kanWhLMW^@?lM**xi4Yxr%<>AFy%kCYMtk1hS4 z1zF^VY8>F=Pv0XJg@nYN09jA?>yGdTZg6qYII^@DTFtf?c%GcImYA~p)txA*DYR^a zRyRs?Cs9ZPq#Bq{8x~N6Xvw=iT5Uh!cyi8*aAWE`wAy&#c*w3O?rg5ZiLXMXjo_!j z`qSL9$XlX!1ikim@_D+@y00^L);ZH7o!ozQK*?#S$at-gVENDV1Ig?p7YnMRk~L9m zq11Y9tuQ<}mKu084ND-bpn;8)Zfl31bqdXoI_G-?PPeakALCxZ=IV|t;}lv_A`I<< zlU7?eG*1rbyexE4u}vCuX%v8byN=By;un3SITW}wxWi64m5!n~DL>*3>VxFojla ziif(YSjLM)G_8~r!!T*cV15)@al}X(r(8CPNT7&CsO47{8$lVLO-`m2r0wmYRc44t zi1v$p22edLs)79N7+&v_O^zp#AQU$XLa?!uVrVp~$r!yvSA!(vswEgeMXyJ#8m_Bf zg;ueCZ0uL60I}|9#>{J1w|ue_jkGAJ6ZY|Kup>=K?34RA9Vw5cYbb`xEK#&7ILNSi{F#ZYLDoP0mvSSxwkqlQ4yrzONK)?rTUXbrjlVsNdK>6W4|2JZ&c!+hy1; zeidrmjc^T`2<&WfDeQq5H{?%=+=0mOWw5frXC=-~^EHCMMEh@irbz4aOM21$fz}g3 z9akaFu6WYcPekig&L72=DpJKkrdBH8U6RfHBMld zvlh6W-7QuSAjU^0nn$Ij6I7;HiyUum;>y|tC83HO?{8*wPpTA@4{uG5RF8~@Ktj0j zbH68F{Z%d2K*VKUfWrY%K@9HXR}W%4ZaU(!D)79N+A$}n?jmQ{;Ed!#f#p15*dVLu z4`Q$wYhWnGMjQpHPDRHAYn;PN&AN(=Ekx^6m#J`0hMm;)2Q{ z=$x)_XlyF3i6@QA1X56ZIu_cYk*Dx;zz5Upi9ch}#VJ)+qKAQ|gRHX9c?-N|Y`nk% zI_}ZMuNlO4p(Ic`&s|n&&T^J6S2GC)@3W+@n1~tEI?rAHF=8o*VU72Yy|=W|S%%=Fft|H&lr-@P@Czf_Mm)H=KRv#uI#)tExzF|6a>agE!xT?pP;0n> z2;*hj*Yw{#I;!6YQ4`ZKdUce)=}bA)JDN6GE#vtcEYBNIAWX9eerTR(-o9E%)`{;$ zlQs@UYskG9$}v^{H1y{(l}Ij_Ef%J?<{j$5G}zEugtDi=3r0d9m(&3;`eMzSv4bVb z=GcXPA;W9!Na$enp|!K({`^ehEQ=~`tjg#YZubwEvr3Yc8V(vBj_DH>QSk$L5Re*^ zPcrptIwqnxjf6!TRNR+#R(&^j5 zW8R$y5u>Fn3g!RFdv}u<^hav|We~TQk4$d;UR*KExJ>Z-bV{Utr#Dast-H7i1HwG- z77+8W9UGQ$&U+3P#oclMp%f_N?FZ=h3Ws9~jJx0Ou|)MoK{=E6NXzQ8pmn<-fIK5a z3HL8HEhnLptam!*abgIhWsT>iPdXh!pl>&C<2>Fh2yAp_AwHjxOW6Y)fr*zMak4)) zjL+MRzZ|kN>!%uTafV3)_Jz^#$s+p>IWp0~>eqNBVEpLW%1-si9!f-T+~&)P{c50a@pi@PWY z6D>NPPMV&#RAf~*>BpFMSUz{}OV|zKz>4NcS|v)PXipMzYlcCCS@M3XV_4%FN-zkZ zNku)_kx>=&a~i$33N}^`cG7rGihUvKcJbkaynO^}UsllfBPs4ADc$sWYqEv_W>e*Y z6%sFAC*{%3yi(Rl<8x>~Vq-5f&wVrsNNe#)2|cF3H6TL|UQVCCP5XRdV_;<|`AK2; zGlHCckm7-&Z~B;?EBHX#*yiXwDB~Q z$`%vW+Is>s)t}S@W(`ZHiW0aMUJxv=Lf^==dJKdc4g&wk2+(kCk-AH$*NXE2e_BJi zT-t^-EAHtgZXtWh);)SnU0&h^+g6!}SSK&aj1kPT7$Th_;qtde6L6@?Mo52uXc^&9 z-?nv2aBynW-4P7cn>j?pzBfzU@2oL3p74(CW6C661Rc=pmBlhv^roMB7>Csl2{;{l zM35%!WPTJ7sWlp!*}rFxJvfa4hWO<6JWUvet*rLfZ5DoxQ?3)SOFo&R=m7OM#8r;% z6lHmtsMtCtFw_8g&g1Ht1CULH7hHbIS3^{|)`E=_m#S7>9G`u#^evppU90~CSRutk zF=U|=P3f(oJEfy;ew#3fST;*@o*m_jMyRJucm5XR>jLmoV}~;Q-md->^$dp1<0|Ynydi~RWI^Ews+Wq zPxg*eSK{3|=3mzTz<~Kb=7J#e8Q%end64;MC0+2{j|e}^uG|KoS2>6#laWf@_>7Qc zcCI7;RD}aCAh~b%<8K43A7*2L ziXafixSP9re*cJRz#@JYpbF=6``Wa^qwf23Kw{Kg_hNY<90Bm#Y9!JqKMB zARd(WdZ;2ncH;D+JEZtlLYY)zto!{3zz{@@Kuv{~urwp!^%oe26cr8xjx4dJU8aNPh4r zko>T0@A89qazP+S{{H|!6ds^WDYyv+z_KweHGx8cWd(mf`(^1NL3JfQ&0Xm!>9 z?%Tt+0jUYThhiM*Bf=od9@to27d5e3RABiy(?xTz#@})JfkFo#H+pKwNWGZ_0 zf}$G;aPHDXL@lB<18Z@H@#=_57khYHQI2a2?kelFHgKTaGr+My2V{@fGyOm|D9zUct zo#eD+wdpJ(1O6NzQ&LrjHI4txxBN8H#jxR|sj+Td7n-VkA!D~pDMzbyh`Nnhik9*# z=2iV8P@>2?KdzbxflRe^w zZNOf6Tur4qra+GX+X5243cfkfg@1|I5m$WCp82v79Q6Y3d#ohJtOLWTlVsX*Yl#27 zrRjL5AR?_|S|*9d|CqJ;xP@)j#BR1<7Kc-3%}Lh0rEXg`iQZw>*`i={4`j&r?vXm> zbWEPi*eAOfl)~7YhxBf05Asr^pP2$y8G}|Uq-%9p8+F!P>{t737JoLBj>8KUohvn;x3I0|+kr5g_d!{U))j)V z;1$NGe;hvjn}bzgI38^1rH&U#UPlv7~9M z80cSS)K&ZUJk&(Co&U+B<=^rE{yUL>%i~>-xp@V5oqzPG_#Zt^N-G8=1|-?o>s@zM z7A(T-A+%C9oMxJ4J#Lp*Gud1PEQqZ_&Mlu{v4;=r6RlI?^Np! zdWCmQ)IC={c(23{qEvAQ9TS&!7BI!ujN<_kzu`WB^gvhGUc)%_edtPifuOx|)w#l)$6@+MTD+?vrSeC>TpxwToOf_zT;acpKS zpV9O;p3M1e>S;k>5HI-*EH9b3?u=q19p%#Q`rzb?t|m@`UaZNPIWRdLG0doq)D@*!b*=Vz7F>}O+mPu{KDgT&wdNu~XnVkN;!#tf6Fl{A9*@n$|^eaN6^bx?XI-dpH zyWWbAE+vR!jz*CQT-CIq14X~-c@G%%LvuvI%NE5V0{%vLqf3Dn_S0pF> z6LEt2!|o;8>(I?r7qg>9*xENv;K5trAOR_$Z%nWZjUi2(;?wN*w$@GLRDUgt(dY6+ z(?O;lVDWEUoBGql?i-3z##ncK)A7FjOKr? zs(#OWF?`)~KH|}K?}}C~Cz-c{PgRqF?GbVPRHccwjHJ%ZDU~LdzOE@vwvp~h`i0l^ zCPRWG)^*@wR%LY{lX-$dmG%p%;_?rH$%m79;B>07(_=a*Fj&h<+1ib6xNdtv8eUX0 zzi;K+EmQG;E;=30+skpll?;^>cNY_snOV6m9I&Y|;b>qJB>G`9knR*e7)cg7prY=0 zte6bkc5qd8`652hvJg&Kt#b3vs<`P7$Jwl>&wozpug=zax6gt0w->P(oSokX#Iv@P z02=90p!mW3DGDI^rndcMw+kW7i!5v&itJJkKzQji;6=Z9Wydb6|Dea=FD7ka1-}^v z{`kb|v8I$cuVq{8i1novrAqoGg=Qs~$@Y8bb>}7nPD5wMAN;S|RMS61pV_BPkXmT7 zi{~So1fAe;`^+|%`b-dTeC%9nqlO65z2Ml04=T_?C2ug-3E$q|c4*!MyAr490>!FH-GLnn_V7{rPWoam_%OZv#3?MI! zrR~7p3TcQ#ef*}wWglmhA`1a~or^_^bzK3^-1n@fo#5P~y|(1K@od4a0(vE`I5-i# z(8Ne{guU)3Hv|5xQgL=ZMBYAhtXMf|)RU8B?tF}xXG`9+l|=3rof%yAJeCYbi!r>J zWcegEi84o#{$-3q(ONgcSbPfPHGs(TdA>AN`4p!iOTt2}CI+IMzo9ZBB4$L8=-Mqd zrVPtg7a+mBPA6=2G-jgXteh8P5!$)R{#x+4j|_m&8UNGJiCz{(&h?mPwp*pMIg@=! zT#a?^-#|DV676%{6FS49LEo}b^0hE9^yAVS670rEC&ofx939>QoCGf`JRmIQS57Rt zj8<2mFRiiSv*LwaICqLuaM znhL9-$K$5m7SskO{T33|Nkgxx+tc^`RXymz=L0l$(yAyVj=33xVS+pJqOhtVSIrvI zfUQDu)01)1iR$0$g`Lbi(?EMkSN$117NSI^lEG8`WgKZ5>8Ut@2I-%T+!@j>+XYKA zLRy5{W2a%KXZOZ@M+jbFh-+F}QA6dbeR><9^EId_eG0kl9IY+}IU=y0_#6v^IwwZN ztuhc!N-PEHGr^kIZ^z?y+lJw z?(O!)&pkZknXq$%0YU8$h**!hiMv_#A4;i`+<&2AyT9WnD3xnes;YPji53P!MZx|# z|D1BFyNAa906Uv&Eol2gC=~L9Er#74BY`3R_0J=<5LJE@={9G|-vg~uzQN8rym6Jqb*x6O_9m-|0Y zy|7$x2#};7`i3Vk*m@TNjLW^CgBgx#_UqWv4-Bkfpp(Xek$h;bf94MyNR5{)%lqJZ zIMr{Jhta(uRsQJuzQhnq#Q=F59$Ng>ua6FBHPzzj=nUsiZrxg9icwIWs;77T^7sBY z{mSLAyogwQISl~)b>ShiH7c(vRxS$q^2gX=;E!p3fl$~4Kw9E{PV-KRKIwG*++TRH z+&(e9HYDcrYWkcC$!#QQpOqfT2ZxYj+>ugdRW56s_;3k7wWwDZ{?Xz!g{uq9h4o=% z=o%;T_;mWW-u0&?qd-A=LZp}(@>QMBg#kkJSR@-5ZnD4|0+GDmnswys#G>NPM-6&{ z;P!q}maneM8~BwiQS>jz=qXPJ+2X%C{mt3#QzO4>fZpQ3p2p(Rt-q)@mLx`lpbz_E zmw8@y%{o8Cr2JszwqOHy8K=m8nnf*Exuo~GFz}8VXNh6$?f#9^%~mvL%=%aVKpHdk zOH?~9h3PlIajCz%`Uisvy)DNJR><*4uSX$ldp{+&!1ZC->izHs;-$krGsErH-MJv# z1M3BU8=GBTm)X94>*)P3sy;w{cGT-wOFa(qG?8o~b(Z+SqUjp$svr#ckDcvrdue67 zqX77weiN>r^+)VdUi381EQZXdUG|0yQQWynJwG;paK_c%GL#va+6&KEU4)P!x>2?p z;)VWD3sYayPxfDYUzFTDF)w)gz}87J7>uDuOi}m(8;Qk>Me|hM>^2*plpafQHkiUX zsZo8~Z5P5BN`};Jhiq#MUmjzVCtIWHN1|tBDlf#RbrA+*pP0$=$lest*U2I_m=XA% zlU0g_12fXVLu^NSTa+ezif?Jz zNgk5uC(rEZ{g-e+9Ki13C`fUqUO2pXd%;p+I#`_E0~Hr@+! zY7H^N)uMHfs``2F;z-EFFs7Vvpi50mga|*-4?kr$?|hUxwkp37OyrkEpdSNt#2#_s z8_L6-4>8{!Gsr6E!@c?j=F6M1d+|Hs{*>9yt%s#l!mDPo&Scm2B6y;nPJZ>doI!hrKV=geMsJ|w|8zr}WUc<~_WO)xYn*<; zv5GqWqr%EFtF;=f26D(fzv1uinYH+-+ftaaAAtckdp+x{IGzC zaA_QP>T<{!*qpaUcnK-$jP8T!La2E1!}fjUOKhKiQzR8}gvfYka&8VWS84f-VTw}WbyUL4`y zxe5|w(U8b+EdutqM9^I!#!GH1)z;L00w|7|i@zZ(s2-P)H|UAlDmSn(E~<6`j@!z# zzTM`PkdJ`R-_h;7aX-J~Q|}_4-Qf@aysv`J^=Yq@x-^1G)R-e%+Na8?n=aK!F}T}@ zJ+1*q{aMlA?L~q_T@T|=L+GD}2DQpB&zB>7mRAEhN;s zm;M-f`nCTK9sPUoJ4DI7L5c?znGjAAHmZs2A#hI161tOOJAg@R^i2v-Q9s}QdVO-Y zI+>|C)Ifh=oVL96I^oIsWNf;1C|V9wC(c3DV%;Sr+=T{|E`I5xoi7YQTI{8Ucs5oU z0#*%ASgkKQ`gr208h`qo7M=$-trrRU+@5vG=ZLFSqG-@i+M(ML%tp=GCjV(FwZi`D zVlI)&h2Q5N8`jIZKt>0=NKO9<4?wbSKU$&c!DlTF}uw9>z9dErjo97NBh@Zog%^eiOv@(WB9d+Z5*hKTN%IbY{KxK3v|D8aPS#1z&W>?qa=B81 zCpNWwSOWgOR)o=bvhqHPQEnDno%Ej5CG!-I`ypL{jM}M|jyJn(FM>(dE8si9Uz@}< zRpF?%uZ4&lf^10RocU=s)VSR?Xx1u;vrSSiNreXOs%(>8xY-**Bx+4^N=1weUu_^x{GGzMKSASJ(I9l!G47ifvssD1_u*@gDmWE{2j zGGjg>*Kd8mjnDf*Bngsl^K}LuO9<`G`TgTZ{hSHI*K93%_S!_*o<#)5sDV08{3j}+ zr`{BF(XO)0TAO=pAOj>V6#L-rp=YvE6J|&`8Q>gj57D%UE~Yq6 zy_S@~(Bg>CT#upG2u`gM8mx%N=$srkS6mt3_&YRv?8hJ3J5`uD2NZ=q@!V2RiABO` zFk9K(%b35gJJ!@f;XjNl+Ad53l`WH+N=*BDx2zDE3h7jqmjufoLd<U4UEv&pk@tJLT8?uACj8{ynks^}aeKw#{?<(MM3J>&$iz!sKHU$;Zg(U2EW?YvTB>fojc_M2L^lVnRXE(0cS< zLP-0VJ&q}JwzZQ()4>k=(pzhL6b`3ukrY8r4ahk~%H9EpQ~+O~0jHrb`--S0wPq3L zzySFqF-_;Bw=DQe>~ldIY4X zf2KX_?9-j`037r+>~sWq?Pj~-=8tuU4L8nQl8&nS?BU+*5ka=C-x?tE&vhc5kl;ip z9R?SPgxzg%x|P zF>8{V3J-85sH&qJoh*0kQn0Y|9*T#6@Jt*WbCO|e-6QE> zQA%Iu1Yl}pBWCypH{+rTiwWv-gaqLN|I?)PxvRk#CT1k+GKAeDZnq4Tw=FK2pl-83 z6$}zO<-z~PK;0KT$$!!M06A|v&0dWWxr5qEo~DIVITU3KS2b#Xr0DyV@Vum=-|5QP zP2$JsxDNz;bBz|Izb2l9;9&xr9uv~Ay-3!QKA<=Y51`nFpN6nsZ9c~)u9$%0*82FP z!)qp5z)zGxMdGIX*^C23g4sl-X^fp%>Itjpu(w~I*KI6mK3p;s0w8|U?x)~+g)>%3 zNX`+%{&eMhd3ANWf1azi!IOgk$K zT>vm$8>2;TE|C{LN38wP_H;jbyzXY2k7eHH zsavIguBPjaam)RR;0f+WMC&NTNBn&Jm;xY&NHLbKipHR2Q)ZAnLacYY3~Z-4Nj`H= z%W2gR4~x%EIc3a{B((BjoZIdF z^uLaz(nR=C9rgjU-K<9gZExB-?~$gIF>9Xx#MQ3Gu_TL72k&us}1x@`=o#$L8a*zTbtNN1a_q zjPYJ9QAZ^YN4j>gH7GgGMRDp(ehzw?@gCDzD|kZ^7;>lv%jOT zKkX9H?{C{15OMl>;1qz5*V%-R&Q*Y>Ml*)NGP8U0ObY2Nl&*i#sIU>tP5+tLwD9~Q zv^w(wav3yTIYzBgw(Dl|k^IICh})IPh>K?tU4*-j!L~1)lj&&oNqZ5e>UrGXT~1YO znSI;{pL`$x;8Y+qt0swh{q3tNaskh^+jhBXM)9Zhqfh_-A*6EAN2rZk_G=( z56}{&OQw0(RgOe#YOs}BZ6la$;3V)`K`m!;m9dz!Ih(uUN3M715R*}n4!@Za4-LC6 zv9l(JtEL9#wt2SonKhNRgc)7&s6=7i`=FEU2QF@9Njm*R@rBx}B-YWbfmrabDEa{W zLrkE%<~M7kYv`x(htktdf#&(tXyQIdU^?aU0Bb|2$;Vq%vDPmIh%6=;-@*Y-Mz?idp$E6ORcT4o2WD>rS zPQFEi3-~&^gG^_|6f1k{EBOJl1Wk708^5oF-pfE$_ay?Dw|_2UfYnrs#w`6pFk#Oz zi1RhsG9$HC3Fa7=4JiVY2F7lPY(_m}mv#Sqj~B0Ze7mzH9?9(vFkP!@UsT5E%f)X- zIT`l&ZtmSuM}TVg=HJ~EX}whJeIvVhn3~u>v9q;$vJMNRH5GGm9MtTZo= zDZ`$TE?Jv=nQs&Wv`(3Sa^p*S$tVSa%AT=1%@<2IZmMF`6m)5_L=MJdf<}tq(i5c6 znNT+#&LCzGttkL3pCm#$QViTN3TB%bQGCy}TvwoRl%WZCMa{UE$JGIKyoZ!IrYpqr z6#3J2y1Hn}t<{wyb!6)M9ED;|wZ&_{HlEyOwc{1#xhcd@#Y)LWl0kRh==ls{kHko* zXxg1KVVAVKn_94alG$Hb{R)~qB8O3aT@7Q@5ak@m?8pH87Xc|mh%ADnUZ!L805 z8>cWf%#J#$;Kn4jouCu_!zKMiVqa`&HryOOvr^?Q!@v|(+6;Nkrry&;;=!w<`Rbqy zLJ&L0cyAc+5r{&Z`EZ~Dk5u&&{;m-+b8n4(m8IQKbRecgYWT|NWx?MT|1OBqk`}$d zB!P~c(kakOPLFhILAo1cP*lUf_1k-yJL#JsL zB)Ep2L#MHlPD z_yXWIaBz}4brOTnTwD_99#0v3bgxn3WYnwF-lV)(pHIe>Mss06yt~cewcl$hj&E*bbh-S3Zd=62no@43mf%-kpQK3dJ+$7Fgr?M_c;Rl0Zq+Dpi=L z^f45b(a#GzFI>`f{WgiRV+Q_C_rj6SO=tihn8#KlZZz_Hd4RjIBrYL3%wsh3dFvt+ zrIJ$Bb$tYHXupRcr5DR;fMGO*+qjyE$PbbTM~4*jS6Q2O-Jwbq27GvZjsXx@81kM24^X^Wb|EE+S|awb4M z}u#DbqERjv*nFEiqXLC}kY1?e|) zOUIZaoJi1|IpXJ^kzYMEi1u|JRo%bP_v;fmymr+1-@FKhC{S&HU&&_6Tu_% zx#zW`^PhMJANmvd43}s_lx({*s7-+88^_0M`_az^!m^{4+PE)&v5B{)A-mUIxVq5m ztsVJvJLTgyu?)9~tzqP=Mo&*-R!OGpNFfWsPv=Vqg10;Y<)E7lnoj*+*xWbsU|qlt zR-v9JVk5)72Q>au@~L_ohW! ztX7XIX-`sYd5@@~SBcV44v|zDMqya9afSMa8&OCRI$6dN%ATF(3fX{kEUT5$Q!d%6P;6&8fp?NlKT6&}sjFk8x0UF>EXYL8 zRyIZ}(M?fAv)^~H88Rr9IF(aSb)*s`KMcZoCJBzBogNU;uPBMa{yLJL^2rX84d2<@ zQI3-_P~d32s%7gW+9`H?8kc9NwewgLP6N{+J? zd11SRGl8G4@tEi&w;j%=9sx?;^MdnCgT#QW*GI^|0 z88!3BBJGDTZzsuiA{qml(DfdY;@@%W-fXG<?_TDB#;1z9{ysEv`TaO{8OV)W zMO}|DLs)K?X%L}AwMMGqLKys&S^t+6nYs{OWcLX<(L`H0GB2bW?E&i}1YvcMvZg#P z{ds3ZeTC1b{5FlX48Vjb66`zS`vo|5NyGAZsBG=kO-lhUG3Et5AvgqZmog(wL&$l* z^$H+|$ARtj-m6X%9}xpFw((P}zkivdMo;Bu$0_#(Sy3IUrzp=%R!uk(9I@XGPZQXi z>M5~YPv@Rt6%EQf9#ag0l)SmKTz@zVy$P7PFMr$T0Y#$j6f@U*@@E+o^!I^ak-Otx zn9JW3-wpvhYLq)&oLHSf8$Om2%sP8cQY~jq9!M%O0kHEfFHHMh##7`A>|MM=6zhIn ziPuL@Re7LR?|(oP|EyXiHmGr<-2uRPY*hx>Ow+0Fu~anZI#(Nd-AFZfz^?#!dS@YH zT}u&WXKh8k`O}xCbs$t~)$4z^rhOv$#znhb0LbD+9{F%EM1NW`4(+wdmnEt03mJ=ynWbt+ zKI8}RY%5=fUoZZIAr@}hcBVHi+X_Wid{4@Vl$QRK!(aqAh%Q88-$z z484{atDmGl@TizDtUif@g|%#_h0UKgp>1! zoG=}F4!gua3>=G!VaA80g+P2=!1_>N7#2of=}`zkv}#Ym;1gUAz%1IInGTvTc1Me_P4=%P z{m|1Sna4)mb4#RXBrTPd2p0s2R?GD18LiL95GqesWE!0r1lr8P1wkZykV~&ovL0vVe2CvR%j>E^{OyU(k!0U=t3z)RT@HM;eP} zc){ur0xU=$dFSvqbKfec!dE49Y0Ojcq95RN#J?)A7-Bs%O8&0`L4Y{N zt1F;1oa?vsx|)dww#?ZAdkS7Qx;tqUr;yptL{1LsI1=>~yaw{nl$*4ocUT}@#OhGd9lnhNY(7y zqo}o7U&(wV)lO@(k3bGOC0lDT?VXaMd7r>U1s=tLLNNSvU>EYB=0I*cwllrK6ck?dHL+Co=6Pz(+4C305b0!Gz3 zz2qxK^!dbZr~+b5aS&OFjCx+5aLU3c=%D4b&eCP!S&=qk&!@3O>G_&pxk{Ln9wBg} ztzCZ1KdX}5O4P753tSOMrr|@4B!n{}3TD(Q;stWm>Qkdp+takyy&=pKZ1YhNkr6C) zyOZf6WGRZsSuvidIh$oZ>cwcXGvnsKX*P{GqCOmDMc<2tF|98 z(|ESGIy@du%_`WjkKFW$HDMl3+aAjpU)UN&8O_t`>TCLdzsy6;c3nX7Nk!@MIv`9| zw!Hv0Sb;U$^e$aC8ET3JNzyv>-B+9=oyK+!Z=H0_Y#-9bQp6w})EAj$$q*>S)A2d^ zTuO=bE-)vKF-jj@;SMRD7G^Ylmm69%tgVrl z53MLP$-mofb0nlC8S0{u!VarlQJHSHgwc|+Kv!malb)ajSa6lKz~vgF)oG%&`oYFf zWge-6=`7V}|GD#;u*+>JT{w|=kMf}%^fAm%IWwf8;Z5`66@pSm_vlJ+LrK+3(c&$U zM!v&yL18PM+%~1Uyyc=n$0XfMA2M8Rx!Z>6?NL2qQld$4clP<8?+f`kGPIQzrah;6 zKM&2{k4}JQIB^C-0EN5~9D2K`dY*W8dX2 z+csO9vpPVNz6Mtv6Y?Yetoip4AQ&(XMbU(sQKR#5Ge@2hOG_vQ6g(=#;Q-;GPQ_eN zxE*>8hf+0FjmBP&;ADH=<7Tj7DfJ4nQ7j9Ekp)cJH!6>YP|n#!NF=;{NTkOj$`!n| zp^6pYwEz3j7_P-l3ML|haYdHGUwjfBCU4!UU@xrQmgC;fDgC-@Rzx>^@u({=BcZE#AJkU!q5N?RQ)$-`0HxoA4S{VT? zQGtAOXl}oeY$3EKPw*}uV!k!-dCdD4g_zusvJ_4mt5)*|5Y7RXyEpO!lRVErJgHc* zYiG%VG*L7Myvm^0Xp}NR=fY(MZc*K6G!0-v#wCQyhO)}9_wal7Y2vTK0mMhTK)D#k zU%LbWLp=<7z>=36tZH<)zP&FKqm8(Zct`~jjNdJf@nhw#9(p|lhlD0cJ;mEsK;rw` zhC3lNb()%cRB0_7U3sS?$po-+i%uNDvy#PvL@jj_2Bs}nUkvXuu^)9R*0W5i{C$A< z4i62Wm8lIc69+}ZFU%#BxER7@Az0WP@oAC5O=iYDNd!wUlxpv<6(&6F&K}Qpa*kiS zwNMdGVCu5-TKoW94WUgVR7x=BMsS>53mE4!*aG`%-!-Z=--!4U4rL-attxAg)BYA! zF_!jt(|he0NeSK`kS%UzE>#H}>o5P~A2ZCck5h&?S3xLF0);8LxOByWCD5_ltU;vg zSy5LvP!Jf(Fq|7+VUwv3ArvE%eY(4oa^vEKQ1S9GaD*OX3{jhZ*^6;MUjZSAL$>MO z_5?jQo}N!PGZNKl4YRZ&rfM+9c9u+_Qg#btwZy8=b~QA5u?pzYu_7|wzcsBQkMx`GfpC~3;CtJq7Co!K5Lb}w<8xsoKXl>jI^D-^e7Mj7R)jXcf z+GnqNQQS3dWVN8u`0R70;_o+@cKhf~l)G0H2jBQQt5D^pL2+l1dMI&!=l}iwZ1a*v zQzl)^QhBJ-Dz}3HwsxD;dL9Rm>p~)3ylU?!V6LCc13f5Z7wM9@-sR$YjIv%22vj$e z?Xat+V*44zFDOo)q!YG&;9h)kktsjLmU`()v!QIRPJ3!_0E3!Lv4j32jDd3(+{CZOvLn zR+Uy~t_v3RI$TG`o})mCIK3UR>6=bPF>jO{`;f7MaAuC$Kv*(|$e2&`mN?~r#pTQv zC)M=*JW|&H8Z9oRk@f&WOBWhK&6&;&^aR!9$sV73Jv8)%)O2Z_8Cy)aUR4#}WpWrq z-XGk(oE)a6h_pg)Y)tfMMqnZoJ&kAOrb8m z^2TybH^_A)9eHXvoJ*>SAPO(JMn?^!H{P`XFPscFE>g16aF=7!HDib)tc=U28{2+X zsm|m*oT|cv55ox1IQ^c^$oMV2-lElMiK@N~-a6aOAgl-^YqbkQ7JI<0im0h{X8mio zumD~IN^h|ot|hugy7g>&$a%FSo0coa4RX|?rAs=BTqYN1w@9Xt9&1A zn=QJO0?7pqy4Fuzut+q2RQbuIz>3W9B|9UIARyznpwTmxg^i5}{ zuYG!8va@(Sb&#@K5;;0sos2bU3jfGxf&(cX!iCx;jyt2Wb0uWOHmhQ%VmelL8P24^ zPXG(o2yy}BD2U?G@o6Q#1{DpFKK@}hV!z%=DDwzU{kjHIJSw9;WvLu??Km|ktrikC zWh9LdiXx6AAA9il5V|7he~L{83bk-nWGgXzg`!W~BiM5Rd32cR=T+Zu(XtyKca`G> z%KLvsomR+Utx*FvniyVKTvqjJHOyNV%>kHhgkWVe$v@k;8-RTKSw7>ZjfdgI1DS1u z=bo4qBCU}i#TZvf1p1SscrzuV=yb;MV!ruSQQIP<6OWL|Jaha`EM!-iRYdddok`yp zlO_w8%dX8!IvXDDTRw4<6tyugp1{m`nnx19l1*ax;F#r;qNq{5o6IF{yZbiO?FVrB z7=N|y;ug+IPdcy@wQ?;PZn(!`>e{~94gC|ZHM_^SgGdwohlYPx640AD;Kp$`D))^p zSPNkvOVkcym=LVx05ODZ9?u>};rt72q?a9O89rf6G{X7wu(o)3So9o1a;T?@NB{{BVq_(Q|Mj{`VE<5)+P zk0FeB*y;E+<2Zv9T~~W`;pxYaj%a;AQoK@mFo{6gXU1uaH3WS-E*GC)SIffd?L(6` zh@=EJw?1+8Bk1b4voBw1t6W4;gz&q=Fi{v$N~!N#2eXHG|MydvmQwJkaSF2qA3<*t z9nf4g9GBm-r%`th(E?4>g$)3$>O((oHO3e$nP_5HU8K9j~p!(TQ%_*m$ut! zYQAM_UZ!`Ksc3;^CBapN8AXA|O1I5MZffd0tz5S#95gJ+zRP+VNv?QIo~lZ;Xz8Fa zrLzcJpLr7_DQ8C4M}F{3?=*p_370pjr&CVc@9n#}_Pt&|tl|IuV!Q{0c+Mm5iVOb* zeHl!cM-JfoAo=p8@%i$lflO1KWFcdcCz!wbVF$F03@_qKrosMqQ4Lpr@=}im-MaVB zlwv28pqQ}AK>@Zi0x2Jn_n$e~PQ{pVL+VGY39{g%t6OHKBipAzMnIPbzPhXh5RIHw zVv;`>dozvm8m2b^Dhzylb~rc-nMvmf zK|1Tq>5zB=|1B(STbro1(b6biRT#x?5~ zBkTUY-(50`uNS*i?)>M`P+x~3UZa6w9we51&*=>kXd_4Y4bU=5YO|O@5JID++yHx` zIXAYu{?}|vSJckxvBEFF77?nuE1F4vVzQq2U{xlIMw~vSAVP)eNJY6`K`$jmOXY+3 zbL?{`;|yfMietr@YWca55l65(diC3d=18xnr!g;dl57mJ@E!LM)YAS8(udS2{J~3l zjRC>4r(_JKAizmL(W)FEgjfjBlTWmrAJF0)E3IBL<(*F3S$%p@juS^4r[vIbe~ zRnMuWGOSfs?Apv2Wq_{EFS*a{UL}q}iIs-;HpME^JBaqVyXLF9+|}x=UEu;&2QuMS z896aPpw)6_)axN>5SDAvXGjbcSom5`gv}# znfv7AsC;s@;Ad3pp=I04Sil+Blz7$}w!C$VD^{=Pq9|IcSE*wBZDxmD>wv>02J@i~ zt1!zZnbd$KlB(){K!Gg8pZ8l~mg+fn@$Q=#NUaK^2Ku}l5L|Abue4Ge$jix2cnLCxrDacBz*OEaBDe- z5pJP!Kt6HL95)3;BZ<09ho`oB8o*iw|0$`oyZ;d8A!cN8smt~bOduxjngKnUnrP^%pz9C{mpXINDU(6GA zi>dd;T1`oUlla-6ixfHc>XmKKY=eWCetnNrqNe+umo4k&Cm?n_ zI5o9>b^Sqa+QN#R$G1!qRAY38=8~olDu57q?)JuXT}zpjecAR_iCwO%h=x_UisQSC zPh_J|pH7BRWw#GTWQVWE2a9v`8a!8kNPQaP^ULAjZv*0A=LS`$=IYIRxQ4YE>|MUK z9y}$!IWiMiE^rxiKhc3yMx|C^eYc^sXhqP@6WC751S|+&=WS61m!t?htt*K6^8jvT zUa8Djcq1=gpSp6%o8lQh@+WT20Jj#$k1^LAr2-Y}fPAg;-!?htmS9MKuNm*(*8bMx zu|A74rfgY`s8JCst-1(l_nkT4NUlh29VGDWLA-Coc*8;E(MQ*~@+QG? zS^(c~S#QlY$yI$0=D7{}C(<}TyS^www67VCP?QF!oq-NF(jQNPVJgh-hcL2rYVfFf zlN1kZXQbiCygAnu5#3ZmieSnE=X85ks4U6{=d859Py1NFjn8M>swCWFZ^Oo2nGM3j zh$gbQH>2jUHE*%PU*}`6H|i}PY})l&)86gd)!!9Dp=)ZX5`6DEp*8{BlAUPEGTKh? z)@J8S$jka;3dox9lx9HFdkBV1Sl#rQ!Y75$ zowd7u5qnn?A8Pa4dn$DohEJUJ^J|HAPlu53)Ew6bbtBG-yiW6&K-9CiW(oP>gqy@= zlMh^sF@9)eo3Ly1(>pfW2|ImR|7MRe`B=gZhajXz>oT9PUgN>_?~13EyXhN#?I__T z`>v`&AlHMQ@d!X}&Vwj;^$}jT4l=f-lg9TaVB$uIvZa4z3mja#EO2Z>}p}^HZ#S-E(*Ovv3o40s8Q=!!lfDZXi1)~W(b6_X_diQt9vD^GVW+ycsa zCCdFkQa7d~{a@cj2UTN)Je}FyEP&Or1N1eUhWLx-aO1YoZ(|c}DHlBQoX^`DLlqOh zspy)qI5sWO)@}bz{0YcAL6BkmT2$cC%&Jh^-dqm-_HvObpu_$!*b}pSfXi-L%pKmr zO))Jr495d(m9A}-7xO3jtDX9BRgxRo{IWsS(X)R0b-gByo(Ky3l#77DZ%;=d)hYMk zw$AKW_EZDQ(GqCaDq{Y1wUu_{(D1`H%ZgutzM4P(>+Xp2@6O-UITdLq)+uRLFlSCZ z{0%sT`Dub;O}zG7_$Xt`@ zmFEx0(O2r%Ofh|for3{Dops(@-`PZMjYgfBEiofN- z6p%#D2QaBXaCbSd9s$l^=X+)HR4`cUbN1-mB-JdBU(OuTo6`z(oBH^#yU1vlovmPe zQ*BS$Vt+6H96f1k7q>?NgcnU2ib+2|FMBUt09!ImBrkL@(ifp_2@-qwg}#?C`Yum@ zW4n)9mk-wY8;d|>Wrpw|ctEUW{r`OQKdJuV&$Y^oSf}2YtQq2t6iWh;=)`rNZBf9g zZfas{Zn|BP5%#*qnt0FAJ|0e1L=+mv{NQ;A4ts^s(}TlgaOHz1p1|#+vik0Gg*K@a z33%jJb<27Cez(gsK6m;GL)~Q;(b3fR0F007mf8Dt#lTFsd9!>*bU@d*5M%hSUX!kLTDMT9mH7iV@?gSc#X4d%-l!+1(1M582R6V1r;? z5P2^3R>k<29ajxQB$&=lE*$esqFqB!9FVJrA5yShUWHM4%n*k73m1i>%^oV7YatfT zI^4zqHrv)6Qql5)Dx8zcQf3~69Jqt@Rfs5w3FScEjOWmxndNSa?{1{Y*gOCX>>47f zoNitr)zMU~oiP9UR3q$|+s+@ZD0ggIN@8n7aMwRRhtWmH)g-NsRXw#ZwV(0>_(;R8 zQKwVsOU^GxDU7!xWY?ZAv02+sYZY#61B!9_1L5qk?D=CT5aIcnPo5ef@_r3kA>LsrByJhr1cTO z(^(X6bglzMHJ^P1DjB9$^$G!W{(@K<5`OxaMaH4P+XpWd+;Wpv5zUt`f$~uery$_{ zgN};k{T`i$;@K^Yif&h@E}KT*A2>~6C+Vfx1e=2`RqDx*PV(rcVdXHo2Nx&UB-&R;eH~W zDAneq;GLK@8Vi+0hYyF`w3xX-vUG+#hG(w#9>oP_-n0-j8*5d>zR}X*rbWO`l!wcQ zZB@ofNV;X;0kCJ!Uq;M$p3Gs$IFlfUvk+C4pdTSo3ZF1f*4#qZdLR7}$;yhMj|)$p z6a9D*h{C$XMNEnr=Oq)Gxrx%|P)pCBk&A$D1g+0Y<^kxyd09RT(!y(Ix6W&{9B-P1 ztmUy3m{-Vq-K0mtMP`xbMkF#XV?7A6RCcdJZsxG80Z_B;^-jWf?zPqSCrhhonttRYf0mvt7Kuh~O9>7j<^L`&TJPuLs)j3k0{wiuBfZ@a6Xw@`19- zmGNZ0Z(r1@W?8tXXyLLGrBy*m&((dYoMecrw#pcdg~$?MwM##s`(J~Kod1WrthiZ9ru(%bQ81SO5prTmIu7e${oL^zNi9QCp|eO`JDUE%IC#0EHv>rBf#|F6c9;I+t-Na#aq-I#$ZWSAM`B+? z70vubqK-;xm8AMhgH;*FDI!mGxmp5*F5`C~Xp`Qr zDbkmDtP?fi{W(PcS=oFw)wkq}U8pQWMuvHi{|d9RC&OwuECt3pjNT_RZii$y>XLi=|25*p>T^U&K4_tIX#1Rl_w7rU$+Q+n-5c}2PNXnTe+^}R z#V~P;Bhr$=vhPbL|7@U;f*9Z0_{H)#Lf)P2*T6$$>($Ezg0l4*71=_JYi#WQH-ycl z0IiGm;O74Tl-D>LDSj!R(49%CFk%AMoMgIWcDIUWcSA$>t&S#4&^Lth+I6t_*$^ z{&BAj(R%8NS2-$~IMo0^)=r#q3XmQ@klL@a^&S{ymB+Z1VynM!aUdJIz=24*JD{9m zu9-}Hb-b*0s0k&hCBTT{75w<+Zl8jy2ohCbh=5pa73j#Z_b&XUgC^BJFlWnE348!c2h5FGN1^G z9=>tU=TH37f_8ZN2)MuMZpTJ_d{Nk{>-sb>GuC?3KHO!C95=Qv9DT`HoZE=FXx?Jc ztMmUoQ_X@vY}9=9p-3t+cx(iHeng7^a2`)9@A1h=YqGTK^H=1*Y5U2xzJ#f_QqE|u zpN-|&;}3y{FDzlS-^R_=Ha_3aQ_b>G1*7X*v3dOSddie= zpCsBZB3H7Ek?xwI)|3ILj@55ym;PKc! zw5hK5I5k~%0Q0u4(?ip}+T)V(o_MpRGZlA3!PLQ5ov@Xmq^Yg9ds16PrJ8rxhqNzU z(?|`t0_Ol+Ydfy`nOftu@tSY4&w~UO-e~w-y4l zbmjZFVb|c6Q|>pEZzfaE z>W3Nlq$2Ja%{q%Q7#Bj>=5KQndmLs^eD$(#)ncWIT=%Ag<)n>`?%Jh>jCqPaA1&WFZB}(eZ&X@?;u<0Y0NsQwlbLy< z=NDzobefiDMuh&RTSrDI-R?!7ENLJDrCqSpE z#_cePTP*)*leVGzxp>#{2DsE=DdOwz?EQXpepA>4+{u|#(R~6T3(83^gR7wgJB7xAsciR!h$5nYaSpPzr|Z%^i-%E(^hooT=4&#=-S&fmQg|x?mz`4>m+6jGU6~oQ8GE7{}p2R&Gg5Bwr6DS`tbLj%P9neEVk1A z0PXOs%TYH3Gc1x`xU*R1aMp8O3tTTr5c9I3hFVL zD61cbS;$Qptx3(}A|9w6IF!{zJZHC_S2-N6m~emsa|?W3dMGfzf^Wgy`wgN5Dn<|7 z8d#G+yre%k4mFQp@j_6T#?l09*UDG%HEYrj>Ot2^Bz%2u;jZvWyU*KS>RQ)Y4mlLJ zR=pGGGftIX%Z(|=@i`OQ}wVjR7Kcr886NXv(B1xD=hEMkgU;rwa8tEMK+m|N*;LhZ0SCi zMi-Q%2S??jN;tnV_^6URy^?PSVZ#fyFEs03q|PmXu;3JN(}Rrz>zrhETjv&+BFXi{ zb+4kL7H|`@bCpdZHp6OCB1B-Kg`m7Hf$pAm1Q6OcHigQVK5IL1(D)Kx5N?q}@fa&& z@yLo-xoq{bum~kf^)kOhThCKn3Ds3T|LFDp&cn)`Rvi0`jajTEKNvixWIlFK0aHIxV!wCf zX8_gvTVk{O_{JG5P<(ck)T$ald7Ie$V0H+wcPQaZwn``6^8Icj>}fe?3E{%->o&6q z4za4{E9It%konkVccPZjVIDbj$sX?xt**Kfy<$$cITGC(vS8*3zSTx+B;`bFq#G#1 zIZ`eEB8!ujtjv;RSv}mqRkV`nXo_U`{jPIKF;%=vwmXooH0Mp_lu9vspLFLi(UA;* zut~aOPCt)yN~Is@A;Y}^XBXjyzQC=2RKsoVq^z=K!f$zAR$qDEiC{8YT54+k(!^B$oNaD*uB>ujm^E0EgJsYDZlR%jUbx?m z1QAJxkWu(Me0MGNlkb+KE{Z}O0M(-$-43r}!x*gxo5HlIp}5~k+^*P%X0)9N1{vQ* z4M*zfRbGv9WfJ5+Tiddddc>qpnZi+|b;&N7o%Lm95v zN#1!MA=GIW8Y3G}f$vo|3FAK85-AYn4z;ka>BB-u5{LG{e7#E~_Y zNXk_pCOTgvzAme*yzs(hv8gFa6j$jr=>i>&B(yRu(X@oE zjGmZvC7tly&?`te{k8J8Z{CXYVqe`NmF_A__t}%AvflQ*UW22BwoC7 zoUkm&25a$2&>Cj+y8$Ojh-~dwY|^LgjpyiXDKLM`PKmN7 zAWf(olu@n*+IZ<_C$`ynJUqp_PYcn?+B z7)HPyt@ zzVIM5%Wd)mELX;S#VFvs`F{X{Kz+X~bUoL^xdNXG+QQ}9pi@@24M4o6y%Xu*1+ZZ! z_*rQs`c3Jlr}NNXFN2Mve<$x=or(S6eY!M3%gl|~`1mw(SFS@i9&U2MDKA8S@Imwk zKUaScg%6b(Tq5Wu(+5RS3#T16?sD6T1WCf7ou+U7Y6MRH?jm zQ$Gu!TQe=$=D}@ECUPs-rH^VHCCXxbp&VGO9c}?aQO6_{k!u_E&rPrLVF>JfZ+kVw z_R3eW*8#kJH!3Op5yP{bHGMA(u%n-!Ye%W&X9%5DQPf6@QD^+^uUJ!Fo>=3Ub;MpH zChs*e{3Xl9oVL%Hf7|@P+zE4yU)9;D_(?~5+8NItapl2-53Dp`GhuRtS|`0AH~wVS zVrE^T-Qt5#nmr|MYLEe&o8zB=3Dt$p@6rQ$SYYyO;^jI{sL_V57e(+(JkW{sF_7Z$ zHhDmc!aZ4lS#F&9y+>wTfc1|27EQv?b$;mtV7-l#XgyEXf8kqfG4glS0%b@%sM|ZRw*u7W|rlOXETB1CU z$NRN1DC$Nte}ke5*%=g7)X+eF@m}~B=fc0JnI43$ci2{MmI13@%K#vd`^@d@veNAi z&&9s3k)4jKH+9VF>y-%yHJ(aWajL-Wn-5Z+Ie_kNX^?gAMXyED9Oo8Ty)I8A` zIcZNfMmn80MgO(L7?H&|7S?NHim?~1;R~JLk`VVeP(;Cgh!yVU!2~fV0=5jPz<46C zUJV~(Gu<)9W(v_CG|Z|q>WQoY!w-1{yUeNvrlp48hquY;%DHn-XOZiCJL@`a8preC zL0lI^e?UqL_tp!jZEg@e!s39(-?k%0c}QYRVY}QOwk*Ui&O_I7JTn6*7*Z2AKwF^5 z>M4Q~>^3N2@T#>6x!2#ar%MfOVaj2bl>VQ=BAm=J!(U25|^MnjjdKAv8L-9oXkF431q`HDR-zNO1_ePH@iE{Zs7C9Uq(>9)#njo1{~x;%vcO4j`V$k+Xnf z05t^x1s5~tBI3wz?_qbDuP5%{s3@N}b#-MzFY|4or$?HHYcTxNWEqzo@rmRmR6r(~ z{|0a{50}ezKz2%gh@h4af8l=jz<)rIe-aZ|AiW4YKNyZqusMf_X#WXEgN@K#uENNP zp4iPzwu>xwhP;VkwIFL#{CRP_PLm2KfJNY7shn4`h;(}RC^04qiB0%*FjlSPu8ka7(bY@NUU&fDMu3N_Y|`e-xf* zXg1pXLwha%j}m~HtBo8G=9VD$psN(lo$uAVjlyMT6!ByQo_}`f=D8At{BFHF!chwv zQ=F0|B2qMap{(m-h&cHr+caaO;_aTflaX}NdxYj4qzMP`8#)67<|nZb7Q$gP0-NYo zo6WES%iNTCfV$ArT@x|keRn7Af7g^oZt{1xKxR~SAlhV@B1IY%6bVfgT#LhYOJ@|8S!aPZCB^Ed-Mvfh3VGK=44i zDE%ePJ(C_>=Nr*wegAa5f>p?TAyISR)2JfQ2^GxJ!e0faLZ5srdA zD^M8%2sdelMYR=lbGUh#UNFGZjl*OF@bkKN{EYvr7S0rX1h%7AXV+HOvq0$51i|hn zsHaL;I23@D8UR6nkEF`!f)Q2oT5hykVWfa)hG zjYzXT(?Lf|Ow>0;e|U6}qHp1^zRq-OF!UZHG|;T)xx2*cytK_m|NQf8_UzBI)m4ji z>xbJcc}MN-W(8A6!|zSevo8kxDDFRzm)xymfxmE`CAfFDTbP#5+6PLB&K~vyj1D4W z-?;2-AAsQFt#qq&!1Ket;dyUpbT7c@aNs4SsV|^+lIT3#f9;KAM(;~s$E=U`zI^FG zZF^z{0pjt8#>@ezmkiWSLbIK)hp%QK>TOcwe%wu&UtY-FRJ`+gDdUXpcZ@|W6m%{q z?FDSteNV>}urqd0Yt7-_`~ozvgW8B;L$4(Qm_s9hmmUqmcH=}klBLru zf`tYG%2Moy$%&-YzEPwwI)jJ-3{-|uh3f3>nya&55Jc|Wg&MXj2;vB*q9KYHdkz7K zEcju&RaT%T!%eVo6RcCj7J`kkQ0>YPh?#`V24OlNfBpP;KbfZ83)PnsYDA{#o@^;@ z&23`GePK-+Pq$k8v0LWI)@@;F1Z1XKSUn|V7N(anYDVsSWKqH|=^UNxD-skc|^_{!U3=w&F5vz36WxGCsI5S)4{@th`?XDsX#6ag%9^s z8n;GIe;8_C(GxomjiD8tTF-X)My`xxagKzB*=a#kc-Os>Ged`V?LaTnET;m>pKy25bhEK`bJ7teWCxNP zEV@lb#Z>nfQ)4NO6N!A_M69j~@8`3cRoK~A-MBqky{};PUHHWAkxx+V8am!{xBHl; zf3oe$Bxpi$c7J_P2?}f9*%Wvcpp&wU5)Wm*VF&1N{WSR<=C6$tQ zO*e@mkh=!%Gq4Xq7UdwOH}-6${Dt^W|f>^8-*T%fHmna|6GU3PsT*1f6&H)rG|oRh>{JNIWetde*<11 zFI;rbEX6Gel&2yan3Oo=0^8=gS)Xp$4gY8xj{qwmxsNN~!Rl5lWj%J$dc^j8MIN7F z1my^rai`SHnU3kyDFLpZuPkAmkDd-hLq!s29kVtiQJ94D(3gm&1O*Jf-n#+n=k0omruo`|u&=7L;hUVxGWp z%*MD}``N0S~09$|9HS$fO3Z=}{ z?4lBL;H{U!Tm3||9e8UPf8J7xyafeXG3@NXY0pIDFa8#rn(`0MJ$Zy?HC*|?H7}8C z_TheNWdZ|A<;n7&=z;>v^+NI%J_{{8DcPrzP`Yyi7fajIkqg&%p4#gk><{NXKfUdX zX88Ovh-ZB_MEVlHe7?)QN-zESbzrjn!Nh^dIxyMGe}_Fkq3w%Cf4L9$+O*x|@guR( zkMSTou+RQrf)^`FSp0=^Py7DNS8uTw1iY?FK?C`dOpvSk4i;6XoIQX|_x(`8dnPA$ zhxc3T=;IW^)0VCiq`^|Gr4Musxn+95r2Fg;7`9)|E(2~XG4{y6KU=P(D8X+0}49%^Z zThS8~!|X~3v1!OP?dq7dpJeUhQ2q!m4+)6FoGo2o82QIwe;~=&l+B5hY_DhvoN`rLR!-?YrCGxxh@@! zKPwt1|NmN1$SdZ$2nps_;9GL;=1(??19(LK=7q8J@uT$Dcb`z|iP;9v+o6Fx+)@pK z%Pam5e@=@(AdvAl44ER|9nS|2hJ%ff!N>!wl32FoRaq@t1yorG=>-a*#1xR>(kgb> zi!gg%$FD1^`jCk5xb$Cpi#M;}AIj9Q6vI?Z{Z$>HPgxxz7B?sl{JFe~#o4C{o&(jd zEL`71m5%AiL=t*;0ZR_6*$N$KlU(i{4|n(RMEV(rGsILbrZnd_6{6kA-i8!-Flh?> z#Keqgm*RrvhOO2!-Ei@r+fT6Rt#}-TJge(Ty5+pm9$s=oxy!7tw6Q&N{u6?Ayg*#i{ z-N7`gbwur)265;x>~g&?=p}D)N%3X+wD0x*DYh zM=6As#&fI*P_9Bsb%Zm#)bKurvclxJ-IaqOfK-LZDyHI)GN6zRPoU&qrR6s*y*w#E z`O4YcVoxr;nfr7w#6W8hF7K86f7^&-K{oshy64+>m3R|EEhQEZZBJjxXQb`vp@n>f z<91zVF^on)$a{0)5ZH{kIiszB2E8KFGI~*=i~|?US^*92F9h|->r^n%XDdsvzr~b& zRtTV8^2#M8G}CHL3fLMv@E$T#*@Ht)m0bFcJx-S{L=b>s2*ANmC8qPK!SrW&kujl#Pz4oN{0vb1e?XqNWM;mLrz)VU z^~Cm5nNy}FPn$cT76(*tpz;sYA)+U-3p!ZLHoaDvO=L!HnY~aQjRw`RgX!|14gR^b zLGEuOkw_~s4bP~tRGGc1iYfoVo2}gW3-$Sh6>Pdit>s???RqaF3!bl{re)P^)pAvx zYwtIx+S}bppQ^xcf3=l8i?sl*lOh6C=)xr z^uI4EFMWsOSFm!DDEsMa<^k@xRVhm+c>E9co=fGgl(Tkcf1+SP^+H4>KayAA{}R5O ztXFTphaQx_UEDC?D11kh0L|_QDMRvqG~uGdv=tR5-(F9T)oOss1r$91kB+>I;X$A* zJ49Z6RuyhOEKFCdDb#%RlI3B3&ReKY|(eTz;=lu z3Xcjg1ks(PHCX^N8S*K1g!iVaoL5Mvy~9-@{tOY@e>?6^48b=gU6kEm{FQ3yEuC8H z0;W2>V+tsJ8E=p+FqXeo_&?T5sHR>3{@GfkUk(2hLPS2wcEbaNy*#?XpmtNnxs18T zLMh1a0C9PwapVyvu5`cUkus({(z?nc?I4eKmq*$b@@Ny{$CO7}S9z2(=C;v~S^5?K z1-)JTf8%FG;~7n9Mn~>F%6d_NzUzk%5QnzHBrr(r!cc1LyMvl8rp36+nY+O7-YA50 zoG^+{AS)}7;OCX}(l$^~Ie+NR3jjG$Vwc`L3Qt)!yzfTf{c@>`jRhS790)W71Pj1Z zOS|F2g8`-snyEy?N6EtEb-0AkYcWhvWD9rEe*q{2t~f<`_4dd~B;O?u3k#n&@b&_h zIm_c4r>4x>>A1yM%kJe5C|I*~{DdyAygiRw3P=YcyY&Juz8A3S;2zMsihBP%4X~ZL zNkZF1L2x-w3T-^Lux(Cd4Zp zf2HkVvKUuLz6{dNw*>t7DNfwwG3{RyhnQMQ5V&BEJ{oin2&K-e%(~?G1RK!x>N{*P z5(^wKs)c0uTSHJ75bmQpUqj$K73EbDC^QL#G9<-s-6d`uI@%EyCu|ji!zm*Z(Ol)v ziba^325gso<|(KS<`lZj;kOJURuKh4e~sa+PS967{{|2)-8uQni`%hbliP4v^{&@X z?VgAWLI8L@ZOnqo=OLmB3^$Y+T!Xh5QO1|3M4)5l?WZCqYuxup!S3^*Y}wZH`CtG9XbV&?o1JgOt?JO^@(;*z!*^SwVX zVMF8^BH+Ak5gGODNnJ5z%P zoU))MB%SlmzY9LzXlSb7nwM8%i@>cfxCF)@}O0UE&J?M`{Z>E!OaCi3a(sDH|&D^p_^e z*Bgxh{i83-d7xLT(77W(XFzQZ&q_*2ag(S*@`66&MsG@*r?l_hA;v zNNv^;u1}j==cR_xP=Qvpg%<-)u zbZh|%&f^2fe?PkMjFnG-8-V}m`pb6ElrH{Zvi6)%b5a4n?wc4uTMz`WX20V8w#iO6 zz&`Ar4#JE6d6UuZ5&SEL*n;FHd>H;P+NnvgOv;=a*@-eM8r!N-C^K47Jbj>6ENsWf zv+Fqh8o7XfdxHJ6q!h6Sd{!tkGtQ&M2Rij&_D46if1h%Wlp7}uhkz+=Via3Gbb;TB z)d>UW1g<=QY=aATGmdn*tD@KmnSDHg1TSrE8$RN1WxzwL&blU}uZu=WNCen~Y zZKBALe=5L?018-FYZdT2>(gSLGJ(v_1!P6Fc0PZ00snNp(msFsj~@k*i(zsY^9#Ct zu}FXBugGqu2m{#(6GHsk^*v^DQuBHm9T`&(A<)hV9@KsK0EwSj2y0ThjhlF>nFZwS zdS0k17D%R)EQXmn8E2ap@X5i&P|dm)YUl7Le<$EB0?np6Dhe!!)P-9js|n!+aT2Xl z*C04f-a!nvgP8x-dGwZIm4G*AqVNgfAjO7|V!&ba`V)39+g$fDR?ueenmgKrfx7L| zv&>47Er1Zh6$T@_!FMkT1N=LsNED}zbbbCwqHRf#1kTe&C@3rmnL+%rSL-U!4r5wS zf2sszS4I#H{+A&I6mJLy7K^T@VUI**tDYZhQs-tAdaBRJ|uX*o9BE^3$?hf$U*ih$R|9O6Pd38!YDWI@`i@f_S z>*rh83R=sWoBS#$6^i|JLw~&tHV>b?e?v`Bz8)sY+~2rVU!*tG zIkF2Rm-%8+{g$;SE8aW;GngXQAw1Np%g^W1`?cpU+@|K2e_o!74|KR29i~_Ae}{{! z17Uf={H#D+k$V?UHp|oJCN5jgnrP0m-cR>Vv_QSL&;~466tX924yd$r=1~~Gh-2m$ z69kW$AdRS(XXT?F=aiX_x?axQ2=Eu5!V-bI0E5Z)G|=k#y(j;~sMtbB$3Nk5$5H9H zH`j^gANL$0J>11<{>@nv@`|5uf6OE;&Mnwu*Z$KQ_1KGP7P-JE+(M;tYS~K~U&>T& z&_1!Lk1(5})D)2h+NQr2d^U^SVojjeECT>(;kG-06ohmeVrjF6Dw~QXD@Ie=Y-Yyq zmg5685NUdJYK#Z|#lj?sH}eYZ_;yStGNFJ+j0V@#xDgku8*x9YSotb!e_JJ*NPGCU zES7)J#x+xB|H&Nm^d$4*vrxXpf1+gt>si%hA(^L~V@^9puapaT%Dzm83zuuf*e>wsie^s~gQ#irbFHIAipvPZenhG2jUv`9pO&|tGYxIR}aYeCN zYCykUrAF}5(L1c(2})MToq_@(qS+`1BXrw2SQS^=guJ5DA~Lk-h~zB3J3->yLCSp0 z7%!Cz-Ypx8eKkw=TS~!M2dExE^(FH35IeDADXtUR@3K-M z2&1jx;>!D&#_JgokO2sJQ8%V08=Jeh^4*QCt_)q~$_iVsE(~>^3ZRrMKzAXw#D&-r z6hmT5{7q~Lub|&KfAktAj8LoYR_b_5)zI5;zK+wd2^7W;4scXj6Nnt@lGa{w$g?I* z7;_<{j*uYzBlTwGGTMEdyQ{qGvKuYKrHgOwhJDo%xwzYX0EP~i&=P7P;CF)3rve-Y z6ne#OD69aDg+kcgY1h%!%4a5qm?-<-U6%OE8a~IYXRP17e?lf6ep-Bq=xC9!x67X9 z-etH>(T9WxBf6qPi)@K?xPY!f2Y6BVS%h15 zAlr&S$!hEDW_VF}^1ay^ExWwg+c5yXK*z*4FEDNF#%Q6yJ5##8ytt%t_eCLc1o!9^ zj3C)@oyGT1@nXbN!$$$$=WuF)n>>UOvswrpST|FQe{kH1)=%6?R=T-!+=XSRy6WA?NsfGF{Jj$FG zU)E7pf7FG;K~kOso$7_1WUdAt&a!lt%{Z-nGwx8Bc6XbmjG-p@!XdoM+Z4TP;OF# z?&Kf$^Z)S@4l&hiV%Lf0_p}%R^>X}qQ9A#m>70g;^I`z0_J?<}$mOGMEb-Hc!@C8a136~F zf8{*|p+oS=uh2RX{r}KH>@E$=z`W>46L1M7n|yiNVIrvwLcmXG#LIFbmRrT3-&Jsen6z8 zKyzi7|33B4mLk{#%0vSF0AT48!h0@je-HHwHoaVhv6qC=6R=?Hx@o&LK@>C#CH!x5 zg_sS!7oe8W0t4UULhM=KK2+Xht-+O3Zg3^k0WJo&IfW&%u80fP32+{+o&ci2br)Go zS>_)e=(@M6@zujDV?x8e?Zd&~_gNI(QyN<*#@qrStFYX_A*-;&z#*%!1Yx}_e~|;M z57qt9U+doySUv4kLFj3DkJg^((;&N#le^k{fl7Tb!|F3YWQFoM`)A^o5hWhrzl z=pU-WI6*F>&}N+XE6rP3a2mAIe_PcnAzNCkQ4fO}V0g}AoXhx9j29WFiE)?5(pOzt zxh$5Bm?aNRE8T1n3|WrLg||Ci3~Eg{(Q zDL6j17>9UsXjIG6v-5sAsf^v<(@Aqq9@4JVNf^?$uBqN1xR7k_P^H5Se*o#ya_uMH zszBp$rv|%IZfgcmd#*z4gI?$4B9KHGqP6FTy~B**?=WNdJIug448#Ql{zFGDs8+R( z==nhk4q+@Op!v3}%kO}<2LT6UL9kwKd4E85aVh}Bu*TY~WBd z$-O>>B8HsC6sZkAR-qFha6R51-G66pb(m_F+8968s|b+au!Diqe}w|8-d!NbxrMTo zn_tIVd~U1>#oe7y0uC&-sYnoarMk2!qNrW+Olb;rwQwRddXH%J`Y=6;aupn!p7#(I zDq7T3j9N;UW~rK`8ARUIf%%jP!EV_LoGxQx%%_&>UER0WyXr*PLPx(nm9?M!jtL?I zqL@=stbY^_#9f^-e>$4ep{H{M>I^OXXZaz;ettNOu&_xVWX3j;A9FR zq7djA1$uB@0N#loyFU}~AX!Ap%7Fqq(70n2dK4K+>3WAsAWl|yXBZu5bk$(G*`UKk z9B4n}p7z`r61wq~>CEpv_d9!;duVuBt-BZ28-4qXk*i0|e=LLlZS6!*^o58A#uYRp zdqKNAr=R7&V-po9N&uxmyT8W_cSOHj;{>AhWYDEbza|>nSxII{f4NJ3lCDvY#Xm1; zK_L2%kDsM03ixMW1^kSN328FvWFmldFVjLA1T`zN9+|SpU055R8ZJ^(8@9~`H$YZl ze;p7=t4ZL^e=F*xoqqCW5g0q@W}phktwX^1%%TU`F0b4O-U9eHGiV(VAp?I@%hQO; z)N@vBsU}#v$Woo9)MLhcW7#J3OXYZC7OGr&|ML4se(t=Y8M>kx+O$d2vV}A)i{t+h zVrFnAUH<*z=ELCLm}yNOcjZukk(tr*euGQ!{g#VffAp?!^^WQDi!Uz)({07JUL4*p zzy3B7*6HW;>!zkkA}0~MK3sEH&jZV6!u?pRzEpG!^nVC_7Mca*yubc@^V^nmNq!FH z%xFO?a>IEcEhZK~YP65S;AJ4-vS$R81>&|3qUM{C*N*~#x-1K1X6;&dF$j@G_oz2v zWa6due@5OKo5ygG&jF=r(I4#EhrY=)IHN#anZg8yyza^+_-~{O#0F?5t=Ctv%2T`q z4_V|cXpanl)erA5kvo=8cGa)KJd^mMM;SQkG*dhYARsl`v6)Hhv#k-^=IfY1NAR<;#9~k9c+Kp4hsGYv(=;5$KV^wmoQr)D$ z4y`A-m)vn(x{HhVZz}r8LyOvChdIpcl^KM80>Iq9`u2$dRRuZ2o~8$ zAi0kY&`9p(UWPa;nG2(C3&GfFp-R}u+>7RGGUY6EqSo$j&2+*uzQN8v(z>UqOFkE4 zLe6)N+9PxpD24O;M+kFxf1_u7>#Y5xcuhDfFjYjJleoj20B~xdLiXJ8 z=W7TNW*1l(f$gYC0uceTPQoSmbB>T^J*)CUr|f49C=n`m2w>)SD4b9AuwzzEoVDlz zpF4!KLR1j&jZ^5KMK&Np5jqA0Q0WZeLiEz4sk%b5fXyu9I`zMRtB}s(erFBGe`rOh zS~bV6h9;tZJiNY*fN}B9;*i@&dqqE`|Na?i(4!f4_VE z;4PB-H?OpbqEA&XV3YiD>IDn;@y#ncT^A-gorRBt>s~Lt;Pl>w%B%3hsb-qwhiwlC zL*at2m%v8=y?Ly3*um4AS26%zf7p#Wv2A6FAHpK9BiBD=Un#QuU+CD{4hn!R%QH;tejuqe^pCA*WSN3-x(K^RdDx; z{gfr%;4Pni7T<>tZ(h0NeqE#=Ib>==Ja1lw!Kct!;Cnj9>DvqPC#VvPe@-`7;bH@= zQW-AxVPhdK_T9!(Ty{#$ohxzal^WDV3nKrgOfhb;yRTBzq*Pl*RTnF(Y;JUd)g5;2 zc2#Z@Ad~4+=V^+Rmj~)#I0;ie-d}yLh-4zI9I0erPhA3KU(rC}Sf;wO0|e(N*pTsN zr21AWF4MgWOU)^_kEE#ye-~di$d>W$6WF4LWyt@zCK_feVU^Tk@lH(MA^Tm&ZYjFA zpx0GueXhtnh^$hK=-FGS3re09rF6SW8pDdlBra&&;J3U9FinGClvZ>$RjMC5KC~== z(3rWuUgU*#@y1S@KF}!zFhtloGnK%jflLb2UUoxZ{FC_I$&DOMfB6riwE^~Y+2VPK zy=Ca3<54r`35^6RDuGPvAflcr?FCg3WgG?wv!f8{JZjR;qYz+TaNJ-$AU~HV)IO0l zrhv8*^w_A~djahTvNOp@OzceCk5X($!*@j0`>9N6)bGQKPR&pXS(^=YCe6)tCQY$A zlcsQ;NwZ#OlKYGEf88omn%h^V1lvH!uLU_4D2(6xg5`WSSjMlDY3@)ppw~*kAVmtT zVLo4e`t9r{)NI{?vvC;EwHwyMRP<~dSPy4FmnFV<>mOnkY z{`Kq4#i#$ix6}&eF{U{@J3%R&_)vmdi;|p393ATZS*o4@f6qY|qJ`@_A`LQNsrl1f zAW4O;Q{bI}-h7BT-*q<^UHP+f>W431Za)0>?)|6D5iSRAEx@8>u{OEsl~%Oa_-Z}S z7@@JNU*CQDbRe;gBu0IuX`9WkXy!KmpRVbm%59lAM-y9m2D z*qGgsuRW544#ir=E83@`UuqyTOeS{6IoWw)KU0EKalN; z=TMp0)9kYp{_Mlb#*Ge+KovcIwhjNQeR!{>|9$t)w5F#1eiDCQKCdIt?#&T66?FtE z(R4x`i$%Ls;cA*@_>BEV0Y zBEI|e;28aQa7^Kd21}?m3xI@ni=(RtA8KOn{Y{d~Vg&A(Ml2YX6825%q64@f&doF1qg^uWE<15F->nLi+h z{lI!)S4X$1wsn&sIL~>Qmu?H@M(R{!HC5-~a^?lhBm535*LS`v(S~afu+G|JefR8i!Gt9lKr)4*rvtx%Mtq>0tG6i_KTGke+Xd_hzk{D z&!5Ov$Q}0aW13?Vtpm*1auP|mfBowp9Ts$gQY-^IM8YtpnK4UrlGI3dXok47bUef5il=-(2bZ^CyA&<`%g(V|u~gx(_Lp66r+A3JaBy zO{_Bcffbe8>ebcDk!hBtf9ZxP8%^cNvM|zaWpeUFqiTds?xb>3ndLhHt^2`>8^PI7 zwK*^RfTdPIWY63pDHY{*6Lj66LtTzzQw>C==zdj?e+)<6*p!VUH0mYr6)fAt=z|Bx);EEYuN z#JZU>l4r!Ci5m+93`(Gd{nHDFrjIA}bYUJi(eD>0Od3Hq0}a#xDK!-6vWHGXxbW zPfzX4dW7-*^`G4te-)x(&$|y-ZK2QxnEkSQ6#br0PovgZ(@N?d?6r z2;6gcYV93@>19qDw^%z!;587TXDm?S=Hi2LmJ<9KE61Duf48M&XJ1FTC0g+Ju;=rT z+`B;%cezdqH-LadgE9?3#?NAS+KF&DitYe}bF-d@vFBC(`Qwn$;p!ijQ94@MR7GpG zv~^W4Lr@l*w924Vk^-tYV0!|h>}RE{q$9lGLRudq6ezWSpd!w>9AgO>%ta_v#fj6t zjoC4g6S{*pe_J8F@iEi+Qv+yx0ztm`W?PVdqtW51R2-1i>wxzI3r0-tBR8u} z2+rVDEXj`wc^k@)s*P3)`B7I3Yt#9?c;!1!#lnW`z$5yl^zkB#B_@xq6;RO{b4Q$* z{1>}gbw?ANfZHbCq$K-Jf1gfCz9rUDsEAB~U|$ppV4A*C zW!yb8Qy+`I5upB6t8E?P%&!Y*O^f%CUA&h1THr`Nmb9NSu|Yn!&wrl2CzOy`+*Ng= zN$0K~d+WICl5dZcV@cN`Q$kig7|MrpLy=2U+M-i(ckvnR9KZqJ+$R?2oOr?76c`MdFLn8774c6Rkqby>puM^Mr{-F6= zWI1@ercm5MWQ!!wJyWpbyqWcAzJbpsOJ{S+e>`_4VM)QQ;)~OkeAthwF_$KjOl_UT zt25u5-8(+~IdP7_7#vN)YMYXc>ys;D-ag|zn!^bR%_+F6h1?3ktrsjnO%?*dQragC zAN=5((2R>25A}hY2+gQ)VI&%Y(2SbJgAr2&E%X{X6yXk>!nf-q#?tgS##kWQ)h<3M5uy?c5AGg>s7zBAqxDsN`hZ(wFN`I4>JX1Z?bqHIgP1Gu7}DEOH#dvl7_V$>Q*`A+ne=1xv!TKW3jH4I}R^O6Q6+DAW7;tfOmwbC5#6BV7 zYOl}ky%XQ3Z8Jc5DB3pZC5h|`_h!bj^~%J5`eU9io8rgz=a|2G!Gb*KB?2cq?LY6~ zWvuD!u~;Q{z%SQ-`%2*K@O*>qfC5~yzQ%CZauv7jor|)0m3FEov`w%rQwxMI#$iuxyu9PB}U=b{C0>hy}+|x>I{x@Qq(z++BDGK=IS-%P} z7<`AG=4cCXB%;K7%k@&EyzsT6ilGCle0c&!Dl+{W!TE*IO~#+8Zxn+d1xWnXiIcns zD1@FboySp-;ymsTQpo)OmkS)m82jNvia~+4CTiN%B$t%5e^6D`51ES2%ySs8 z+_`s~mT?66Nd8N;V29SVf|iTiO5EZOEGTR1#3N9>5z+C zqq3+VpkmiuA`#A9N&K`=!o8xWGy9D;u?$f`CA0?hR3xGAMos_>3~!>8nOV2vhW^BW zXNG3%@dpatJn6o&e~z6XgN#T~hCrH>Ao&ZeC=$&?u6=>YpaJM;Z zUFjx4T!{%LgkB<&Wi##e>UrgK0J6e{ykTOE$H7jo`d0Gc40w z99gyX_6DkHO}j zV2^|nm28jre~F^r8AvJ zWY$!(`Xe%JOSr7U!8RP@Zb0oIFQ&3#@F-uARef~Of3jsW(6otWR6&!cmiY{#jE}W! z%#fe~MpU~UG;LxK3DFy9y1p%F%?GO5y@6)RT|p~hWCLiuVy!D^9mK}7T<7{k(=5H6 z(4b{UpM=&?($+z%pJrvu&YJ_BTQ)dFC~)SUJO*e=4h3(DA-VL>Y) zWCLh*e{Ew2(7FoSCeS(@=MIo{6==wLqM~*ujl)#761ACrzgf{{kAya$`g!15wpD8j z)bPM9ks6MmEt7hG;9^ytzc{Nf{z<0)+4jZztoRuMH4l-OxC7(HY!m7YxZ$EkooJ># z9f@>eH+f!andWT05hEyr)KM_90+a-Ew|Aq_f7Ul_lZ~PN2;9NU&{ZUtO$d!bxxvaX znpob}?r2!LJ_IG%TN@rn#D_*C(u=jjcC;uqzI7`W#rj)!6pNxhQ4O2J?M({U2u8)G zXL!}9SXLi*H7a(MLrsuX1yQ5c%9ljDo~JfZ3}ebW&pN4%AibL&;#I8GAL9EazZ>M$ ze^ByU-&$bJ*KraqPvd)M)qG?vwi8vZphcl3)CyXZ!fTN>G^LKi6fG+Gz-;#r9!F%AeD=c6({boDb$#Dk z#V-3S+tS;EMRK|2Qi;VvOGAA0r{xGy^9%yw3TBV=ey}gJqaFt&kh7FvS>cg-P8!N=H?>5(n z$zHH|jhKdPQC5{=;X&~1vg3QdOEhxUnX=Xjrakm|Dz{|Mc8Nk~354MK+2DW)Svr*(py-Il!XB422@JXb z(qgeFi2d;4`S-|1(68sv6ZNbq)xG1MA-}?*Jzru!OjyPn5Mq7rl6Ol-E}X=Hl(`N* z*X+G@a2(5)|0!l>w3wNhnVFfH8Cw>k#mo#Av&GC{FY>%#S@cvg z7loj3UTjgC)a8P1Z$p#t*ZO?nug%{`)qSee5oWFOhfXR1%%~|xq0Q!%q-Lv$H$=hO za>Qd{U0~RSeadGDe2GnFq`nFoMcIiAgkWU5K(5 zH(-Q;2r%h)g5%9Wq%8Bcm2uByxjvDBko;WVJbkNDYNK9xFJzp%2 zt@M9;^jPHqIP!b!DlgQQSaB=p9CI#jHXdD9Xr`9rj^=w#0r?S*Ha0R*dO-^t)t9DN zT_b9PBTKLlikg{+8(*|XGw1&#?r6Luq7*D?WV76hjAKW;t`P_mYeiQdl$M0OxLb_L z+heBq`scPV0Dc*+}O|DsS|kK5B~Ri1iEp@~ogxI$gzNpmZ71+}zINX04dN^PJf z3s^G-F7?8iS&UH?G@L^b%R7h`l7AF}QwunJoWpBW3J6{NiD6S_GxDUWLf4?xN@?6T zD4Wbv05ix}mpCdMJblbGZ*e0aebih3>^#N{vEi2Ey%8$Ae~ZyIp52_O8u0eZ;Io=8 zF&ddR00C0JRdHURE2ISPV=4gQJuLy^_Uq)B@ED2oCZzK)h^jF%xit_>9L;uM zRczg?I`&aMHVE=@bzGo^3Tz;;brVcXQ% z0HaUzD>0z!wX+cL{7G9vL;>)fv!M=Jbka|XP#W~iy(p%jG?ptN3Trs5wv1U8P4_%5 zHIr_)BcdyE2Xy*g!NW4}J*#a>jSPZ5zmDfUOr z6`JC^;6)L7f*tqZ=j#JXgnN+*FwxQ;$W?8UDb@Ux>?noytMx`g3+v?C^C6bV^q+kwv<(|N0kWtU zkfq-VD9?6NX&xm$RYdq>{U~M-xq|kApZdXWCun=7cKCdFIQaav#cBo(tLo#D02T7( zk%yY;_&1{H zt~%`Sk$4vzEE1tF0`>C6TDDQ%96vEq!5-Z3z~jgdYf^B5b49i1cbaD@x! zYi8fXCs*k!YWSX!tE1FuKrU(3)7VBU$SR!Sv3G1-^AV4m&{V@Q-cdZ^4lXQhbbOCN z-Sj!h{oUl(XHu%agEbsO|70wfjr{|D*P!_EUAda26y@sI2 zR&^wTNcrEBjF9MqcRC~KS3UTNSe(6r^EV?+Zcx7m4LvVQjdT+e12h{1dDu}kiLh{c zU4@sWY3rbG*WY?i|=iwAvrO&eX}#! z5F|F7_eALeu=J0U!bBj&@^6+Su2o9lcSi#lug-ZbgX*-WM_RzPzZ0auy`;>5{ZP3{ z@+gEHHVDkNlBt*U04SG)^Vg-?a}46MWu{zSP0Ab&&+@g?n$xfJWI_Gn@es00Pw!=c zj};^kvdWpNkAER0E5c>&C-stj`r!sBJ% ze-ohFZ{(_5Kt;`^s1l>gyz6l#(W)Cbc1YeFkV* znGb^PX10>n0KAhG8|Eqd*81IcdC_=mb2Tmj50p;!FQ^17L_H%p;9+clZ`NCqJ1Ri2 zTwsL#gh&iS0|<&zyqug`5ZfBOAA@fU4sXg&W?s7napZOePCA5iL69_-yP{8(;>EizctpNahDg|NOX-O`g6 zt>VB>G~wLb!{%^qIGKhwlJ0zcyPJy0k=w1S|KjiO2XHG?P><4?N*9*tT*p|x69kT} zdVwNB+jw?Mh{OGv&~Zw1Q8w3+!Dv6qZd@_fyK>=uyVw; zqD18^q!!WbrB{7rCy8*|FdA5fe?HsK$9+QDafxA}`XxIA@oPxQNIdsrzs@D) z0N-o$TQ2MsvD8S^9ydogT(CM6SHy)LM}$qQ=70s9G?Ud2ud)0+htREiu-?6bAh>oi z_tv+aq5N2G&&UVAzMJPardNLr*%LfjRNkaYbU-(1^KrGT;IA@%sx_XGAS-l;ho>{{ z5VlbOnIG8Fauth5@yRy^GZ@vDQ8-DNbugrvaA~-er_)gqkd@B7w3?wnRwQ& z0V21252#Odxm6b<@9P8G*8VJof{{`JGwF~d1hxL%&*u-p1c28j71OW{5}Z`|TKAU* zGr-m+C)5CZu$h}6+3H?Px`&PEDjqs4(qqj#tq%``(BAAL37lhpxA!$AufQO>!u!7X z=abwVgDn4VFSoXJ+$wP6>Skh#t&gl9YiW3ldc5<;^K&&&Mw{F>iCRE1Y!1iP%#_>= zf-}Ky2fH#M&`)blTReEY)qKpkHuj#p>Q5m*n#o1VX;GbD97GQVWFn z*aihd0|J7A0$Q~D+@dWHi~$Vzk1hV81~?-(U?8Ar2p}L_ARr(GV{0=LS7kFtTO$** zk0rHTT^N4vB4mPfxx0jSGBIT|4FD2{u!1n{3ICD|j*S(Zj)nCSkYy!EM40$FF&}sA z>Af3y1VxZp5V}ksijobTatZ{!f1YCcJGdzqh}Hz$qF@3bmxz>wqe-)up-GpBQhAK~obkvbL+7js{c#b#_Yt5g=Lj!N$X*AS)`I zSXk$bfv2)lqv~Oy_;LLoPrhGDJgKP2O%lmnJt7xnD9HsWAorhz8KPl#_{||y_&|lo zH4oF751Fvwd=DqLg@QNoA>X(1Jq~-fMzA=%jLrcx`Y;~4mpx%?ru?FSE!%tySQYr+4H)xeQnyUMAlh*EQX@uG zlYJnux@dMoD;8wtP7#2pvA3ycHj=##Nz941N5asDzAnZSD z6#X-&cCStkI>mk@tP&R+1}(x>inKT}At*W_g&w#nPT463Zr=(qlC zn=>i$FEq>|S(gWk1~EY;heg6Z>b2axtK|Xqltr7n4oOgo;+>C|*amnmr@>%>i#N<& z*RDMJAX-QXl!^fOZ=s2@xO-Y;w4`*+>98M6WWRhGso_Zn(=XdQVf`ik9PS$pf3T|z zV@XBa`{>(p? z2bLQ~!AeoLGWg|ubHRitqE^y6%2s9$DgnrC@jSnI$3nEY*I@J=@i-QMEj9=ik-RBb zeH~BC9-}Gorr(a>9kYAd^XwRw;TIW_B`3f(eg3jjy`VcfBxparcwF`<*UIttT2N;! zXRLZie(Ow5WF;TfBUs*>FVsp@)>MuJlsA>%2+vK-8RuD$Oanu5h=M)^_4b76lOPh` zAn-jvB6f-(LP!j?j>5Wd8eA&3?nXlL{~*66>XVa%R?35d4^)MOX<2$90u`@^HY}cpI_Wbvqnb$DsNF9DYV%8a^= zlx;Pcmi1(+!blC4EaNs!HWxt2RAv68W6aQ%gq2y!m7aUp_)LWm8+X0+t)svy$)|Jl z3N1TDu2l{!r=<>k-i;1@+Sp0OPNikitWJ{b(;5!}0^j_Jp=vQJo(k>cQTN8QEbUJQ zXkz2k_&pqyCQI4Vm@IEv1sg$o&&=gcRPi-}SLo6jRYOs>l2*Y}>Bs;WTmeN6yD}N- zMrU(?`i`B_A|1)eC2*wWuwBbi$&~W#T|p=OkstPOP*E_xgLpHJlj}24@3RAW_6RgC z;W2hVNd7@6V}U!aYO)661_l;OeJwbFt|04v~7f zV{~ZQlRf0&X{H;JH_%rL3qQgXk0d-P(VDUN+v<@hCS9gJhUpAb?528pvo1(ga@(NX z{mTtl-nXj>KZTK5BE7mV$j?X4k>B$4=_fN*)4LRtyvxO=nJt?XDvrryWN_bv^DDe7 zth4xr=VU`H3bFwL6n^(~a_>_90XxBI{l>YRyYDD=?`{Y0dh(tMS*mMjd@Fh|Uym?i zWk~CW%WT&qucYg@8VRlLIb7~Y+9dtw+$&cUEMyawIBOCz%0|)_^i#ME3 z0awFt>#9+V9uZD2r+NA3=ic!-j85L=&YQ<2kUg9H7zTp9@ueZmaN!(wv@1chtH%5| z$bz_1_z(auQV6ddC78X0UAq%-!FOR?7&<{TZA+1S@Ni*RJIY2NCChyH5RBTjlWQNO z5R6qt%l~JUV9V0}Eq}Oh*GD5lXje`7afk(RHSi(6NFlyoJ?*%7 z)B0@2w|c?!b>0w4E>`P3D0RLk(*%^aH&5Wp{%HDnX1H2SX9Jn&ZpX!wR&O)D+#9T? z({(Q5xqH%wOVEdFfVuI2wDHjPYbfm3&{7CToX!#8ssgAlQxJ0l3~2+b?H75#FY@mp z9KgXGpXocG2|J)&x8;Dg)1Ko}VLWxx=1K)Y?r zL2b*G!8!;-ISA{0Og`d?`cW5BU#24FMljMw@JAPef056Ja1aG^%*#R^R~&0%>3KAkHtjn zR?dhkt5(0m8acX$?Uq2tmn+FpM!g(>3|4_*QwwY>@4r3Q(+;>{-WVqlL`o3Xv9YAaB9r<1)nYQ!4I0HN zmM`{15*u9r7!lm8d&r6&xTNj+^!RhFGQNJuAKAMe2G?fJ3vUSABoxULl1<%B3*g)5 z9$oQe?p=}}l%mM$eg^mmrRF%at&HZteP@K=4DI0l#=Iz}eNMhb=7icR_I^TBDD?F+ z>`of#2;&z=A>BeS>?x@bQkgCpyv~@)Ebjv_s>*ULe@MQ6^WznM_W~L~W;pXNFpU zmE24@)eWr_Ev5VPeDpQA+j(Ld$21u2<9 zmK__a&Ima@B4G{`fr&X8Apf?5|FGc8#N=m4gJRMjt)rj~uFHJ1{$btZep`5j-xg4; z`1=i?@^8DKqKj16#}Joy!|=7uUMK*p7|^}o1`9$;`ASn)t&P;vAnQy!IH~iyS~I=h zpL*5*ZIcauTRP+4cF^Rv)ie8TZ_PhycLbAEe8McyYatX21k84eoK=7W!x<;=p=mTZ zamh+6qP4U;3H@O)`+i%Gk>7S{>bK=s_-#|ye%t%)-&W($Nhlgblu+o%pv_|;5Xc#e z4C3QJYVO*99|-Q&?*oAatIIykFS?=P{)faD{kNxEsfgejG8HMvoxFWghY<10-)})trj;;@@sY2#nhAfwPpWE zwQQGCPYhM}ZEi8E8#K$_l9sF&a;j^Ix^3%glDn|T;+8*bJn?wW{qk(1x(INWW;NB& zX_UV5@li<{Js_@88Y?HWf+!=P~z-c-*>{(Ns*?y_xSb8|<=JOYQEZhf?$KDni3wZKJ!I>zK~6_!yj! zvq%4eKivOD>_i>(3P$#h${-OORK)(((*a%t8R=*-1K zTV2sHVb`_!41FjqYL!`f261qDuEitfB-=RX`7L`7yv`@3@ig|P^^D%4rFRzkz9>^t zYES+!yMFqc>8^A$o&`qy2?4w8l1+~1Jau-79^zz!?nb)X8I_>o5PMy62 z7DxL&_C{Vp=d%PO>XAy=3Q0@_O!|=(k+l-dGhiDk$MCzn2OR*&yIiB^O{_uXc|~VA z97@qT#Jk!7E_7k?2T2^uYB!Amg}9rFy!8A8QC8!0x}Y0EL*(P{P8#!W@pZIRHyQlq zvZ1$J{oYCEGb*>b4+*NTe(8BPl*DuhJl7q0%$&XDmqt#JR$=p-lde=DWFFlEFBUrP z!Ae||C8K;Zdjx>?3i(C4@ts1wI-Tt^z6eqY=ud{%si)bw(Ed4vT!scku45`I?g~av z@T;?w0HG*UdKuRp^Ay{!jXdb@!qCP>Z&QUVgY-7Ez(ADB&=rFW8X=7EGErp6ig8D9WSV zJ&v3R97hJ!dLQ*v-ke+DJ(zu>4nf95AgSJG-032WrUh!`p=-OjUOHg>)p*Fl>q9`y zVDW0c#M`cf+n0K}u<`w})@S64az}Nn^3Q}dJ9>&yXd1Feaeg+xoF<-Z19Jk{R9Mqg z+yfn<>8H}iFUB|Eydv=ijr>mPfiqH@)q|K{#wK0?q2f6O(hq<agCPinTyF1^|pHLRV1GnCb&#EEen%y-Gvu zVU56Y>n)IC3D{>rYut$_wqSrsF1Fa3&vI5;woKqQ40}T9JEdrYz##Vp}v;@IZ7prVAvwM?#-o zfx3VZP4PU{tNE3-+h2Q{Yw{JguYR-P{#>##$)20L)LTO^8{U@ly%X4?F~rMwkmg`rGAJ@Vdj?IPE6@y1!wTs>SH#1N=jd7+(Akz;kTm zPK`IYB}qND(u9PN?!_pzQXr@^usO~c7EkIc@YMD=Gl%GxOdPTrM@%cu7Q1~j{8vHnxfV$S$;^O$l*u+}g@|kejhn!DXy%2TpMG8=Mf96zx zpV_TY8WW>+D22?_?124Erf7_P%*4GBi-RJ52LH(1;B~UB8(wye1lgrw$SuBPFemN! z{9{HLBIs*T?G8R;Z^?xN6^FyR9{$Gr?zCQmfk_}IsT%2IlH^kan)Er=Z!m>bly%?6 z3@DSjvDDvo*pe=?3w);ye?9|Xem?h)-5ZEJMiKqQt=tvN-!;@6?zK5wejjt~P(AeI zs@(l)bIAEH=88w{iidE*=?jm~(9Mi50fR5m&;3PTWO!Sn*u+Aye=5#Dd+EFVjhmH6 z#rF$W&Hg!!nL3vDv7}S#q>o;#_~j?G>(%dH7Yw2M9qUot^ITq)p49>dPcq$<=5JV% zRIl4xKPk5L-;m)t*g~i${5l;Y@RHq7?Y84K(5-ZzfN4*@W@ zJa!-Xg2D0_9HY%=oDzidai5eyLXC756Xvh<^wEJ)Y2Ihl{>a#yDyVc8trfYjinput zrG||k5j6+p)Vo5Vq2FKS-JbR^GSe=pw=$kxI{#c)uL05WV zZvT$q`Ag2CA?RL>#C`bp4E=gG$sl8I%`6A_E+bDLDd9}bH9p@e8Gn@(Dh&kOws;$o z&Y|qlKK2+s;h6;=4>?zQnzMoXmComIPfjkV??3l7D?}zZ5l{+9MAUf1Xxc1`SlkS1 zZ@cViXdDemobE&g0qgABcSxF+yeOSu9@oHuv2?(n$F)E;lRQs3hlpeA0})C+)mT>S zDZc7yF6r{~{s#OD(rPz~uiT z`D;T9|5ZbOmB9M_7k#1pOG83_z!ZRg1S$DvzDQOPenh#hKVBc_=Knf$69;?OkEkTQ zr=6{x5}$lOBiaU6h#7SAPz;hXY%@5NgKapxz(hj| zB2~)q>xS1?UO-7h4E+qZi@sLBV@5R7SahR|nL6C&rrsBFfaefm8HQpW5L?bR$ktI( zTw8VG6K9>h*zA$3@ILTt(j)r*yoENF;;c(WcGWY?3dFKwdqwc@_F0IJF_KrgB+h3c}NopX?An`6(cxGs(=xDUt&5rq=CGl zSq$!Hl%3}h!f0_wxbT{Y-We}W{?=6~w(T8%-~jR?;$K5jKW5PoP26yUQa z%OKCuIw^t3YrrJSL!o5kEc#*`IL^XZFeCE%%t(Q@rKbl0NSe%(>jY=^hL_373M)Eu z`jXgfUmA4jYf^7*_FPK6Jv>Fe-1^wuPrW) zc7C5fRYwUE_5WH2J|Li>Dx7CxV#i>!l+i5Qc7c}CUbbR&{g(3q)fTQercifhiE0tI zFlMV3YWM@da^Iu+Gh-seMAvM&ZqZ6-Ip9@!;>IKITC8Ha<<`3GC@IPte9EBVL3kiS z75f)M)Y9Ei))6i5FAdr_npl<>KC>nfFka%s@_b3zCHlq~Wn)G{UwN&zpRg*sL?~mm z?$1&mYh}=+eOgV`4Oy^v+s5>Ys6;mE!y)Wv_FUBjKvYjsUCI~%l{UbQq*ctC^7YQ* z7z-|&al)^HRa0;u5`;Pg9)cRV+lc!Dhd}d5`5vbmi%!h>NTsP=2{BceCw_+>cLlLr zRzZ`U-#rB64b^g2Fp_gJh}!i>AnuC|*0E%G@`TPb&aq9FMPnJN2UVw=iw%GkQs0m> zQ4>P|uoi090>nd3m97>fKk0=ZMZ`<^T0Z-Sp<8YmW;eK0e1r`l<7X7IOpgOX3w#QZhfVH#&5P+Di%iB$6tv8b@EBig^YHf zK@7&4*)*pl$o4jmRdm#fmEvPpE1iRzI+$ey_~CpnJX7QTDFJo77c%@Re`F+M<31Kx zraNb|NyIzV!e9G1gY6|j1HV`br|&WqA(rSPa}3fSz}zXT2i4%3Oe)i);UvC?9{^iF zj}W*(nE@3$#|WxK6P7=_Mk;2}LrI!L-$SmP7b~-sDII4}2|I>`bPK1&mq!3jt0CVB zK>I#gwh*P1P~d*Gt)eK`H@cwAIv5(xjH&qjtt6l4+DZ>CnWE5A?^9I}^b_cqB@#G9 zl*WY8nWo^wD&YYaT#UWnS!=wwXY@9B4JXSc36F#UXjbI~=|_zHKhiM%mD+!TI|%dt zjP4(@zoYwyCVjXC%Wq?%E^E- zA$2~}2qWl6;$k9e%a!Eqj7D1WrD8R#Z`l`&HtJBlUQF3zRO*Lf?)%B+WL>O=+S$p{ zdk&88WU-vcd3yL)J)B&<*^V7J66?gUkyI!EBA3E*HH2ODG+Z&o!flkM%vI_L#~3jX z@l5Nh?ha)^R))UMh_;KgYVlWnDjq>x;aKpa#%tfB#u@tyMrIGYT;wIZCIOAXpj{@vyf>BRt=V2<2^7N zKv_qEuQTt<>+}lQEo7-dX24Rv0*h(n84J$Tw4?GT&Ac70rd5@Fp-2N8X`W++8;`#K z8^p_j;CTOlxbYWHKzfv@;x;o%fMfB2F%)h3{--bQEwvA0 ztEHz^W(>t}dc#^(>Mek;PjpuyDt4?mQ+M{v^CIk}Q8G%Ghazai=R)4XrxO_JUsd^e zE&*$+TwX{S8SC;paU%{K9sv&rq0&&Uww3ED!BmWAQEg2$a%0`ZAXjYPj3k_zVlxgub zv*#5R#$L1+D1VQ2g>NuqQjxtVnaa4zC#tiqi66|DT&Hf513-m1cevln;y|Ula7GJhnEaUT!V&Q|FPwJ(ou7H>smv^oU^_`gvOWXv zw$V(V^i(9%_|yU?tU!&xrFS!J-7Bb7kU8p2&3$ck*%*TIT`=qJw?Fe%mF(+4^>HHX z(mgmp-d$S-B^tVE!5)(+%~>Hq(_K_i&YhgUWDRoBz%dsD^{(%fE`5O#%I^5pxJkul zYc(mag_LXq@IGq~9)4s8YUbo3y|60og-GhPnc}LmbiR<}GsIFXNiByoN~umTEx)6l z3hw}Q6iQhYs{JIPvh9RpPH6p;QPn%M=nxJoY+w$2Sri7&5!_b?`YOsz2wS8ehv)?I zrZC{=Sa~W4H*S+RleF^u6u&P_g%syE?Z}d4D5ZT6OPJM7c2`XPs;Gi1Q?#n_T{%Xv zkA(x^3wjJMBe^Q@VWBp$_IShcXR2!OIcA|07&~^*E%tp(5B6LF5^wX1UO%qbf9KNu zw-9IfpE3MH_IC{bko+CPKO}$0@DIt~G5kaFcMSiK{5`{2{>||Jw+v_bH^cwU@P9M> z|0fJ*`=2rVL-uzJ|B(D0!#^Z{$M6ry-!c3{@^=jXko<|^po<{x|Am)@?avIiQ3bB+&Kc+umBC^yy4M(a8TAkhS)pT*av1>2;+(Q*E0MW zvO~V&aoiKt>CwW*Nn-er*w`0i^v3n#UQS*--4UKH5z(R2{>2^Sk%o(2h0f&QM6MEW zgC^j~R?kndMM^)p&6%fiae&@UZld0OJDP;mm6wv%B2|2v!km!4Q(#%t1Qt^xLIV;v z4Q@`_3L4>Ff4Z_?+6qH3ZtLpCire6#G>n`Uv~aOM>5?EBaK(wEx1(!uA)q|J%#*FJ6{M-G6&o z{_SP?|Juu<`108S_m4XzK3*U0av(c1SECO%XA6xfuqg;UD;*<~Dia$wD?2wUTWXd$ zusDn=GZV8U3#%LpQ|g&HFaroPXX+;lU~(Y^M>Bf`b8{;bGkOPS3kDW?W>Q%zdpA$Q zzZMucIy?Mv0}TTUGou|77pbtDm8~fq7aJp6i=PEBG%yGgXKJ`5Fg1XcnDCFja61}V zn9-RyxY@h%5i@iB=T2uM3uhxo%YT+IF>?I#10D{}rvKc{!N&E^-6obs&PFD#X3qaC zV`b%HW+7(a=VfsCN4L5EXzo9^QW>p)(NX>*r}|HUhExqJ;7@?F)JG09xQ?ODTX7|+ z5UhAKVipr@Q$I2)*1}VtSwfpTH}QDVoyjivct6}BW>ah#C=-H{v^tK7=(>yOwQmBO zF6W)y8!p`kE>ri9pgAr%2NCmO)&|B078XWE-)?S33o!xy@UY_svTZv?8`(Rb_0kr*JA1;OMvkHuaG)gxrol;peWH(KO?RW0jgTuy6hF* zbf2D5o}0)tb`rwhPV9Ss6_IEAco`937fkybKJB`6OHKBls~HSbT^8(OVzTUXh8mF! zXVH-LN;!6U9Mch@j?vaRuL1PlyM;lf6v|vZ;+n1P98UpqcHT6$_v5-7OfhIJ?oVzO zL>HT<Uia(>O`%O zNVNGpBUaB2#r9Lhv_;G2y zIcKcMaUlcXTZh_ZC~F&Sw@$Mx>qIc@32D3v)Blpk#oJj~J_<&}8*#YYvrCd8{QXI= zyUC~t6ZCsoiu^{hji<6jx;7HitLuXh0^|O7V*!r6e%RxfqpBdcbJ~^-*S5VZ9H9X% zBn--%Wr?^$*~yr;QqyKVrB)2gf(IYK(?N3F+!zr+8^w|!5jR=Ih`*9uBgJX@3)h%v zwh;D7%b7rGJIC#bKX-ePH(J$PL`a#E0NI?ID*R3Siza(0@I zhOylMjr+8D6P;JS%FCW^468T6kU*fRV%zs^lHq5jV!yWYWsa>f6mI*eH`W5}?8M!; zkk`0k3DcxW5Oif$%IKCAHCx?;Vk2?FXxo!4dL2kX>`kHvH_48jjLsII5Ji?=kHvt6 zCGWe&?!Dzm=GRdnHggCON?Fdu=RC(}C_-y^-+7qWEiO;cTqld-G)vKD3 zF2e~`(_4;HqUJUAX9;X-oT;f_t^$Uq&tDi*M~_+Pb8?=qlgCU|w5Z05#=(~3%agK->`Wh;}M0SY0Pzr$IY#PvmFQn&wKnR%Y#9z@WN> znA|Y-@ihT&RgaC*zvVHcHvAJfTwqaO%s0FQ7B4+ZnlQo;9?abzpzNxV&Up!bJB zxJ7F=PgTsH#BYsRaaF4K1p*=-lBC5M4PF23jl>3XhLJ9lB@rdre?3N2)7#eN7PbDl8mlId;T@C^!KUHO3S}~#y8Z1{PBr13y1btG zVd1MrXy#}NQ}9N5sq3wVDL&o+0Q9G8*})H<^9M4i_AxeX>FgB?onL|+HuYSZ3&GNz z-4E=DST6fl9!Qp)GvSB5!1Xu^P1>1^jN(TA-8R#$usS?>AWu>zD3O^ISlJ+o_342P z*w|x~cyYANo%uARznbJ^3L@QgJMlvT5r~AdEi&pqU#gg(OfIqlOZ;?y1PI$Hw+M^s z5(BZ<@lbVXcr}pFffJTv&~b9AC{oV6nmE~%rPPPpQLk;St;OwmMz<(d!5`b5eq|VU z_FsFT&Aq6teeyynRe2+8iuwu(+bN0T9s72;3WbgGN_=@;8)p zqvgtOqS5G`_yQDsiGx302k0QA{+V1)zbSQ)2+_6A7RPU!;e+=vqpC`+DI22!X-4$=m_`7?nbp0AL^~iLOC?V93zx8UnX_w`t#BwB1B*2{E;qFBePOn&{^ z8m#s1P14`-A*_-3vH@Y1Fz}_pKqNF(j~lFDd)^2&5LEje&lUcBB{LGUM@T^HS2{m{ zCA+icMpa)A0M&$URuj?8Scz~&;hKY z@#ro;YpjHWdNWhuf2nuy&5o?8s~0BgpUkStupXHkVV8m1;RM)QjUM``tLs_t&EU~o zyo^&n>O?B5`Lgw^5|*867*x3-T?NH*b+?B!c&Nm{ntfZ`mO*w8H~{1HZYX?0u*20s zH*ATZN`77Tl-*OB!5FV_{kp7uD@74CLiDWy)DHpYs7BRi2{?|Y0r3S)5fyjh(kp_N zCa4o3b6IYApDDJ{e_SG+Mu*^o$A~6A7eFT86-ahlx_!^WVM5BN2E&ah&$bDrrxjdLl z@tL0c^JtX0^6OZOHsjM}M=+fz+AF()v0CXPm4RVs%uoD&w8I94M1kYQuNz}E@Z!dw zth)wY+u{LZr&RjBsqn`y$;!5bLAGCP!}`Mnk2M9%k4xXoM;uL_l>|%j@(8c?t!n8ZnCZxm})blt-ml;UMEhF3kE@C>r)|Dl`pX^>xg836Bz}w9?p0rT6~q$7gK; z43}%Blrhk*^rnPf^2^s9Oum32hbLCotF~*_hT|REH}Y&?nDPk`>V$jYXHS+nj6|hH zE@^}D!#=*6*n@j7CO?nZggEe1sA7lb{l`S($Kn3zuC&y&xbwnZW{p@Ez2)u)-2QK( z{BIF}8u|1?pHe-@q|igj{!Cr!fPCZDadaN&!N~m2nxH^fyr~>VbGUo=V#aaFi6p%Z zXgqF$8M8cNWFQ?4XhNRT-=r#qpWVHMa6ts|yky)gGxtre_N_10ezLX0lEza3~pNhJw!xgsABa0jBDwJaMA=re$Wh|oc zn^7YxX&E8A*E+EfGPkeE(+am~hIf8{JAl^ju=AIH>#>d9)S!JAIqF53IKrVv-Pt-I z1*K1z2~+#7du1qq!O#lD{nK!3)Ltz9|qG#`Ug zxTH;rOyp7v-0m0g`%u24?NjfZ6^e;i=qlLDIrArr7!@%dS2yMd)#W80&i9jwH%^gt zNz3=MIHjC*??Jw=%#n-5j{5!U^@%(H6NqnNTx2ic@3c2I#R*q_s0tK`H`d}Z@4X%i zvDTTZObR?Bi}W_I`kzdHRJT4#j0POO92>$qH*4(osqwfAjOmXR#S`_}HPHwT@72(& zG=Pz`4EC)nvt5Zx$t}cFU})txNx!>y0%n+kzNKcq_7eFZW*W@x+Oc(%XyO)HQv zx#m?5J-0|monyzyFeV{yD8?O@@7Z(>JE%L0g~!yzOO_+ZWa{Or1x;KuVsgQ4zM~T1 zO2wP|I~Hsn)ab+Cmre43AIORU;_H!%#Vt#T#-x&H(iPV6G6R!8LazMb&dGnr@KNge5^6n7sIXw6| zV<7jZsam+!$5dOcMy=0uSsmVU7S-r!xZY}R^8+zk&SedynB4Zo_SSg0fNfGN7(@p14n;+;GBylY9rQ!py>O_w&GOv| zoK364eLh+|7Ab<+56#;+`zta~FJHg93WASH`Y&hY*yOa#ucwxJxpVHMU-ZRT-ah~A zy~{@k^MTCY3ePEfO6O7M0r(DVrypo|rNAM}9w(J_+To|1YqsO;3#P2H?qQChYQ#Bn zbj%V)SSmz~Pk57RO?)EgD^4;qRZDQBQnV%RvK1CzU&w#yivH;*tcu~|tg0t@J)?uw z&8qBu)6vtPsf0LX+Pw9R_}xB*`co~%{1ijGUtsr$x?W+4{h2k84L~}#gH+KWqZ9-m zC25*1>-|w$pG#pnZ)EzbF)&GAGSbYNy&Ln9Mh4W-<0;;?!5p z_%_)FbRHstcov_m6ae{w!h(jHoM@^j!Zr+cjyk=gcM3rF=Kfh_yONGQ6+J>^-{h2C z1UDLU;H(Q2RtgwxhA&MKhY_=5jvX7e+GPo`9yJuO2+lP}uX~!NNYrae5@F&b;ke_a zw}H5-wW9$}i*w9Q;+F>U5CndX217S-K1I5{>wQEP=3V`#2O$0I0Xq1&98Bq;1NMc+xtbbi98Ms$#)4EE6M?rG2n z%LTI1nID1tjM`#7F0siV57}_zh)ZQ^KG~$%SzWcWNca8tmt6jWNl!aPx)*QT9)5Bi zyAhh;$44f=tpO07UEa@Z7exH5Y0~X(GgG|5gGjh9m^Lu}D=c=rRiO6xPB6DXnm7CC ztH6baophrk^2*dwH|CwG3rSeC+#IIv+=U+>wGiwj9v&40d#y;yrxXDX70&LbME9+_FY9iwWs?NFC-wK2$X*&O#Z7M z0|*H2!`t)coQNjvO_x(Pi;@$fsgQH?bXnex_;^GkC*@;`Z<)}-sMQ>8B9sq!5 z1+b^gNX2&ek=f>6yl~#KS?zo6gnu1n5l1cTbh5Ca)(MNm4-pUL&>5!neid|I_qqg6 zj}ebp1@y`ak+}U-jOr<@dTBIE~n5Vt!U<6{!Q+4a@I!-;FQ|U#T zW8Yc4DD_qOBQfGaJ;sBwU%G0=R{eDC44_Cb__5x!T5^nk6%4WgS~~QKab>-ClegOF zn-AtAu|aytc~gt~vVFH-BF%_DJqdhW-K5ytGVR$SNpjTzlsbd@b>#jl_W@+gg`^>i zY{waHr_`YwY2#A0M&{(#lgzQMv|TYoqx>jS4F%L^EO2iv())^;GQDi_XBN@zdVu51 z?dr35L)Nb&6b)3Uk6*G*oLF-g`8M$tAZ!F2k7_nKP21IY)AjLdhke z5)Gk?^p`;$G9s6mIEgYtlZu0rj-;!c2%UsvQjKxx&!xm1<(5mO%h}^!WA;q{?;qz| zYp=D}Uf=V)-?!)a_Pl%V_kDMW12xKhZ|ZT^(#VMmJ7d1ur-oqnaJ#Y$T%~^1>)cTs zUH{bQP13~eU!#=HH80^#NAgVl^9}u?OST@IkRl-!s*=vH;Mvj&)GT7sRwVoNr*F21 z8+$pbL5fayF2q}Zb=vcGK@HRCM;E56X|P$~E3Retb8zSc%6Ni_)16j+i65X+etOOB z(N|gg)-FN;$AP?7cfugX-tw$`V ze>sBemtM@elBm#Meszzd?FkeW{r>v+nkKyJqsz-me}}9%&lBW>;Mn;n(F|qexvfW*Z}^{t=j(S9O=P z$aGKV#=fWxrly=v8;PgC=)?w-YLt|d>*G;Z0AyFW*&qID&+nN9_u3%CsZC$p-Hs)& zYPMQCXQeJ6PphBp-kdS=C;_p%H8!@W{=+Y6^{jE0zmqjWr#zKqYv5*Qo#DL6Dj6Jg zydd2hy_9WRRaT(VoM>36Urn2|*i@Fan{tDge+d(B6w>RYRFQ2tsXn!7=u||+F`IoP zt-`gq^{I$kg98`!<1FvWPQN_dyhB}qV;$>r{YoIC_X+eiCR<1|my>*fbo*{=@txfykLQef? zCE|Ab^PeYW$5^osp;87g+J{n{a5N#V7T+LxHzuCuXCIiyyk;T=!vU{I>99vd>YBU*N~iN&5ZGosFJtuT>OO-cJU< z1>#oFy!@5>9CDXR%7@sf@dD|+^jc~NdWb!oa~1OJB(FrrammJ zJ050!vqs^tnO?R{&PKJfk>3>Q4pcLf)dd(d}mcldaz>fTL{ek(Lh{HEL+Rt2mlH+o&G~1FTY7E6 zWBu!_QLSB$4T}1aW!|H`Zbef!pSZm6s^E1x-x_vMl^?Bq!FFb=Q{7+CZ}z1rN~z_Q zXq7tn67GkSxXq*6TW@6CX^kpTEluVvcg0uB$DX@VyU~Adp zNo6le=FxO7bO-%2cklhGE~Oj8`zkAczf);?pNVPo{GD;;#h0Fo$f@bt$%fNbC%CrQ zFQLAlzT>Zx4);jLR|Eh!>+#nKh*0HcO#CM|gb|epno9A@=Io<`nxG3K`Ur@Y7j&6< zVa-6NfSOW?0Kl+J0awoSt%8n#O$@^rPd|Is$5mS7>$YG zYF)l*2h{HxM(zNR*9-v5g?6F6d&ta13sxA4oeZnKd~!-~BmnR?QNRXoMqCoOn#!kr z7GU8^fC7_)pi|0sB8BbqBLD~`ABF;gmj{vqcLfRf1@0%j=ANt&2JHo~0RR@;EC33M z@O4>Q5Z^)F80N{KGD42U*q971nJrNzUZPLPj6Vy`Rj^OojCkodArUwCTOz8k^q-03 zOws4RWfBsZiW7;K-x3Z9P0gE&i6meWoP2m1)j}Z+wD%|<1i~qYLxW}#Tm-oPmOaIf z!=G&mKw-IH1iHkTXdo?;VCj4t{s}EUSnC&oLJ>ks=YWcs1@v#jtzu}PK0$n;;b4{k zAVdU^nF1Po0}vftu?XNA4aEK*08az}#Td;s8-7lguo+aqX9L9^>G4;z%>PL965_(( zxH*=Ncv6#)q52P)W%!*SUje4DizMvzHvowK7VGZLazGgjP}ZH~3P=%w&PxFgM4%EV zK&Bc#ObHaQUIZY40_Y-uc`2Yl1S+lo@l+7u@3*K1HmNxjAf6E+WN@s7G5kXXuQh;I|96B!rxQaj}P(Zo}Kmr9k6#+<~0Obep+007;?jlgh6~KVw{*eM6*TV+= z4;A3uG|K@+PtHpLOcCh36u=dMN}vGsNAO`vpnz>600|V3BLbM00@_8O;tCKCLlv%I zbTe#Hb0|PO=u*gVfDL01SAakKUqC!mOvv&62`C603l+Ez0=EPHFj8Fzf%aClfehc> zpaw%R1(fj<^oQTnih7st2Ou{EmWeBF3GU|e(|3jK*$_kSIEYrT04#vxN&v8A402zA FzXAIh+lK%E diff --git a/hdl/sockit_spi.v b/hdl/sockit_spi.v index 63ae8fd..7ab6aaf 100644 --- a/hdl/sockit_spi.v +++ b/hdl/sockit_spi.v @@ -341,8 +341,8 @@ assign dma_cmo_grt = cmo_grt & ~arb_xip & arb_dmo; assign xip_cmo_grt = cmo_grt & arb_xip; // command input demultiplexer -assign reg_cmi_req = cmi_req & ~arb_xip & ~arb_dmo; -assign dma_cmi_req = cmi_req & ~arb_xip & arb_dmo; +assign reg_cmi_req = cmi_req & ~arb_xip & ~arb_dmi; +assign dma_cmi_req = cmi_req & ~arb_xip & arb_dmi; assign xip_cmi_req = cmi_req & arb_xip; assign {xip_cmi_ctl, dma_cmi_ctl, reg_cmi_ctl} = {3{cmi_ctl}}; assign {xip_cmi_dat, dma_cmi_dat, reg_cmi_dat} = {3{cmi_dat}}; diff --git a/hdl/sockit_spi_dma.v b/hdl/sockit_spi_dma.v index 6de42d6..8f92312 100644 --- a/hdl/sockit_spi_dma.v +++ b/hdl/sockit_spi_dma.v @@ -80,7 +80,7 @@ module sockit_spi_dma #( output reg dma_wen, // write enable output reg dma_ren, // read enable output wire [DAW-1:0] dma_adr, // address - output reg [3:0] dma_ben, // byte enable + output wire [3:0] dma_ben, // byte enable output reg [31:0] dma_wdt, // write data input wire [31:0] dma_rdt, // read data input wire dma_wrq, // wait request @@ -119,7 +119,10 @@ wire dma_wtr, dma_rtr; // write/read transfer wire dma_wrd, dma_rrd; // write/read ready wire dma_wcy, dma_rcy; // write/read cycle reg [DAW-1:0] dma_wad, dma_rad; // write/read address +wire [DAW-1:0] dma_wai, dma_rai; // write/read address increment +reg [3:0] dma_wbe; // write byte enable reg [31:0] dma_rdr; // read data register +reg [1:0] dma_rdb; // read data byte reg dma_rds; // read data status // cycle registers @@ -150,7 +153,7 @@ assign dma_rrd = (~dma_ren | dma_rtr); // write/read cycle assign dma_wcy = cyc_ien & cmi_req; -assign dma_rcy = cyc_oen & (cmo_grt | ~dma_rds & ~dma_ren); +assign dma_rcy = cyc_oen & ~(cyc_ofn & cmo_trn) & (cmo_grt | ~dma_rds & ~dma_ren); // write/read control (write has priority over read) always @ (posedge clk, posedge rst) @@ -162,31 +165,55 @@ end else begin if (dma_rrd) dma_ren <= dma_rcy & ~dma_wcy; end -// transfer size -always @ (posedge clk) -dma_ben <= 4'hf; - // write/read address register always @ (posedge clk) if (tsk_trn) begin dma_wad <= adr_wof; dma_rad <= adr_rof; end else begin - if (dma_wtr) dma_wad <= dma_wad + ({14'd0, cyc_siz} + 16'd1); - if (dma_rtr) dma_rad <= dma_rad + ({14'd0, cyc_siz} + 16'd1); + if (dma_wtr) dma_wad <= dma_wai; + if (dma_rtr) dma_rad <= dma_rai; end +// write/read address increment +assign dma_wai = dma_wad + ({14'd0, cyc_siz} + 16'd1); +assign dma_rai = dma_rad + ({14'd0, cyc_siz} + 16'd1); + // write/read address multiplexer -assign dma_adr = dma_wcy ? dma_wad : dma_rad; +assign dma_adr = dma_wen ? dma_wad : dma_rad; + +// write byte enable +always @ (posedge clk) +if (tsk_trn) begin + case (cyc_siz) + 2'd0 : dma_wbe <= (ENDIAN == "BIG") ? 4'b1000 : 4'b0001; + 2'd1 : dma_wbe <= (ENDIAN == "BIG") ? 4'b1100 : 4'b0011; + 2'd2 : dma_wbe <= 4'b1111; + default : dma_wbe <= 4'b1111; + endcase +end else if (dma_wrd) begin + case (cyc_siz) + 2'd0 : dma_wbe <= (ENDIAN == "BIG") ? {dma_wbe[0:0], dma_wbe[2:1]} : {dma_wbe[2:0], dma_wbe[3:3]}; + 2'd1 : dma_wbe <= (ENDIAN == "BIG") ? {dma_wbe[1:0], dma_wbe[3:2]} : {dma_wbe[1:0], dma_wbe[3:2]}; + 2'd2 : dma_wbe <= 4'b1111; + default : dma_wbe <= 4'b1111; + endcase +end + +// transfer size multiplexer +assign dma_ben = dma_wen ? dma_wbe : 4'hf; // write data // TODO proper alignment always @ (posedge clk) if (cmi_trn) dma_wdt <= cmi_dat; -// read data register +// read data register, byte always @ (posedge clk) -if (dma_rtr) dma_rdr <= dma_rdt; +if (dma_rtr) begin + dma_rdr <= dma_rdt; + dma_rdb <= dma_rad [1:0]; +end // read data status always @ (posedge clk, posedge rst) @@ -253,7 +280,7 @@ assign cyc_ifn = ~|cyc_icn; assign tsk_trn = tsk_req & tsk_grt; -assign tsk_sts = {cyc_icn, cyc_run}; +assign tsk_sts = {cyc_ien, cyc_run}; assign tsk_grt = 1'b1; @@ -275,9 +302,9 @@ generate if (ENDIAN == "BIG") begin always @ (*) begin case (cyc_siz) - 2'd0 : cmo_dat = (dma_rdr << ( 8*dma_adr[1:0])) ^ 32'h00xxxxxx; - 2'd1 : cmo_dat = (dma_rdr << (16*dma_adr[1] )) ^ 32'h0000xxxx; - 2'd2 : cmo_dat = (dma_rdr << ( 8*dma_adr[1:0])) ^ 32'h000000xx; + 2'd0 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h00xxxxxx; + 2'd1 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h0000xxxx; + 2'd2 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h000000xx; default : cmo_dat = dma_rdr; endcase end @@ -287,9 +314,9 @@ end else if (ENDIAN == "LITTLE") begin // TODO, think about it and than implement it always @ (*) begin case (cyc_siz) - 2'd0 : cmo_dat = (dma_rdr << ( 8*dma_adr[1:0])) ^ 32'h00xxxxxx; - 2'd1 : cmo_dat = (dma_rdr << (16*dma_adr[1] )) ^ 32'h0000xxxx; - 2'd2 : cmo_dat = (dma_rdr << ( 8*dma_adr[1:0])) ^ 32'h000000xx; + 2'd0 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h00xxxxxx; + 2'd1 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h0000xxxx; + 2'd2 : cmo_dat = (dma_rdr << (8*dma_rdb)) ^ 32'h000000xx; default : cmo_dat = dma_rdr; endcase end diff --git a/hdl/sockit_spi_reg.v b/hdl/sockit_spi_reg.v index 407e061..d6b7f40 100644 --- a/hdl/sockit_spi_reg.v +++ b/hdl/sockit_spi_reg.v @@ -243,7 +243,7 @@ assign cmi_grt = ~dat_rld; // DMA control/status interface // //////////////////////////////////////////////////////////////////////////////// -assign tsk_stb = wen_dma; +assign tsk_req = wen_dma; assign tsk_ctl = reg_wdt [21:0]; endmodule diff --git a/hdl/spi_tb.v b/hdl/spi_tb.v index 9875d76..3a93b63 100644 --- a/hdl/spi_tb.v +++ b/hdl/spi_tb.v @@ -205,6 +205,7 @@ initial begin IOWR (3, 32'h0b5a0000); // write data register IOWR (2, 32'h00001f17); // write control register (32bit write) + IOWR (2, 32'h00000713); // write control register ( 8bit idle) IOWR (5, 32'h00240003); // request a SPI read, DMA write transfer POLL (5, 32'h00000003); // wait for DMA to finish IOWR (2, 32'h00000010); // write control register (cycle end) @@ -261,6 +262,7 @@ initial begin // // xip_cyc (0, 24'h000000, 4'hf, 32'hxxxxxxxx, data); // read data from XIP port + test_name = "END"; IDLE (16); // few clock periods $finish; // end simulation diff --git a/sim/sim_iverilog.sav b/sim/sim_iverilog.sav index 7b37dcb..0cd90f7 100644 --- a/sim/sim_iverilog.sav +++ b/sim/sim_iverilog.sav @@ -1,7 +1,7 @@ -[timestart] 5987000 -[size] 1366 744 +[timestart] 6209800 +[size] 1920 1176 [pos] -1 -1 -*-18.225300 5320000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 +*-17.123697 6710000 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 -1 [treeopen] spi_tb. [treeopen] spi_tb.sockit_spi. @820 @@ -11,6 +11,22 @@ spi_tb.sockit_spi.clk_cpu spi_tb.sockit_spi.rst_cpu spi_tb.sockit_spi.clk_spi spi_tb.sockit_spi.rst_spi +@800200 +-REG bus +@28 +spi_tb.sockit_spi.rgs.reg_wen +spi_tb.sockit_spi.rgs.reg_ren +spi_tb.sockit_spi.rgs.reg_adr[2:0] +@22 +spi_tb.sockit_spi.rgs.reg_wdt[31:0] +spi_tb.sockit_spi.rgs.reg_rdt[31:0] +@28 +spi_tb.sockit_spi.rgs.reg_wrq +spi_tb.sockit_spi.rgs.reg_err +@1000200 +-REG bus +@28 +spi_tb.sockit_spi.rgs.tsk_req @c00200 -XIP bus @28 @@ -43,7 +59,7 @@ spi_tb.sockit_spi.dma.dma_ren spi_tb.sockit_spi.dma.dma_adr[31:0] spi_tb.sockit_spi.dma.dma_ben[3:0] spi_tb.sockit_spi.dma.dma_wdt[31:0] -@820 +@821 spi_tb.sockit_spi.dma.dma_wdt[31:0] @22 spi_tb.sockit_spi.dma.dma_rdt[31:0] @@ -80,22 +96,37 @@ spi_tb.sockit_spi.dma.dma_rcy @22 spi_tb.sockit_spi.dma.dma_rdr[31:0] @28 +spi_tb.sockit_spi.dma.dma_rdb[1:0] spi_tb.sockit_spi.dma.dma_rds spi_tb.sockit_spi.dma.dma_rtr -@1000200 --group_end -@22 -spi_tb.sockit_spi.dma.cmo_ctl[10:0] -spi_tb.sockit_spi.dma.cmo_dat[31:0] +@800200 +-DMA CMO @28 -spi_tb.sockit_spi.dma.cmo_grt spi_tb.sockit_spi.dma.cmo_req +spi_tb.sockit_spi.dma.cmo_grt spi_tb.sockit_spi.dma.cmo_trn +@22 +spi_tb.sockit_spi.dma.cmo_dat[31:0] +spi_tb.sockit_spi.dma.cmo_ctl[10:0] +@1000200 +-DMA CMO @800200 +-DMA CMI +@28 +spi_tb.sockit_spi.dma.cmi_req +spi_tb.sockit_spi.dma.cmi_grt +spi_tb.sockit_spi.dma.cmi_trn +@22 +spi_tb.sockit_spi.dma.cmo_dat[31:0] +spi_tb.sockit_spi.dma.cmo_ctl[10:0] +@1000200 +-DMA CMI +-DMA +@c00200 -RPO @28 spi_tb.sockit_spi.rpo.clk -@c00023 +@c00022 spi_tb.sockit_spi.rpo.cmd_ctl[10:0] @28 (0)spi_tb.sockit_spi.rpo.cmd_ctl[10:0] @@ -109,7 +140,7 @@ spi_tb.sockit_spi.rpo.cmd_ctl[10:0] (8)spi_tb.sockit_spi.rpo.cmd_ctl[10:0] (9)spi_tb.sockit_spi.rpo.cmd_ctl[10:0] (10)spi_tb.sockit_spi.rpo.cmd_ctl[10:0] -@1401201 +@1401200 -group_end @22 spi_tb.sockit_spi.rpo.cmd_dat[31:0] @@ -151,7 +182,37 @@ spi_tb.sockit_spi.rpo.que_grt spi_tb.sockit_spi.rpo.que_req spi_tb.sockit_spi.rpo.que_trn spi_tb.sockit_spi.rpo.rst -@1000200 +@1401200 -RPO +@800200 +-RPI +@28 +spi_tb.sockit_spi.rpi.clk +@22 +spi_tb.sockit_spi.rpi.cmd_ctl[3:0] +spi_tb.sockit_spi.rpi.cmd_dat[31:0] +@28 +spi_tb.sockit_spi.rpi.cmd_grt +spi_tb.sockit_spi.rpi.cmd_req +spi_tb.sockit_spi.rpi.cmd_trn +spi_tb.sockit_spi.rpi.cyc_cnt[1:0] +@22 +spi_tb.sockit_spi.rpi.cyc_dat[31:0] +@28 +spi_tb.sockit_spi.rpi.cyc_lst +spi_tb.sockit_spi.rpi.cyc_new +@22 +spi_tb.sockit_spi.rpi.que_ctl[3:0] +spi_tb.sockit_spi.rpi.que_dat[31:0] +@28 +spi_tb.sockit_spi.rpi.que_grt +spi_tb.sockit_spi.rpi.que_req +spi_tb.sockit_spi.rpi.que_trn +@22 +spi_tb.sockit_spi.rpi.rpk_dat[31:0] +@28 +spi_tb.sockit_spi.rpi.rst +@1000200 +-RPI [pattern_trace] 1 [pattern_trace] 0