From 3598e6402664c9b6058ae5fc42b246383a842943 Mon Sep 17 00:00:00 2001 From: Julian Ghionoiu Date: Sun, 5 May 2019 15:24:06 +0100 Subject: [PATCH] Released version 0.26.1 --- lib/tdl/previous_version.rb | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) diff --git a/lib/tdl/previous_version.rb b/lib/tdl/previous_version.rb index 6784feb..fa579d9 100644 --- a/lib/tdl/previous_version.rb +++ b/lib/tdl/previous_version.rb @@ -1,4 +1,4 @@ module TDL - PREVIOUS_VERSION = '0.25.1' + PREVIOUS_VERSION = '0.26.1' # the current MAJOR.MINOR version is dynamically computed from the version of the Spec end