{"payload":{"header_redesign_enabled":false,"results":[{"id":"161992961","archived":false,"color":"#b2b7f8","followers":3,"has_funding_file":false,"hl_name":"kishanpatelec/Distance-measurement","hl_trunc_description":"The proposed project is to measure the distance through Ultrasonic sensor which is interfaced with FPGA board.","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":161992961,"name":"Distance-measurement","owner_id":45738908,"owner_login":"kishanpatelec","updated_at":"2019-04-07T10:19:13.506Z","has_issues":true}},"sponsorable":false,"topics":["fpga","ultrasonic-sensor","verilog-project","distance-measurement"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":74,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Akishanpatelec%252FDistance-measurement%2B%2Blanguage%253AVerilog","metadata":null,"warn_limited_results":false,"csrf_tokens":{"/kishanpatelec/Distance-measurement/star":{"post":"qTj6L6LOv9qVcGC79x_3ve79Q8QAFyl27rqaCMt9-wQ4APo0-gHUQGldkeYjvIjoLTQGCZ155X1T-kjgSRMEvw"},"/kishanpatelec/Distance-measurement/unstar":{"post":"eedWZiqY7vF5aVN5VZ_YGtwMljnh-gyOp5nlM8_M_J0Uw6umG2ioc7O82r-sBLb5phkNCHjme9j2wRbUanA-Og"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"wdbiyM0jjdcsfuGgpr-yjDIecVFEi8f1DahbFQ4XrONosqfUrl2F6YQwUXwlxdhjNiMPUp6nIi5MYF85jL1btA"}}},"title":"Repository search results"}