{"payload":{"header_redesign_enabled":false,"results":[{"id":"19327475","archived":false,"color":"#b2b7f8","followers":12,"has_funding_file":false,"hl_name":"kzzch/nand2tetris-vhdl","hl_trunc_description":"nand2tetris files converted to VHDL so I can simulate them on an FPGA","language":"Verilog","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":19327475,"name":"nand2tetris-vhdl","owner_id":1458283,"owner_login":"kzzch","updated_at":"2014-04-30T21:55:12.910Z","has_issues":true}},"sponsorable":false,"topics":[],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":67,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Akzzch%252Fnand2tetris-vhdl%2B%2Blanguage%253AVerilog","metadata":null,"csrf_tokens":{"/kzzch/nand2tetris-vhdl/star":{"post":"ISyxH2Nu1LQ_97iSPzi8kRWztycpjvn7jjDIes8WA-KCDcTQMRuQb7BJ2YMHu-8Dk54ISjVHzB9sR0pH5wlRLA"},"/kzzch/nand2tetris-vhdl/unstar":{"post":"MoSFMQsCwzOFfWKc7ozi0GhcgHVSLvitdFuaKPYhXzdRXzXvgEy4vXVzdh5RtU6FeR84ricsdk-DhNnNjs8VsA"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"9_d36aZy9-6KD8yw-HvW8uZcmC7qF37mUXv8UmCfMTR_jtlm7egTdffCDvoeeg3bcXCKrkjoNPtCex15LGWgNw"}}},"title":"Repository search results"}