__ _ __ _ __ / / (_) /____ | |/_/ / /__/ / __/ -_)> < /____/_/\__/\__/_/|_| Build your hardware, easily! (c) Copyright 2012-2023 Enjoy-Digital (c) Copyright 2007-2015 M-Labs BIOS built on Apr 26 2023 14:15:35 BIOS CRC passed (3c2372a2) LiteX git sha1: 0c326f0e --=============== SoC ==================-- CPU: RocketRV64[imac] @ 1MHz BUS: WISHBONE 32-bit @ 4GiB CSR: 32-bit data ROM: 128.0KiB SRAM: 8.0KiB SDRAM: 64.0MiB 32-bit @ 1MT/s (CL-2 CWL-2) MAIN-RAM: 64.0MiB --========== Initialization ============-- Ethernet init... Initializing SDRAM @0x80000000... Switching SDRAM to software control. Switching SDRAM to hardware control. --============== Boot ==================-- Booting from serial... Press Q or ESC to abort boot completely. sL5DdSMmkekro Timeout Executing booted program at 0x80000000 --============= Liftoff! ===============-- OpenSBI v1.2-105-g2868f26 ____ _____ ____ _____ / __ \ / ____| _ \_ _| | | | |_ __ ___ _ __ | (___ | |_) || | | | | | '_ \ / _ \ '_ \ \___ \| _ < | | | |__| | |_) | __/ | | |____) | |_) || |_ \____/| .__/ \___|_| |_|_____/|___/_____| | | |_| Platform Name : Generic Platform Features : medeleg Platform HART Count : 1 Platform IPI Device : aclint-mswi Platform Timer Device : aclint-mtimer @ 1000000Hz Platform Console Device : litex_uart Platform HSM Device : --- Platform PMU Device : --- Platform Reboot Device : --- Platform Shutdown Device : --- Platform Suspend Device : --- Platform CPPC Device : --- Firmware Base : 0x80000000 Firmware Size : 232 KB Firmware RW Offset : 0x20000 Runtime SBI Version : 1.0 Domain0 Name : root Domain0 Boot HART : 0 Domain0 HARTs : 0* Domain0 Region00 : 0x0000000002000000-0x000000000200ffff M: (I,R,W) S/U: () Domain0 Region01 : 0x0000000080000000-0x000000008001ffff M: (R,X) S/U: () Domain0 Region02 : 0x0000000080020000-0x000000008003ffff M: (R,W) S/U: () Domain0 Region03 : 0x0000000000000000-0xffffffffffffffff M: (R,W,X) S/U: (R,W,X) Domain0 Next Address : 0x0000000080200000 Domain0 Next Arg1 : 0x0000000082000000 Domain0 Next Mode : S-mode Domain0 SysReset : yes Domain0 SysSuspend : yes Boot HART ID : 0 Boot HART Domain : root Boot HART Priv Version : v1.11 Boot HART Base ISA : rv64imafdchx Boot HART ISA Extensions : none Boot HART PMP Count : 8 Boot HART PMP Granularity : 4096 Boot HART PMP Address Bits: 30 Boot HART MHPM Count : 0 Boot HART MIDELEG : 0x0000000000000666 Boot HART MEDELEG : 0x0000000000f0b509 [ 0.000000] Linux version 6.3.0-rc5-gff903dea5e37 (root@5ed389c0e6e0) (riscv64-linux-gnu-gcc (Ubuntu 11.3.0-1ubuntu1~22.04) 11.3.0, GNU ld (GNU Binutils for Ubuntu) 2.38) #6 SMP Wed Apr 26 14:12:46 UTC 2023 [ 0.000000] OF: fdt: Ignoring memory range 0x80000000 - 0x80200000 [ 0.000000] earlycon: liteuart0 at I/O port 0x0 (options '') [ 0.000000] Malformed early option 'console' [ 0.000000] earlycon: liteuart0 at MMIO 0x0000000012003000 (options '') [ 0.000000] printk: bootconsole [liteuart0] enabled [ 0.000000] efi: UEFI not found. [ 0.000000] INITRD: 0x81000000+0x00800000 overlaps in-use memory region [ 0.000000] - disabling initrd [ 0.000000] OF: reserved mem: OVERLAP DETECTED! [ 0.000000] mmode_resv0@80000000 (0x0000000080000000--0x0000000080020000) overlaps with opensbi@80000000 (0x0000000080000000--0x0000000080200000) [ 0.000000] OF: reserved mem: OVERLAP DETECTED! [ 0.000000] opensbi@80000000 (0x0000000080000000--0x0000000080200000) overlaps with mmode_resv1@80020000 (0x0000000080020000--0x0000000080040000) [ 0.000000] OF: reserved mem: 0x0000000080000000..0x000000008001ffff (128 KiB) map non-reusable mmode_resv0@80000000 [ 0.000000] OF: reserved mem: 0x0000000080000000..0x00000000801fffff (2048 KiB) map non-reusable opensbi@80000000 [ 0.000000] OF: reserved mem: 0x0000000080020000..0x000000008003ffff (128 KiB) map non-reusable mmode_resv1@80020000 [ 0.000000] Zone ranges: [ 0.000000] DMA32 [mem 0x0000000080200000-0x0000000083ffffff] [ 0.000000] Normal empty [ 0.000000] Movable zone start for each node [ 0.000000] Early memory node ranges [ 0.000000] node 0: [mem 0x0000000080200000-0x0000000083ffffff] [ 0.000000] Initmem setup node 0 [mem 0x0000000080200000-0x0000000083ffffff] [ 0.000000] Failed to add a System RAM resource at 80200000 [ 0.000000] release child resource [mem 0x80202000-0x806c0de9] [ 0.000000] release child resource [mem 0x80c00000-0x80dfffff] [ 0.000000] release child resource [mem 0x81000000-0x810bbbff] [ 0.000000] release child resource [mem 0x810bc000-0x811173af] [ 0.000000] release child resource [mem 0x80202000-0x811173af] [ 0.000000] release child resource [mem 0x80000000-0x811fffff] [ 0.000000] SBI specification v1.0 detected [ 0.000000] SBI implementation ID=0x1 Version=0x10002 [ 0.000000] SBI TIME extension detected [ 0.000000] SBI IPI extension detected [ 0.000000] SBI RFENCE extension detected [ 0.000000] SBI HSM extension detected [ 0.000000] riscv: base ISA extensions acdfim [ 0.000000] riscv: ELF capabilities acdfim [ 0.000000] percpu: Embedded 15 pages/cpu s28712 r0 d32728 u61440 [ 0.000000] pcpu-alloc: s28712 r0 d32728 u61440 alloc=15*4096 [ 0.000000] pcpu-alloc: [0] 0 [ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 15624 [ 0.000000] Kernel command line: console=liteuart earlycon=liteuart,0x12003000 rootwait root=/dev/ram0 [ 0.000000] Dentry cache hash table entries: 8192 (order: 4, 65536 bytes, linear) [ 0.000000] Inode-cache hash table entries: 4096 (order: 3, 32768 bytes, linear) [ 0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off [ 0.000000] Memory: 45892K/63488K available (4859K kernel code, 4078K rwdata, 2048K rodata, 2816K init, 364K bss, 17596K reserved, 0K cma-reserved) [ 0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=1, Nodes=1 [ 0.000000] rcu: Hierarchical RCU implementation. [ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=64 to nr_cpu_ids=1. [ 0.000000] Tracing variant of Tasks RCU enabled. [ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies. [ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=1 [ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 [ 0.000000] riscv-intc: 64 local interrupts mapped [ 0.000000] plic: interrupt-controller@c000000: mapped 32 interrupts with 1 handlers for 2 contexts. [ 0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention. [ 0.000000] riscv-timer: riscv_timer_init_dt: Registering clocksource cpuid [0] hartid [0] [ 0.000000] clocksource: riscv_clocksource: mask: 0xffffffffffffffff max_cycles: 0x1d854df40, max_idle_ns: 3526361616960 ns [ 0.000049] sched_clock: 64 bits at 1000kHz, resolution 1000ns, wraps every 2199023255500ns [ 0.004178] Console: colour dummy device 128x32 [ 0.005455] Calibrating delay loop (skipped), value calculated using timer frequency.. 2.00 BogoMIPS (lpj=10000) [ 0.006209] pid_max: default: 32768 minimum: 301 [ 0.008644] LSM: initializing lsm=capability,integrity [ 0.014320] Mount-cache hash table entries: 512 (order: 0, 4096 bytes, linear) [ 0.015297] Mountpoint-cache hash table entries: 512 (order: 0, 4096 bytes, linear) [ 0.110266] cblist_init_generic: Setting adjustable number of callback queues. [ 0.110943] cblist_init_generic: Setting shift to 0 and lim to 1. [ 0.118699] riscv: ELF compat mode unsupported [ 0.119132] ASID allocator disabled (0 bits) [ 0.126527] rcu: Hierarchical SRCU implementation. [ 0.127071] rcu: Max phase no-delay instances is 1000. [ 0.142470] EFI services will not be available. [ 0.151026] smp: Bringing up secondary CPUs ... [ 0.151591] smp: Brought up 1 node, 1 CPU [ 0.167866] devtmpfs: initialized [ 0.202381] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns [ 0.204259] futex hash table entries: 256 (order: 2, 16384 bytes, linear) [ 0.242273] NET: Registered PF_NETLINK/PF_ROUTE protocol family [ 0.249306] DMA: preallocated 128 KiB GFP_KERNEL pool for atomic allocations [ 0.251294] DMA: preallocated 128 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations [ 0.269598] platform soc: Fixed dependency cycle(s) with /soc/interrupt-controller@c000000 [ 0.345558] pps_core: LinuxPPS API ver. 1 registered [ 0.346100] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti [ 0.347509] PTP clock support registered [ 0.372095] clocksource: Switched to clocksource riscv_clocksource [ 0.797148] NET: Registered PF_INET protocol family [ 0.804249] IP idents hash table entries: 2048 (order: 2, 16384 bytes, linear) [ 0.823238] tcp_listen_portaddr_hash hash table entries: 256 (order: 0, 4096 bytes, linear) [ 0.824437] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear) [ 0.825337] TCP established hash table entries: 512 (order: 0, 4096 bytes, linear) [ 0.826195] TCP bind hash table entries: 512 (order: 2, 16384 bytes, linear) [ 0.827633] TCP: Hash tables configured (established 512 bind 512) [ 0.833441] UDP hash table entries: 256 (order: 1, 8192 bytes, linear) [ 0.834829] UDP-Lite hash table entries: 256 (order: 1, 8192 bytes, linear) [ 0.840039] NET: Registered PF_UNIX/PF_LOCAL protocol family [ 0.956667] workingset: timestamp_bits=46 max_order=14 bucket_order=0 [ 0.993346] io scheduler bfq registered [ 1.032791] LiteX SoC Controller driver initialized [ 4.346521] 12003000.serial: ttyLXU0 at MMIO 0x0 (irq = 1, base_baud = 0) is a liteuart [ 4.347922] printk: console [liteuart0] enabled [ 4.347922] printk: console [liteuart0] enabled [ 4.348539] printk: bootconsole [liteuart0] disabled [ 4.348539] printk: bootconsole [liteuart0] disabled [ 4.536430] loop: module loaded [ 5.039004] liteeth 12000800.mac eth0: irq 2 slots: tx 2 rx 2 size 2048 [ 5.056329] NET: Registered PF_INET6 protocol family [ 5.104024] Segment Routing with IPv6 [ 5.106682] In-situ OAM (IOAM) with IPv6 [ 5.109203] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver [ 5.129335] NET: Registered PF_PACKET protocol family [ 5.581115] Freeing unused kernel image (initmem) memory: 2816K [ 5.583210] Run /init as init process [ 5.583693] with arguments: [ 5.583965] /init [ 5.584206] with environment: [ 5.584455] HOME=/ [ 5.584687] TERM=linux [ 5.594537] Failed to execute /init (error -2) [ 5.595162] Run /sbin/init as init process [ 5.595487] with arguments: [ 5.595737] /sbin/init [ 5.595986] with environment: [ 5.596214] HOME=/ [ 5.596461] TERM=linux [ 5.602636] Run /etc/init as init process [ 5.603198] with arguments: [ 5.603467] /etc/init [ 5.603698] with environment: [ 5.603945] HOME=/ [ 5.604176] TERM=linux [ 5.609673] Run /bin/init as init process [ 5.610292] with arguments: [ 5.610574] /bin/init [ 5.610827] with environment: [ 5.612462] HOME=/ [ 5.612899] TERM=linux [ 5.618560] Run /bin/sh as init process [ 5.619160] with arguments: [ 5.619467] /bin/sh [ 5.619713] with environment: [ 5.619959] HOME=/ [ 5.620187] TERM=linux [ 5.627298] Kernel panic - not syncing: No working init found. Try passing init= option to kernel. See Linux Documentation/admin-guide/init.rst for guidance. [ 5.628017] CPU: 0 PID: 1 Comm: swapper/0 Not tainted 6.3.0-rc5-gff903dea5e37 #6 [ 5.628478] Call Trace: [ 5.628762] [] dump_backtrace+0x1c/0x24 [ 5.629415] [] show_stack+0x2c/0x38 [ 5.630052] [] dump_stack_lvl+0x3c/0x54 [ 5.630696] [] dump_stack+0x14/0x1c [ 5.631265] [] panic+0xf8/0x284 [ 5.631833] [] __irq_alloc_descs+0x0/0x1fa [ 5.632385] [] ret_from_exception+0x0/0x16 [ 5.632991] ---[ end Kernel panic - not syncing: No working init found. Try passing init= option to kernel. See Linux Documentation/admin-guide/init.rst for guidance. ]---