diff --git a/clang/lib/Format/UnwrappedLineFormatter.cpp b/clang/lib/Format/UnwrappedLineFormatter.cpp index 56077499c39d5..2fc15d8828e4b 100644 --- a/clang/lib/Format/UnwrappedLineFormatter.cpp +++ b/clang/lib/Format/UnwrappedLineFormatter.cpp @@ -95,7 +95,7 @@ class LevelIndentTracker { /// level to the same indent. /// Note that \c nextLine must have been called before this method. void adjustToUnmodifiedLine(const AnnotatedLine &Line) { - if (Line.InPPDirective) + if (Line.InPPDirective || Line.IsContinuation) return; assert(Line.Level < IndentForLevel.size()); if (Line.First->is(tok::comment) && IndentForLevel[Line.Level] != -1) diff --git a/clang/unittests/Format/FormatTestCSharp.cpp b/clang/unittests/Format/FormatTestCSharp.cpp index 4a0840d32341e..6f5e1e41ef7e0 100644 --- a/clang/unittests/Format/FormatTestCSharp.cpp +++ b/clang/unittests/Format/FormatTestCSharp.cpp @@ -1304,6 +1304,18 @@ TEST_F(FormatTestCSharp, CSharpGenericTypeConstraints) { "}", Style); + // When the "where" line is not to be formatted, following lines should not + // take on its indentation. + verifyFormat("class ItemFactory\n" + " where T : new() {\n" + " int f() {}\n" + "}", + "class ItemFactory\n" + " where T : new() {\n" + " int f() {}\n" + "}", + Style, {tooling::Range(43, 13)}); + verifyFormat("class Dictionary\n" " where TKey : IComparable\n" " where TVal : IMyInterface {\n" diff --git a/clang/unittests/Format/FormatTestVerilog.cpp b/clang/unittests/Format/FormatTestVerilog.cpp index fcda05df18268..abebf9f7d4c78 100644 --- a/clang/unittests/Format/FormatTestVerilog.cpp +++ b/clang/unittests/Format/FormatTestVerilog.cpp @@ -613,6 +613,17 @@ TEST_F(FormatTestVerilog, Headers) { " (input var x aaaaaaaaaaaaaaa``x, \\\n" " b);", Style); + // When the ports line is not to be formatted, following lines should not take + // on its indentation. + verifyFormat("module x\n" + " (output x);\n" + " assign x = 0;\n" + "endmodule", + "module x\n" + " (output x);\n" + " assign x = 0;\n" + "endmodule", + getDefaultStyle(), {tooling::Range(25, 18)}); } TEST_F(FormatTestVerilog, Hierarchy) {