{"payload":{"header_redesign_enabled":false,"results":[{"id":"476718373","archived":false,"color":"#178600","followers":6,"has_funding_file":false,"hl_name":"m47812/HDL_Converter","hl_trunc_description":"A simple tool that can be used to convert the header syntax of a verilog module or VHDL entity to an instantiation syntax and create test…","language":"C#","mirror":false,"owned_by_organization":false,"public":true,"repo":{"repository":{"id":476718373,"name":"HDL_Converter","owner_id":49725858,"owner_login":"m47812","updated_at":"2022-04-28T21:38:20.262Z","has_issues":true}},"sponsorable":false,"topics":["fpga","vhdl","verification","verilog","hdl","testbench","small-tools","hardware-description-language"],"type":"Public","help_wanted_issues_count":0,"good_first_issue_issues_count":0,"starred_by_current_user":false}],"type":"repositories","page":1,"page_count":1,"elapsed_millis":72,"errors":[],"result_count":1,"facets":[],"protected_org_logins":[],"topics":null,"query_id":"","logged_in":false,"sign_up_path":"/signup?source=code_search_results","sign_in_path":"/login?return_to=https%3A%2F%2Fgithub.com%2Fsearch%3Fq%3Drepo%253Am47812%252FHDL_Converter%2B%2Blanguage%253AC%2523","metadata":null,"csrf_tokens":{"/m47812/HDL_Converter/star":{"post":"SBGZy6UF3GVfuL0_k5AprLvbGyIZJqKuK6mE8T6tHX5m7fi-DN56pJ9yrpjU946Bb9wdTxOCY4g8mGSdLfTNNw"},"/m47812/HDL_Converter/unstar":{"post":"pd7YHnvqRIXwZn81IzMUZn5AJh53HHFm3ISg6kKyxWZfiKXvYCHUcQ8PDBFQ2bXlL-EkHeg0NB_1lUiKyOW--Q"},"/sponsors/batch_deferred_sponsor_buttons":{"post":"DJOBZSjHPvqZNSN397IRVcj7SrnCEtWRXL4GpsFi4JAZokCtH79FI3UANLz9tDo5QAAnvddYltwmO1DlK9w4-A"}}},"title":"Repository search results"}