From 82aea0b830c1d2873c76354248ad35baf83e0944 Mon Sep 17 00:00:00 2001 From: Henrik Tidefelt Date: Tue, 24 Nov 2020 00:00:03 +0100 Subject: [PATCH] Consistent use of white-space around modifier '=' --- chapters/synchronous.tex | 26 +++++++++++++------------- 1 file changed, 13 insertions(+), 13 deletions(-) diff --git a/chapters/synchronous.tex b/chapters/synchronous.tex index f9b59a5f2..1ee425245 100644 --- a/chapters/synchronous.tex +++ b/chapters/synchronous.tex @@ -598,7 +598,7 @@ \subsection{Base-clock conversion operators}\label{base-clock-conversion-operato \begin{example} Assume there is the following model: \begin{lstlisting}[language=modelica] - Real y(start=1), yc; + Real y(start = 1), yc; equation der(y) + y = 2; yc = sample(y, Clock(0.1)); @@ -1228,7 +1228,7 @@ \subsection{Solver Methods}\label{solver-methods} Real x(start = x_start); // previous(x) = x_start at first clock tick Real der_x(start = 0); // previous(der_x) = 0 at first clock tick protected - Boolean first(start=true); + Boolean first(start = true); equation when Clock() then first = false; @@ -1294,7 +1294,7 @@ \subsection{Inferencing of solverMethod}\label{inferencing-of-solvermethod} \begin{example} \begin{lstlisting}[language=modelica] model InferenceTest - Real x(start=3) "Explicitly using ExplicitEuler"; + Real x(start = 3) "Explicitly using ExplicitEuler"; Real y "Explicitly using ImplicitEuler method"; Real z "Inferred to use ExplicitEuler"; equation @@ -1304,7 +1304,7 @@ \subsection{Inferencing of solverMethod}\label{inferencing-of-solvermethod} end InferenceTest; model IllegalInference - Real x(start=3) "Explicitly using ExplicitEuler"; + Real x(start = 3) "Explicitly using ExplicitEuler"; Real y "Explicitly using ImplicitEuler method"; Real z; equation @@ -1381,7 +1381,7 @@ \section{Other Operators}\label{other-operators} parameter Real k "Gain of continuous PI controller"; input Real u; output Real y; - Real x(start=0); + Real x(start = 0); protected Real Ts = interval(u); equation @@ -1402,8 +1402,8 @@ \section{Other Operators}\label{other-operators} input Real y_ref, y_meas; Real y; output Real yc; - Real z(start=0); - Real xc(start=1, fixed=true); + Real z(start = 0); + Real xc(start = 1, fixed = true); Clock c = Clock(Clock(0.1), solverMethod="ImplicitEuler"); protected Real uc; @@ -1441,10 +1441,10 @@ \section{Semantics}\label{semantics} \begin{lstlisting}[language=modelica] model ClockTicks Integer second = sample(1, Clock(1)); - Integer seconds(start=-1) = mod(previous(seconds) + second, 60); - Integer milliSeconds(start=-1)= + Integer seconds(start = -1) = mod(previous(seconds) + second, 60); + Integer milliSeconds(start = -1) = mod(previous(milliSeconds) + superSample(second, 1000), 1000); - Integer minutes(start=-1)= + Integer minutes(start = -1) = mod(previous(minutes) + subSample(second, 60), 60); end ClockTicks; \end{lstlisting} @@ -1459,9 +1459,9 @@ \section{Semantics}\label{semantics} Integer minutes(start = -1); Boolean BaseClock_1_activated; - Integer Clock_1_1_ticks(start=59999); - Integer Clock_1_2_ticks(start=0); - Integer Clock_1_3_ticks(start=999); + Integer Clock_1_1_ticks(start = 59999); + Integer Clock_1_2_ticks(start = 0); + Integer Clock_1_3_ticks(start = 999); Boolean Clock_1_1_activated; Boolean Clock_1_2_activated; Boolean Clock_1_3_activated;