From eb1a8f533343de27de25d6864d22e060c7b7d2ca Mon Sep 17 00:00:00 2001 From: Evgeny Ukhanov Date: Sat, 23 Sep 2023 17:36:34 +0200 Subject: [PATCH 1/2] Added LCD screen project for Sipeed TangNano 9k --- sipeed-tangnano-9k/lcd_screen/.DS_Store | Bin 0 -> 6148 bytes sipeed-tangnano-9k/lcd_screen/Makefile | 36 + sipeed-tangnano-9k/lcd_screen/app.fs | 728 + sipeed-tangnano-9k/lcd_screen/app.json | 47357 ++++++++++++++++ sipeed-tangnano-9k/lcd_screen/app.lushay.json | 6 + .../lcd_screen/gowin_osc/gowin_osc.ipc | 11 + .../lcd_screen/gowin_osc/gowin_osc.mod | 13 + .../lcd_screen/gowin_osc/gowin_osc.v | 19 + .../lcd_screen/gowin_rpll/gowin_rpll.ipc | 28 + .../lcd_screen/gowin_rpll/gowin_rpll.mod | 33 + .../lcd_screen/gowin_rpll/gowin_rpll.v | 62 + sipeed-tangnano-9k/lcd_screen/lcd.v | 118 + sipeed-tangnano-9k/lcd_screen/tangnano9k.cst | 76 + sipeed-tangnano-9k/lcd_screen/top.v | 87 + 14 files changed, 48574 insertions(+) create mode 100644 sipeed-tangnano-9k/lcd_screen/.DS_Store create mode 100644 sipeed-tangnano-9k/lcd_screen/Makefile create mode 100644 sipeed-tangnano-9k/lcd_screen/app.fs create mode 100644 sipeed-tangnano-9k/lcd_screen/app.json create mode 100644 sipeed-tangnano-9k/lcd_screen/app.lushay.json create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.ipc create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.mod create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.v create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.ipc create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.mod create mode 100644 sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.v create mode 100644 sipeed-tangnano-9k/lcd_screen/lcd.v create mode 100644 sipeed-tangnano-9k/lcd_screen/tangnano9k.cst create mode 100644 sipeed-tangnano-9k/lcd_screen/top.v diff --git a/sipeed-tangnano-9k/lcd_screen/.DS_Store b/sipeed-tangnano-9k/lcd_screen/.DS_Store new file mode 100644 index 0000000000000000000000000000000000000000..7a261304c038cee276e866659ed5964d8abb115f GIT binary patch literal 6148 zcmeHKQBK1!40X1BblcCq5T7{$yFr=C33h;?ZBjvMX~l%#k4RjBD{&8wz!7+kt*TX9 z!50E#OU_FjJ8@s6x+WsGc{r|#Rz#FQ87FfL1H$X9J(;M57hT-rj&@Yhi4H~H^ESh8 zWPtB(PC0Jvk#4DVf9vg`Dr-r3dN%A;R#~<$sy$Yd_owHNm)+atnCJ1Ep7l-pSqj={3>X8( zK*IokA3T&XRSbgh(}5wj0Kgf{Q84FTf@8d5su%>Z0&x-wlu)NFhLdpEz51n!K~TcU zY4hRqWTzd9i>G6MAKl5Nf;Jih#z2>WsXVT@{$Gvv|J@*aG6sx+f5m{CW!r3nSJK+r vdpWMP9(oOBVZR{QgkTa&F?_icpF^X-?s)=C6@wrw5c?5`G}vGa{3rvTv6fmc literal 0 HcmV?d00001 diff --git a/sipeed-tangnano-9k/lcd_screen/Makefile b/sipeed-tangnano-9k/lcd_screen/Makefile new file mode 100644 index 0000000..263c225 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/Makefile @@ -0,0 +1,36 @@ +BOARD=tangnano9k +FAMILY=GW1N-9C +DEVICE=GW1NR-LV9QN88PC6/I5 + +all: app.fs + +# Synthesis +app.json: top.v lcd.v gowin_rpll/gowin_rpll.v + yosys -p "read_verilog lcd.v top.v gowin_rpll/gowin_rpll.v; synth_gowin -top top -json app.json" + +# Place and Route +app_pnr.json: app.json + nextpnr-gowin --json app.json --write app_pnr.json --freq 27 --device ${DEVICE} --family ${FAMILY} --cst ${BOARD}.cst + +# Generate Bitstream +app.fs: app_pnr.json + gowin_pack -d ${FAMILY} -o app.fs app_pnr.json + +# Program Board +load: app.fs + openFPGALoader -b ${BOARD} app.fs -f + +# Generate Simulation +screen_test.o: app.v app_tb.v + iverilog -o app_test.o -s test app.v app_tb.v + +# Run Simulation +test: app_test.o + vvp app_test.o + +# Cleanup build artifacts +clean: + rm app.vcd app.fs app_test.o + +.PHONY: load clean test +.INTERMEDIATE: app_pnr.json app.json app_test.o diff --git a/sipeed-tangnano-9k/lcd_screen/app.fs b/sipeed-tangnano-9k/lcd_screen/app.fs new file mode 100644 index 0000000..186d669 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/app.fs @@ -0,0 +1,728 @@ +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 +1010010111000011 +0000011000000000000000000000000000010001000000000100100000011011 +0001000000000000000000000000000000000000000000000000000000000000 +0101000100000000111111111111111111111111111111111111111111111111 +00001011000000000000000000000000 +1101001000000000111111111111111100000000111111111111000000000000 +00010010000000000000000000000000 +00111011100000000000001011001000 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010110000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010010000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101101110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100011100111110011111000111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000110001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010111000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110001111111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000101010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000010010000000000011000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010010000000000000000010010000000000011000000000000000000000010010000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011100001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000011000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000101000000000000000000000000000000000000100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000111100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101010001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000011000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100110101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101010011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010100111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000100000000000000100100000000000000000000001100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000010011000000000000000000000000100100000000000011000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111010110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000110011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001111011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001011101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101011101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001011110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101001111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101011101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101110110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111001001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100011100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000111000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101011101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000001110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000110000000000000000000000000000000000000000000001001000111100000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000001000000000000001100000000000000000000000000000000000000001001000111000000000000000000000000000000000000000001100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110100010010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100100010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001100010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110010001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000011000000011000000000110111100111100000000110000000000000001110000000111100000000110111100101100000010111000000000000010111000100111100000000110011000100100000000111000000001100000011001000011010000000110111100111100000000111000000000000001110000000111100000000110111100101100000000111000000000000000111010000111100000001111110100000100000000111000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000010101000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000011000000000000000000000000000000000000001000000000000000001000000000000010000000000000000010000000001000000000000000000010000000000001000000000000001100000000001000000000000000001000101000000100000000000000000000000000001000000000000000000010000000000010001000000000000010101001001000000000010000001000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000011001011111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000111100000000000000000000000000001000000000100000000010000000110001100000000000000000000000010000000000100000000010000000000001000000000000000000000000000001001000000001000010000000110110000000010000000000000000001001000000100000000010000000110000100000010000000000000000000000000000100000000000100000110000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010010101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000100000011000000000100100000000000000100100000000100100000000100010000001000000100000000000000000000000100000100100000000100000010100000000100010000000001000000000000000000100000000100010000000000000000100000000000000100000000000100100000000100000011000010000000000000000000000000000000000100100000001010000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101010111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000110100100000001100000000000000001100000000000000111100000000110100100000001100000000000010000000000000000000111100000000110100100000000010000000000000000000000000000000111100000000110100100000001100000000000000001100000000000000111100000000110100100000001100000000000000000000000000111100111100000001111100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000000000001000000000000000000000000000010100000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110000001100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000100000000000000000100000000000001000000010000000110000000000100000000010000000000000000000001000000010000000110000000000000000000000000000000000000000001000000010000000110000000000100000000000000000100000000000001000000010000000110000000000100000000000000000000000000110001000000000010000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110110000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000010000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000010100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111111001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000000011000000111111110001111000000000000000110011000111100100001111000000011111110001111000000000000000110000000100101101000110000000001111110001100000000001001000010000000111100000000011000000111111110001111000000000000000110110000111100100001111000000011111110001111000000010010001111000000100100000001110000000011111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000100000001001000000000000000000000000011000000000001000000000100000001010000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000001000000000100000001010010000000000000000000001001000000000001000000000100000001001000000000000000010000000000000000000000000000000100000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001101110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000101100010000000000000010000000000100000000000010000000010000101100100000000000000010000000000100000000010000000000010000101100010000000000000000000000000100000000000000000000001000101101000000000000000010000010000100000000000010000000010000101100100000000000101000100000000100000000000010000000010000101101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001111100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000010000000100100000001010000000000000000100010000100100001001010000000000100000001010000000000000000100000000100001000000100000000010000000001000000000000000000100000000100100000000010000000100100000010000000000000000000100000000100100001001010000000000100000001010000000000000001010000000100000000001100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011110100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010001111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000011101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100111111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100010111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000011010011001001000111100000000000000000000000000000000000000000000010000000000110100000000000000000000001000000000001001001000011000001000000000000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000011001001001001100000000100000000000000000000000000000000000000001000000011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110001110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000000000000000010000000000000000000000000000001000000000000000010001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000100000001000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000001000000000000000000000000000000000000000000000000100000001010000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110011001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100000101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011000000000110111100111111001000111000000000000000110000000111100000000110111100101000000000111000000001101001111011000111100000000110011000000100000000111000000000000000010000000000001001000000000000000001001000000000000000100010011001000011000000000110111100111101101000101000000000000000110010000111100000000110111100111000000011111000000000000001110000000111100000000110111100000100000000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000010101100000010000000000000000000001000001000000000000000000010000000000001000000000000000001010001001000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000010101100000001000000000000000000000001001000000000000000000010000010000010100000000000000000010000001000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011110011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110001001000010000000000000000010000000000100000000010000000110000100000000001000000000000000100000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000010000000110001000000010000000000000000010001000000100000000010000000110100100000000100000000000000000100000000100000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000110000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000010000000000010000000000000000000000000000100100000000100000010000010000100000000000001000000010010000100100000000100010001000000000100100000000000000000100000000000000000000000000000000000000000000000000000001000000000000000100000000100000010000001000000000000000000000000000000000100100000000100000010000000000000000000000000000000100000000100100000000100000011000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110100010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001101000000000000111101100000110101100000001001000000001100000000000000100100111100000000110100100000001100000000001101000000000000000000001100000000110001100000001100000000000000001101000000000000000000000000000011000000100000000000000000000000000000000000111100000000110100100000001110000000000000001100000000000000111100000000110100100001001100000000000000000000000000000000111100000000110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011011001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000001000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000001000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000100101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000001110000000000000000000000000000000000000110001000000010000000110000000000100000000000000000000000000000000100000010000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000100000000000000000100000000000001000000010000000110000000000100000000000000000000000000000001000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001000000000000000100101000000100000000000000000000000001000000000000000000000100100000000100000000000000000000000001000000000000000000001000000000000100000100000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000100100000000100000000000000010000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000000011011100111111110001111000000000000001111000000001100100001111001000011111110001111000000000100000110000000100100100000110000000101111110001100000000000000000000100000000001101000001000000000000000000000000000000000000010001000111101101000011011100111111110001111000000000000000110000000111100100001111001000101111110001111000000000000000110000000100101100000111000000001111110001100000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100000101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000010010000010001001000000000000000010000000000000000000001000000000100000001001000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000001000010010100000001001000000000000000000000000001000000000001000000000000000001010000000000000000000000000000000000001001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000101100010000000000000000100000000000100000000010001000010000101000010000000000000010000000000100000000010000000000001000101101000000000000000000000010000000000000000000000000000000000000000000000000000000000100000100000000000000000000001000101100010000000000000010000000000100010000000010000000001000101100010000000000000010000000000100000000010000000000010000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000010010000001010000001010000000000000001010000001000000001001010000000000101000001010000000000001000100000000100001000000100000000110000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000100101000000010010000100100000001010000000000000000100000000100100000001010010000110000000001010000000000000000100000000100001000000010000000010000000001000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110010000110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000001000000000101010100000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000111111110010000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011011011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111000000000001000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100111100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010111000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000010000000000000000000000000000000000000000000010100011110000010100000000000000000000000000100000000000000001110110000000111100000000000000000000000000000000000000000000000000000000001000000000000000000000000001100000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001000000101001000000000000000000000000000010000000000000000010000001000000101100000000000000000000000000000000000000000000000000000000100000000000000000000000000110000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110001001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001100000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001000000000000000000000000000000000000000000000010001001000001100000000000000000000000000000000000000000000000001001000011000000000000000011000100001000000000000111100000001111000111000000000000000000100110001000000000000100000000000110100001000110000000000000000001000000010001001000000001000010000011100100110101100000000001000000111001001001000011001001000110001000011001111000000001000000101001001001000000000000000110001000000100011000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000000000000000000000010001000000000000000000100000000001000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000001001000000000000000001000000001000000000001100000000000000001001000000000000010000000010000000000100100000001000000000001000000000000000010000000000000000000100000101100000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001101001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100010000000100000000000000000000000000000000000000000000000000100000000000000000000000000010100000000000000000110000000001100001010000000000000000001110111001000000000000000100000000000010000000110000000000000000000000000000001010000000000001000000000000000010010001000000000000000000001010000000100100100000010000000000010100000000000000000000010001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011001110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000101000000000000000001000000000100000000000000100000000001000010000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001110000000000000000000000000000000000000000000000000000000100000000000101000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000011011000011000000000110111101111100000000110000000000000000111000000111100000000110111100110110000000111000000001100001110011000111101100000110111100000101001010111001010001100001001000000001100100000110011100110010100001001001010000100001111011000001100000000010001000011000010001111001111000100000010011100000000100000000001000000000010001100000000000000001100000000000000000000000000000100100010001100000000000000010011000000000001100000000000000000100010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100011100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000000000000010100100000000000000000000000010000000001000000000000000000010000010000001000000000000001000000000011000000001000000001000000000000010000000000000001001000000000011000000000000000011000000000001000000000000000001000000010000000000000000000001000000000001000000000000000000000001000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111010100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000110000000000000000000000000000010000000000100000000010000000110110001000000001000000000000000011000000100000000010000000110000000000010000001000000000000010000000000000000010000000000100001000000010000000010000000010000001000000000000000000001000000010000010000000000000000001000000000000000000000001000000000001000100000000000000000100000000000000000000000000000110000001000100000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001001010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000100000000100000010000010000100100000000000000010000000000100100000000100010000000000000100000000000001000001000010000100101000000100010001000000000000000000010001000000000000000000000001000100010100000000001000000001000100000010001010000001000000010000010000000000000010001000010101000000000110000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110011111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001101000000010000000000000000000000111111001000110100100000001110000000000000011100000000010000001100010000110100000000011101000000010010000100000000001000111100000000110100100000011110000000010000010000000110110000001100100000110000100111111011001001000010000110000110001000001100000000110100100110000110001111001001010010000111000100000000010000000000101001111011000111000010010010000000000000000000010000000000000000100110000000001101000000000000000100000000000000000100100100000010000000000000000000000000000000000000000000000000000000110010000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100100110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000101001000011000000000000000000100100000001000000000000000000000000000000000000000000000001000000000001000000000001000001100000000000001000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101001010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000010000000000001000000000000000000000001001000010000000110000000010100000000000001000100000000000000100010010000010000000011000000000000100000000000000001000001000000010000000110000001000100000001000001000000000010000000000000010000000001001001000000010000000000010000000001001000000000000000000100100010000000010000000001000000000000000000000000000000000010000001000000000000000001000000000000000000000010000000000000010000000100000000000000000000000000010000000000000000010000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000001000000000000100000000000000000000000000100000001000000000000100100000000100000000000000100000000000000000000000000000000000001000000100000100000000010000000000100001000010001000000001000000010001000100000000010010001000000000000010000000100000000000000000000000001000010000101000010000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000010000000111100000000011000100111111110001111000000000000000110011000111101101001111000000101111110001111000000000000000110000000100100100001110000000111111110001100000011000100000110011000001101100001111110001111101101001111000000100000000110011100001000100001001000000110010110000001000000000100000000001000000001110010011001100100100110001001000000000000000000001000000000100001100000000100110010001100000000001100000000001000000000100010011101100000001101001100000000000000000000000000000000000000000000000000000100000011000000001101000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000010000100000001001000000000000000000000000011000000010001000000000000100001010000000000000000000000000000000000000000000000000100000001000000000010000000000000000010011000001001010001000100100000010010000000100000000000001000000000000001000000001000000000001000000000000000000000000000000000101001000000000000101010100000000000000000000000000000000000000000100000000010000000000100000000000001000000000000000000000100000000000000000000000010000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010110111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000001000101100010000000000000010000000000100000000000010000000000000101100100000000000000010000000000100000000000010000000001000101101000000000010000010000000000000000000000101010000001000000000100000001100000000000000011000000000000010000000100010100000000000000000000000000000000000000000000000000000100000000001000000000000000000000001000000010000001000000000000001000001000000000000000000000000000000010000000000000110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011110011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000100100000000010000000100100000001010000000000000000100010000100100000001010000000010010000001010000000000000000100000000100000001001100000000100100000000001000001000000000100010000000001000000000000000000001000010000000000000000010001010000000001000000000000000000000010000000000000001000000000010000000001000000000010100000000000000000000000000000000000000000000000000000000000000000010100000000000000001000000000010000000000000000000000010000001000000000000000000000000000000000000000000000000000000000000000010000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000011111111111111110000000000000000111111111111111100000000001011111111111111110000000000000000111111111111111100000000000011111110101010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101001101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000001111111111111100000000000000000011111111111111000000000000001111111111111100000000000000000011111111111111000000000000011111111111111110010000000010100000000001111111100000000000000000000000000000010100000010100000000000000000000101000000000000000111111110010000000000000000000000000000000101000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000111110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000001111111111111100000000000000000011111111111111000000000000001111111111111100000000000000000011111110000000000000000000011111111000000000001000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000011111111000000000001000000000000000000001111111100000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111110000000000000000000010111111000000000000000000000000010101010000000000000000000000000000101010100000000000000000010101011010101000000000000000000000000000000000000000000000000000001010101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000001100110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000100000000000010001110000000000000000001100000000000000000000000000000000010111000011001110000000000000000000000000000000100000000000000110000101100000100000000000000000000000000000000000000000001000000100100000100000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000110000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000010000000000100000000000000000000000000000000010000000000000010000000100000000100000000000000000000000000000000000000000000000000100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000010000000000000000001000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100000110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111101001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000011100011111001000010000110110000000010000000000000000000000111100000011111000101100000000000000000000000000000000000000001000000000011000011100000100000000000000000000000111000000000000001110110000000011001011001111000000001000000111001001000100011000011000010011111011101111000000001000000111001001001000011000111000001010100011001111000000000000000000000000000000000001001000001100000111100000000000000000000000000000000000000001111011001100000001100000000000000000000000000000111100000000000001001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101010000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000010000000000000000000000100000010001010101000100000000000000000000000000000000000000000000000000000000100100000000000000000000000000001000000000000000010001000000000000100000000110000000000001001000000000000000000000000000001010101100000100000000000001001000000000000010000101000000000011000100000100000000000000000000000000000000000000000000100000000110000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000110000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010110010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000110100000000010000000100000010100000000000000000000101000000000100000100000000000000000000000000000000000000000000000000000000011000000000010000000000000000000000000000000000000000011000000000000000100001001000000000000000000001001000010010000000000000000100000000001000000000000000000010001000000001000000110000000000000010001000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000100100000000000100000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011111010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000010000010000000110000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000000000000000010000101000000000000010001001000000000000000000000000000000000000000000010000000000101000000000000000000000000000000000000000010000001000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011110110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000001111000000000000000000000000000000000010010000110000100111110000001100011000001101001110000000100100000000011011000001011001000000000010101101000100000000100000100000000001000000000100000000001000001100000000001000000001100000000011100001000010001100001000001101000011001000000000110000000001000001000000000001000000001100000010011000000001100000000100100000101101100100000000011111000010011000001000010000110111101111100000001111000000000000000111001010001100000000110101100101101101101010000000000000000110001010001100000001111101110000110010011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001111110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000100100000000000000000101000000000000000000000000000010000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000001100000000000000000000010000000001000000000000001000000000000000001000000100000000000000001000000000010000000001000000000000000000000000000000000000010000000001000000000000000000001001000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000100000000011000000010000000000010000100100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000001100001000001000000000000000001000000000010000000000000000000000001000000000000000000000010000000000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000001000000000000000010000000100100000000000100000000000000010000000000010000000010000000110000000000000101000000000000000001000000000000000000100000110000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000101111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000100100000001000000000100000000000001000100100000000000010000010000010000000000000000000000000001000000000000000000010000000000010000000000001000000000000001000000000010000000001000000000010000010000010000001000000001000000100010000000001000000000000000000000000000000000000001000000100010000000001000000000001000001000000100000000000000100000000010000010000100000100011001000000000110000000000000000010000000000010000000000100000010011010000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010010111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000010000000000010010000010000000000000000100000001000100000000010100000000010100011100001100100100000001011000000000000000101001000100010100011001000011100000000000010000000000100001000110000010010100000000000100000000000000110000000100100011110100000100000000000000000100000000000000100000000000100011100010001100010110000010000000010100000000010000000000000100110000000000000110001011000000001000001100110000110100100001101111000000000000011111000000111110001100100000110000000000011110000000000000010010000000111100001100000001111000000000011110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011001011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000001000010010000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000010001000000000000000001000000000000000000000000000000000000000000010000000000000000000000000010000000000100000000010000000000000000110000000001100000000000000000000000000000101000000000000000100000000000010011000000001000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010110011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000010000000001000000000001001000000000000000000010000000000010010000000001000000000001000011000000010010000000000000000000000000000000000000000001000001000000000010000000000000000000000010000110000000000011000000000000000000000000010010000000010001000011000000000000000000000000000000000000010000000000000011010000001000010001000100000000000000000000000000000000000000001001000000000000000000001000000000000010000001010000010000010000000000000000000001000000000010000010000000110000000000000001000100000000000001000000000000110000000000000010000000000001000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011110011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000001000000000000001000001000000010000000000000000000000000000000000000010000000000000000000100000000000000000000000000001001000000000000001000000000000000001000000000000000000000000000000000000000000000000000100000000001000000000100000000000001000000000000000000100000000000000000010010000000000100000000010000100000000000000000000000000000000010000000000100000000000000000000000000000000000000000000010000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010100000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000011000000000000000000000000000000000000000000000010010000000000000011001101000000000100000100000001110000001100000001111111110011001100000110101110000001100000010000000000000000100001000000000100000100000100000001101000000000000001101000000011000000000100000011001000100101011000011000000000000000000001000000001100000010000000011000110000011000000011111000000001100000010010000011100100100100000001100000000011111000010001100001111111010011001000111100100001111000000000100000110000000001110110001111001000111101100001111000000010110001111010000000100100001111100100111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000011000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000010000011000101000001000000000000000000001000001000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000010000000000000001000100000000000000000000000000000000000001000001000000000011010100000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000100000010010000000000000010000000000000000000010001000000000100000001010000000000000010010000001000010000010001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000110110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100000000000000000000000000000100000000000000000100010000000000000010001010000000000000000000000000000000000000001000000000000010000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000010000001000000000010000000000000001000000000001000000000000000010000100000000100001001000000000100000000000000010000000000010001000000010000000001000000100101000000000101000100000000000000000000010010000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011011101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000010000000000000000001000000000100001000000000000101000001000000001010000000000001000000000001000000001000000000000000000000000001000000000001000000000000000000001000000000000000001000000000010000000001000000000000000001010000010000000000000000000000010000000001000000000000000000000000010000000000000100000000000010000000100010000001000001010000000001000000001100000100011000010001100000000000000001000000010001000000000000000100000000010000100001010000010100100000100000000000000000001010000000000000000001010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011101010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111000000000000011111111011101110000000000000000000000001111110100000000000011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000000000001000000000101010100000000000000000010101011010101000000000000000000000101010100000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111000010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111111111100000000000011111111111111110000000000000000000000001111111100000000000011111111000000000000000000000000000000000000000000000000000011111111111111110000000000010100000000001111111100100000000011111111111111110010000000000100111111111111111100100000000000000000111111110010000000010100000000000000000000101000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110000101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001111111100000000000010000000100011110000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000011111111000000000000000000000000111111110000000000010000000011111111000000000001000000001000000000000000000000010000000011111111000000000001000000000000111111111111111100000000000011111111111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010001001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110100000000000000000000110011110000000000000000000000000000000000000000000000000000111111100000000000000000000000000000000000000000000011101111000000000000000000000000010101010000000000000000000011101111000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101011010101000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101010111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000100000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000010001000000000000000000001100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001010000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111011011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001100100011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010100111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000011010001000110000111000010000000000011011100001000000000000000000011000011000110100000000000000011011100001000000000000111010100111111100111000110000000000000000000000000000000000000000000001001000111100000110000000000000000000000000000000000100010001001000111111001000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000001111100110000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000001001000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100110100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000100000000000000000000010011000000000000000000000000000000000010000000000000000000010011000000000000000000000100100000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111000011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000001000100000000000000010100000000000000000000000000100000111010000000000000000000010101001100000000000000100000000100000010000110000000000000000000000000000000000000000000000100000001000000001000000000000000000000000000000000000000100100100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010001001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010011001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000001100000000000000000001001000000100000000000000000010000000000000000000000000000001001000100100000000000000000000001000100001000000000000000000000000000000000000000000000000000001001100000000000100000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000011000000011000000000110111100011100000001110000000000000001101011000111100000000110100100101100000001110000010000000000110000000111101111100110111100000101101001011000000010010000110011000000001100000000011000001110010000110000000000000000110111100000000000010111011000101100000001111000000001101000011011010011000110000110111100011110010000110000000001100001110011000111101001001111111100001100000000111000000000100001111011100111111111000110111100000100000001111000000001101100010111100011000000000110111100001100000001110000000000000001111000000111101101000110110100001110010001110000000010010001110000000111100000000110111110100100000001110000000000000000000000000000001001000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111011011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000001000000000000000000010100000000000000000000000000100000001001000000000000000000000000000000000000000000000000000100000001000000000000000001000000000000010000000000001000000000001000000000001000000101000000000000000000000000000000000101101100000000000010100001000000100000001000000000000000100000001001000000000000000000010100000000000000000000000001000000101001000000000010000011000010000000010000000000000000001000000011000000010000000000010000000000001010000000000000000000101001000000000000000101000000000000000000000000000000001000000001000000000000000001100000000100000000000000001000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110010100000010010000000000000001000000000100000000010000000110110100000001001000000100000000001000000100000001010000000110000000000001001000000001000010000000000000000000000000000000010001000010000000000000000000000010000000000000000000000000001000000000010000000000000000000000000000000001110000000110000100100000000000000000000000101000000100000000000100010000000100000010000000000000000110100000010100001000010000000110000000000001000000000000000000000000100000000000010000000110010100000010100000000000000000101000000100000000010000010000000101000000101000000001000000011000000100000000010000000110100000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100000000100000010000000000000100000000000000000001010000100100000000100000000000000000000001000000000000000000000000100100000000100010001000001000000000000000000000000100010000000001000000000000000010000100000100000000000000000000000000000000000000000010000010000000001010000000001000010000000000000100000000100000011000000100100100000000001000000001000000100100000001010010000000000000000100000000000000000010010000100100100000100000011000000000010000000000000000000100001000000100000000100000000000000000000010000000000000001000000000100101000000100000000100000000100000000000000000000001000000100100000000100000000100000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000111110000000110100100000001100000000000000000000000000000000111110000000110100100000000000000000010010010000000011100100111100010000110000100011001100000010010010000000000000100100000010000000000101100001110010000110000010001110000000000101111100010011111000000111100000000110001001010000000000100000111100100000110101100000011110000000000110000100100000011100111101101001111101100101111110000000000000010010000000100101111100010000110100100000001110000000000000000000000000000000111110010000110100100000011110000000011111000000000000100100111100000000110100100000000000000000000000000000000000000100111100000000110100100000000000000000000000000000000000100100000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000010000000000100100000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111100101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000010000000000000000100000001000000000000000000000000000000000000000000000000000011000000000000000000000001000000000000100100000010010000001000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000010100000000001000000000010000001000000000000000000000000000000100100000000000000000000000001000000000000000000000000000000100001000000000000000000000001000000000000100000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111011110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000001001000010000000110000000000100000000000000000000000000000001001000010000000110000000000000000001001001000000001000110000100000010000000000000000000100000000100000000000000000100000001000000000001100000000100010000000010000000000000000000001000010000100000001100000000010000000000001000000000010000001000000010000001110000000100100000000000000000000000000000001000000000010001110000000100100000000000001000000000000100001000000010000000100000000000100000000000000000000000000000001001000010000000110000001000100000000100000000000000000100001000000010000000110000000000000000000000000000000000000000001000000010000000110000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000001000010100000100001000010000000000100000100000000000000001000000000000000000001000000000100000100000000001100000000000100000000000000000000000001000000000100000000000000000000000000100100001000100000000000000100000000000101000000000000100010100101000001010000000000110100000000000000000100000000001000000000100000100001000000000100000000000000000000000000000000100100000000100000000000000100000000000100000000000000001000100100000000100000000000000000000000000000000000000000100000100100000000100000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100001100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000000011000000111111110001111000000000000000110000000111101101101111100100001111110000110000000000000001111011000100100000001111100100111111110001100000011001101000000011010000001101000110100000111100000001111000011000000000000011011011001100001111100000111101111000111000000001101100010000000111101100000011100000111111110001111000000011111000110000000001100010001110100100111111111011111000000001111100110001000100110110000111100100011111110001100000000000000000010000000111100000000011100100111111110011111000000000000000110000000111110010001110000000001111110000111000000000000000110000000100100000000111000000001111110001100000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000100000001001000000000000000000000000001000000000001000000000000000001000000000000000000010000000010000000000001000000101000000001000010000010000000000000001000000000010000101000000100000000010000000010000000000000001000100000001001000000001000000010001100000000000000000000000001000000001001000000000100000001001000000000000010000000000000000000000000010000000100000010100010000000000000000000000000000100100001000000000100000001000000000000000000000000000001000000000001000000000100000001100010000000000000000000000001000000000000000000000000000001010000000000000000000000000000000000000001000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101011001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000101100010000000000000010000000000100000000000010000100010000101110000000000000000000100000000100000000000010010000001000101101000000000000000000000000000000000000000000010000001100000000101000000000000000000000000000000000000010010001100000000000000000000000000000000000000100000000000000010000001000101100010000000000101010000000000000100001001000000100001000101001000000000000001010000000000001001000110000010000010000101000010000000000000000000000000100000000000000010000001000101101000000000000000010000000000100001000000100000000010000101110000000000000000010000000000100000000010000000000010000101101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001010111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000010000000100100000001010000000000000000100000000100100000001010001000010000000000100000000000000001010000010100000000001010000000100100000000000000001001000000000000000000000000000000000001000000000100000000001000000000000000000000001000010001000000001000100010000000000000000000100000000100101000000010000000100100000001010000000000000000100000001000000000000100001000100100000000000000000000000000100010000000000000000010001000000101000001000000000000000000100000000100100000000010001000100100000000000000000000000000100000000100100100000101000000010000000010000000000000000000100000000100000000000010000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101110011100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000001111111110111101000000000000001011110110011111110000000000010101010010101010000000000000000101010100101010100000000000010101010010101010000000000000000001010100101010000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011010110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000011111110000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010110000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111111110111000000000000000000000111111111000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000010101010010101010000000000000000101010100101010100000000000010101010010101010000000000000000001010100000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000110000000000000000100000000110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110110101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000100000000000000000010000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001001000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111000100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100100000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010111101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011010010001111100100111100000001111100100111110010001111000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111000000000000000000000000100100000000000000000000000000000000000000000000000000000000011000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001001000000011000000010010000000000000000000000000000000000000000000000100100000010010000000000000001101100000000000000001101100000000000000010010000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000010010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000100000000010000000001000000000001000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000101000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010001001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000101000100000100001000000000100000110100000101000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010000011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000001010000100100100000001010000000100100000001010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100101110011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001111111100111111110001111111100111111110001111000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000010010000000010010010000000000000000000000000000000100100000010000000000000010010010000000011100000000000000000100100000010010000000010010001101000000001000000011011000000000000000010000000000011110011111000000000000000000000000000000100000001101000000010010010000000000100100000010010000000111100000010010000000010010000000000000000000000000000000000000000000010010000000010010011110000000100100000010010000000000000000010010000000010010000000000000000000000010010000000100100000010010000000010010010010000000100100000000000000000000000000010010000000000000001100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010101000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000010100000001001000000010100000001010000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100010011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000100100100001010100000010000110001000101100100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000100001000000000000000000000000000000010000000001000000000000010001000000000100000000000000000000010000000001000000000001000000000000000000000000000100000000000000000001000000000000100001000000000000000000000000000000000000000000000000000001000001000000000000100000000100000000000100000000100000000001000000000000000000000000000000000000000000000001000000000001000011000000000000100000000100000000000000000001000000000000100000000000000000000000001000000000010000000000100000000001000001000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010111000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000100100100010001010000010100100000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000000100000000000000000000000000000000000001000000000000000000000000000100000000001000000000000000000001000000000100000000000000001000000000010000000000100000000000000000000000000000001100001000000000000000000000000000000100000000001000000000000100000000000000001000000000100000000011000000000100000000000100000000000000000000000000000000000000000000000100000000000100000100000000000100000000100000000000000000000100000000000100000000000000000000000000100000000001000000000100000000000000000000000000001000000000000000000000000000000100000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000011101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001111000000111100000001111011000100100000000000000000000000000000000000000000000000000000000111100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000111100000000000000000100100000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000011000000001100000000100000000001100000000000000000000000000000000000000000000000100100000000000000000000000001101100000011000000000000000000000000000000000000000000000000000000000100100000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111011011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000001000000010000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100010000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000001000000000010000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001010000000100100000001010000010100000000000000000000000000000000000000000000000000000000000100100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000101011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000101111101110000000000000000000000000000000000000000000000000000000000000000100000001111111111000001010000000000000000000000000000000000000000000000000000000000000011101100000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000001000110111000000010100011110000000000000000011000000000000000010000000000000100000000000011110000000111110001100101000110000000000101000011110000000001000000011000000100000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000011001111111111000000000000000000000000000000000000000000000000000000000000000000001110110011111101000000000000000000000000000000000000000000000000000000000000000000111111100000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100101011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000110000000110000000000000000000000000000000000000000000000000000011000000000110000100000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011110011110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100011100111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000001000100001000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100100101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001010001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001000000110000000000000000000000000000000000000000000000000111100000000000000010000000000000000000000000000011001001000110000000000000000010000000000000000000000101100011101111000110000110110000000000000000000000000000000100100000100110100111000110000000000000000000000000000000000011001010000000000111100000000100000000000000000000000000000001000000000000000110000000000000000000000000000000000000000000000010000000001111100000110000000000000000000000000000000100100000001001001001100000000000000000000000000000000000000000000000001001000101100000000000000000000001000000000001111001000000000110100111111000000000000000000001000000000010111011010001000111100111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101111011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000100000000000000000000000010000000000000000000000000000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000001000000010000000000000100100110000000000000000000001000000010000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000010000001000000000000000001100000000000000000000001001000101010000100001010001000000001100000000000000000000010000000001000000010001010000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000000000000100000000100000000001000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000010101000000000001000001001000000000000000000000000000000000010000100000000001000100010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101101001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000010000000100000000000000000000000000000000000000000100000011000010000100000000010000000000000000000000000000000000000001000000000010100000000000000000000000000000000000000010000000000000010001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100000000000010000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000110111100111100000001110000000000000001101000000111100010000110111110101100010000111011000000000001110100100111100000000110111100000100100001011000111001101100011011000001011111100000011000000110010000000011000001101000011011010011010010000110111100001100000001111000001001101001110011000111100100000110111100101110010001110000000011111100111100100111100000000110111100000100000001111000000001101100010011000011001101100110111100101100000001111000000010010000111000000111100000001111111100011100000000111000000000000000110100100111100000000110011010000110010001111000000000000001100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110101011011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000011000000000000000000000000000100000000001000000000000000001000000000000010000000000000000000000000101000000000000000001000000000000010000000000000000010000101000000000000000000101000000000000000000000000000000001000001001000000000000101001000001000000100000010000000000000001000011000000010000000000010000000000000000000000001000001100000101000000000000000001000000000000010000000000000000000000000011000000000000000101000000000000100000000000001000010000000001000000000010000101001010000000001000000000000000000000010001000000000000000001000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000000110001100000010010000000000000001000000000100000000010000000110001100000000000000100000000000010010000100000000010000000110000010000001001000000000000000000000000000000100000000000000010001000000000001010000000000000000000000000101000000000110000000000010010000000000000001000000000100000000010000000110011101000000101000000001000000001010000100000000010000000110000000000001001000000000000000001000000000000000010000000110001100000000010000000001000010000000000100000000000100000110000000000000001000000000000010001010000100000000010000000000000001000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000111011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000011000000000000100000000000000000001000000100100100000100000000100000010100010000000100000100100000000100100000000100010001000000000000000001000000000010000000000010000010000000000000000000100000000000000001000000010000000000100000000000010001000000000010000000000001000000001010000100100000000100000010000000100100000000000000000000000000000100100000000100010001000000000000001000000000000000000000010000100000000100000001000000000110000000000000000000010000000100100000001010000000000100000100000000000000000000000000000100100000000100000001000000100000010000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010001010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000111110010000110100100000011100000000010000010000000000100100111110000000110100100000001100000000010010000010000100100100111110010000110100100100111110001100010110000010000010100100000011110000000000100011000000000010000010000010001100101100111110010001111101100000101110001000010010010010000000100100111110010000110100100011000000000011010010010010000000100100111101111000110100100000011100000000010010000000000000100100011110010000110100100000001100000000011111011110000000111100111100000001111100100000001100000000000000000000000000100100111100000000110100100000001101000000000000000000000000000000000000000000000000000000100010000000000000000000000000100100000001111000000100100001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010010000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001101001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000010000000000000000000000000001000000000100000010000000000000000000100000010000000100000000001000000000000000001000000000000000000000000000000100000100000000000000100000000100000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000010000000000000000000000001000000000000100001000000010010100000000001000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010100001100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001001001010000000110000001000100000001000001000000000000110001001000010000000110000000000100000001000000010000000000100001000100010000000110001001000111000001000000010000001000100000011000000000000001000000000000001000010000000001000000110001001000000010000110000000000100010000100001000000000010000001001000010000000110000100000000000000100000100000000010000001000000010000000110000001000100000000100000000000000000101000001000010000000100000000000100000000100000100100000010000001000000000010000110000000000100000000000000000000000010000001000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010011011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000100000000001000001000100100010000100000000001000010000000000100000000000000001000000000100000000000000000000000000000000000000000000000000010100100100001010000010001000010000000000100000100000000001000100100000000100000000000000000010000000100000100000000001000100101010000100000000000000000000000000100000000000000001001000000100000100001000000000000000000000100000100000000000010100100000001010000000000000000000000000000000000000000001000100100000000100000000000001000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110001111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000000011000000111111110001111000000000000001111011000111100000001110100100111111110001110000000000000000110011000100100000001110000100101111110001100000001100010000011011000000101101000010100000000000100100100000000010010000011011000111101101000011001100111111110000110000000000000011111011000001101101001110100100011111110001110000000000000001111000000100111111001111100100011111110001100000000010010000010000000111100000000011100100111111110011111000000010010000110100100111110010001110100100101111110011111000000010010000110000000100110010000110100101011111110001100000000000000000000000000000000000001100000000000100000000000000000001101100000000000000000000000010000000000100000000000000000000000000000000000000000000000011000100000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001100110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000100000001001000000000000000010000000011000000000000000000001000000001000010000000000000000000000010000000000000000000000000000001000010000001000000001000000010000000000000001000000000000000000000000000000000010000000011000000000001000000000100000001000101000000000000100010000010000000010000000000001000000001000000000000000000001000000000000001010001000000000100000001000010000000000100000000000001000000000001000000000100000001100000000000000000000000000001000000000000000000000000000001100000000000000000000000000000000000100000000000000000010001000010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001111000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000101100010000000000000000100000000100000000000010010000001000101101000000000000000010000000000100000000000010000000001000101101000000000000000000001100000000000000000000010000000000000010000000000000101000000000000100000000000000100000001000101100000000000000000001000000000000100000000010010000010000101000010000000000000000011000000100001000000010000100010000101101000000000001000000000000000100000000000000010000001000101100100000000000101010000000100100001000000010010000001000101100100000000001000010000000000100001000010000010010010000101101000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011100001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000010000000100100000001010000000000000001010000010100100000001100001000100100000000100000000000000000100010000100000000001100100000110000000000000000010000010000000000000100001000000000000000000000000000000000000000000000010010000100101000000010000100100100000000000000000000000000000000011000000000001100001000000101000001100000000000000100000000000100000000001010001000000100000000000000000000000000100000000100100000000010001000100100000000001000000000000000100001000100100100001100001000110000000001000000000000100000100000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011111111110111101000000000000001011110110011111110000000000011111110111111010000000000000000000000001111111100000000000011111111110111101000000000000001011110111101111010000000000011101110110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000001000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110100010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000011111110000000000011111111111111110000000000000000000000001111111100000000000011111111001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000010100000000000000000000000000000000000000011111111101010100010000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111001111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000010000000001011011000000000000001101101000010110110000000000011111111000000000000000000000000000000000000000100000000000010000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111101010100000000000000000111111110000000000000000000000000000000000000001000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111110011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011111111000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000110000110000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000110100111000001100000000000000000000000000000000000000000000110111100000000000000001100000000000100000100000001100000000000000000110000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011001100010100100000000000000000000000000000000000000100000000000100000100000000000000000000000000000000000000001111000000100000100000000000000000000000000000000000000000001111000000000000100001000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110000001110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010010000000000000000000000000000000000000000000000000010000000000000001100000000000000000010000000010000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000101010000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000010000001000000100000000000000000000000000000000000000000000010000100100000000000000000000000000000010000000000000000000000000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100010000000000000000000000000000000000000010000000000000000000000100000000000000000000000000000000000010010000000000100000000000000000000000000000000000000000000010001000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000001000000000000000100001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100101110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000110001000000000000000000000010101100000000000000000100100001001111000110000000000000000000000000000000000000000010001010101111000111100110100100000000000000000000000000000001100011110011000111100110111100000010000000000000000000000101000011001011000111100010001100000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000001111100010000000000000000000000000000000000000000000000000010000000001100000000000000000000001000000010010111011001001001111100110001110010100100000000001000000111001011001000011001011000101110100001000111000000001000000111011111011001011001111010110000100110101111000000001000000111001011001000011000010000111100000001001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101111110111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000001001000000000000000000010000000001010100000000000000000000000000000000000000000000000000000001000010000000000010000000000000000000000000000000000000010000100000100110000010000000000000000000000000000000000000000000000010000000000000100000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000010000000001000000000000000000000000000001001000010000000000001000000000010010001000000000000000000001001000010001000010000000000100100001000000010000000000000001001000010000000000000000000000000000001001000001000000000001001000010001000010000000000100100100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011010011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000100000000000000000000000000000000000000000000000100000000100000001000000000000000010100000000000000000000000000000100100000010001010001000000010100000000000000000000000010000110000010010001000101000000000000000000000000000000000101001000001010100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001100000001010000000010000000000000000000000010000000000100100010000001100000100010000000000000000000000001010000000010000100000100000000010001010000000000000000000010000000000100100000001001000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011000100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000101000000000000000000000000010000000010000000000000000000000000000000000000000000000000100000110000101000000000000000000000000000000000000000000011000000000000000100000000100000000100000000000000000000000000000010010000101000000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000001000000000001000100000000000000000000000000000000000000000001000000010000000001000000000000000000000000000010000010001100000100000100000000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101001100111111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000011000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000011000000000010000000000000000000000000000000011000000000000000000000001100001100000000100110110001100011000000000001100011000000101101000000111100000000010000100001000000000001100011000100101100001100000000000110010000001001000000100000110000000001101100000010011010001010000000110011000001100000100011010000011111100011111100001010010010011000000011111100000011000000001101000110111100111110010000111000000000000001110011010111111111100110111100001110010001101000000000000001110011000001100000000110111100100000000001111000011010010001100000000000000010000000000000000000000000100000000000010010011000000000000000000000001100011000010000100000010001110000001000000000000100000000000101011000010000001000000000000000001000000000000000000000000000010000010000011000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110100001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000001000000000000000000010000000000000000000000000000010010000010000000010010000000000000010000000000000000000101000000000001000010000000000000000100000000001000010000101000000000000001000000001000000000000000000000101000001000000001000000000000010000000110000000000001000000000001000000000001000000000010000000000111000000000010000000000000000000000001001000000000000000101000000000000010000000000000000000000101000000000000000000000010000000000100001010000001000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000010100000000001000000001001000000000000000000000000000000100000000001000000000000000001000000000000000000000000000001000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010000100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000100000000001001001001000001010000000010010100000000000000000000110000000000010000000010000000000000100000000110010000000100000000000001000000000000000000000000000000000000110000000000000000000101000010001000000000000010000000000000000100000001010000000001000000000000000001000000000000000000000000010000010000001101000000001000000000000001000000000100001000010000000110010101000000100000000000000010101000000000100000010000000110001000000010100000000001000000010000000000000000000000000000000000000010000000000000000000000000000000000000000000100010000100000000000000000000000000000000000000010000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100011101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000001000000001000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000001000100000000000000000000000000000001000000000000100000000000000000000000000000001000000000000000000000010000000010000010000100000000000000000000000000010000000000000000100000010001000100100000000000000000000101000000100100100000100000000000000100001000000000000000000000000001000000000000100000010000000000000000001000000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100000000000001100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111011100011000111111111111111111111111111111111111111111111111 +111100000000000000000010000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000010010000000000010000000000010010001100100100001010010000010010010010010000100000000100100010000100100100000110010001100000100011110000100000000001011110000010100000000110010001100010010010110001100100100111100000001111001100000110110001100010010010010001100100100011011110000010100100100110000000100010000000010000000000000111100010000110100100000011110000000010010010010000000000000111100000000110100100000011110000000000000010010000000000000111100000000110100100000001100000000000000000000000011000000000010010000000000101111100000000010000010000010000000000100000010010000000001100011100110000000000000000010000010000000000001101100000000001011100010010011000000000000000000000000000000000000000000000101100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100011010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000001000100000000001000001000000000000000000000000000000000000010000000000000000010000001010000000000000000000000000000000000000010000000100000001000000000000010000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000001001000000000000000000000000000000100000000000000000000000000001000000000000000000100001000000000000100000000010000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110100101101111111111111111111111111111111111111111111111111 +111100000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000001000000000000100000010010000000001000000000000100000100010000000000001000000000000010010010000100010010010000010100000000000000000011000000001010000000001000000010001000000100000100000100001100000000100000000000000100100100001000001000000010010000000110100000000010000100001000000000001000000001000000000000001000010010000000110000001000100000001000001000000000000000001000000010000000110000001000100000000000000100000000000000001000000010000000110000000000100000000000000000000000000000000001000000000000000110000000000000000001000000000000000010000000101000000000001010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011110001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000100100000001000010000010010000000100000100000000000001000000010000000000000000000100000000000000000100000000000000000000100000000000001000000100001000000100000100001000001001000000000000100100010001000100100000000100000100000000001000000000100000100001000000000000100000000000000000000000000000100100000000100000000000000100000000000100000100000000000000100100000000100000000000000000000000000000000100000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000010000000000000000000000000000010100000000010000000000000000100010000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000110001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100100000000000100100000100100000100000010110010000100000000000001100001100100100000100000000000000001000000000100000000100101100101100000100011010000001100000000000100000110000000001000000001111100100101100100000011000000001101000100011010011001101001100000101001001100000010000000000000000000000000111111111100000100100111101100001111000000010010000110011000111101101101111100100111111110001111000000000000000110011010100100000001111000000111111110001100000000000000000000000100000010010000100000000000100000001011000000000100000000000000000000000010011001100100100000010011000000010010000000000000000010010000011000000011011111000010000000000100000000000000000000000000001000000010000000010011000000001100000000000000000000000000000100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110110001101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000001001000000000000000000000001000000000000000000000000000000000000000000000000000000100001000000010000100000000000000000000000000000101000000000000000010000000000000100000001000000000000010000000001000100000010000100000000000000001000000000000000000000000000001000000100000000000000100000001001000000000001000000000101001000000000001000010000100000001010000000000000000000000001000000000000001000000000100000101000000000000000000000000010000000001000000010000000000100000001000000000000000000000000000000000000001000000000000100000001000000000001000000000000000000001000001000000001000000000000000000000000000000000000000000000000010000000000100000000100000000000000001000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100110100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010010000000000010000000010101000000000000000000000000010010000100000000000000000000000000000010000000000000000000000100000000000101000001000000000000000100000000000000100000000010010000000000000000000000000000000010000000000000000000000010000000000000000000001000000000000000000000000100001000000000010000001000000100010000000001000010000000000100000000000010010000001000101100100000000000000010000000000100000000000010000000001001000100010000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000100000100000000000000000000001000000000000000000001000000000000001000000100000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111100001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000000100000000001000000000001000000000001001000000000000000000001000000000000000000000010000000001000000000000000000001000000000000000000000000000000000100010001000010011000000100000000000000000000000000010000000000000100000000001000000000000000000000000000000000100100000000000001000100100000001010000000000000000100000000100100000001010000000100100000010001000000000000000100000000100000000001010000000100100000001000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000000000001100000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101001000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111110000000000000000000011111111000000000000000000000000111011111111111100000000000011111111111101110000000000000000011111111111110100000000000011111111000000000000000000000000111100001111111000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000011111111000000000000000000000000000000000000000000000000001000000000111111110000000000000000000000001111111100000000000011111110111111110000000000000000111111111111111100000000000000000000111111110000000000000000111111111111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010110010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111110000000000000000000011111111000000000000000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000011111111000000000000000000000000111110001111111100000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000001111111000000000010100000010100000000001111111100000000000011111111101010100010000000010100000000001010101000100000000011111111101010100010000000000100010101011010101000100000000011111111101010100010000000000100010101011010101000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101100100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000111111111111111100000000000010000000000000010000000000000000111111110000000000000000000011111111111100010000000000000000000000000000000100000000000010000000111111110000000000000000100011110000000000000000000010000000111011100000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111101010100000000000000000010101011111111100000000000011111111000000000001000000000000010101010000000000010000000011111111000000000001000000001000000000000000000000010000000011111111000000000001000000001000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011111100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000101110111111011100000000000000000000000000000000000000000000111111100000000000000000000011111110111100000000000000000000000000000000000000000000000000000000111111010000000000000000000011110000000000000000000000000000111111110000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111110000000000000000111111110000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110111100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001111000110101100010100000000000000000000000000000000000000000000000110000011000000100000000000000000000000000000000000000000000010000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010001100000000000000000000000000000000000000000000000000000000000000000111000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001110110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010001000001000100010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101001101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100010000001111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000110000110000000000000000000000000000000000000000000001001001001100000000000000000000000000000000000000011000011001001000010000010000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000001011001011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000111001111001000011000011000110000000000001111000000000000000000000000111100110111000000110011000000000000000000000000000000000000000000001101001000110010110001100000000000000000000000000000000000000001001000111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000111100000100000000000000000000000000000000000000001000011000001000111000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110100010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000001000000010000000000100000000000000010000000000000000000000000000100000100000000000000001000000000000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001001000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000001000100000000000100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000001000000101001000000000010010000000000000000000000000001000000100100000001000000000000000000000000000000000000000000000100000100000001001000000000000000000000000000000000000000000000000100000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010010110101111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000010000000010010000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000000000000000000001000000000000000000000000000110000000000000000100001000000000000000000000000000000000000000000000100010000100010000001000000000000000000000000000000000000000000000001100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000010000000000010000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111000010000111111111111111111111111111111111111111111111111 +111110000000000011000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000011010010000110111100001100000001111000000000000001110100100111100010000110111100111110000000111011011001100001111011000111100000000110111100000100000001010000000000000010011111100011001101000110100100111110010001110000000001001001110011000111100000000110111100001100000000111000000011110101111011000111101101000110111110100110010001111000000001101000000011001011000000000100011000100110010000010000000001101100000011000000000000000000000000000000000000000000000000000000000011000000001101000000000000000000000000000000000000010000010000000000000000000000000100011000000000100001111101111100010001110001000100001111001110101101011010111000111001100001011000000001100010000110111100111110010000111000000010110000111111110001100000000110101100000100010000111000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000110011000011100000000011000000000000001110000000111100000000110111100101100010001110000000000000001110000000111101101100110111100011110000000111000000000000000111100000111100000001111111100011110010001111000000000000000111000000111100000000110111100111110010000111000000000000011111000000111100000000110111100000110010011111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000111110000111101111111111111111111111111111111111111111111111111 +111100000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000100000000000010000000000010000000000000000000000000101000000000000000000011000000000001000011000000001010000101001000000000000000001100000000000000000000000000000100000001101000000010000000000000100000000000000000000000000000000001001000000000000000000010000000000010000000000001001010000101001000000010000000001000000000000100000000000000010000000001000000010000000000101000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000010010000000000000100000100100000000000001001001000000011000000000000000011010000100010000000000000100010000001000000000000000000000000000000000001001000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000000100000000000000000000100000001000000000000000000010000000000000100000000000000000000000001000000000000000000010101000000100000000000000000010001000001000000000010000000010111000000001000000000000000010001000001000000000000000000010000010000010001000000000000010100000001000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111011110111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000110010100000010100000000000000001000000100100000000010000000110001101000000000010100000000001000000000100000000010000010000000000000001000000000000000000000000100000000000010000000110110101000000010000000000000001000000000100000000010000000110010100000000001000000001000000010000000100000000010000000110100000100000101000000000000000000000000000000000000000000000001000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000000001000000000000000000010000000000000100000010100100000000000000000100000100100000000000000001010000000111100001000010001000000001000100000010000010000000010000000110000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000100000000100000000010000000110000000001000101000000000000001000000000100000000010000000110000001000000001000000000000000000010000100000000000100000110000000101001000000000000000000000000000100000000010000000110010100100010000000000000000001000000000100000000010000000110000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001111110111010111111111111111111111111111111111111111111111111 +111100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000010000000000010000000000000000000101000000100100010000100000010100000000100010000000001000000101000000100100000000100010001000000000000100000000000000000000010000000100000000100000000000000100000101000000000000000101010000100100000000100010000000000000100000000000000000001100000000100100000000100000000100000100000001000000000000000000010000000000000100000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010000100000000000000000000000000000000000000000001000000000100000100000000000000000001010000000000000100000000000000000000000000000000000000000000100000010000000000000000000000000000100100001000010000000000100000011000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000011000000000000000000000000000000100000000100100000000100000011010010000000000000000000000100100000000100100000000100000011000000000100000000000000000100000000000100100000001010000010000000000100010000000000000100000000000100100000000100000010000010100000000000000000000000000000000100100000000100000011000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001001101000010101111111111111111111111111111111111111111111111111 +111100000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000100100101100000000110100100000001100000000010000001100000000100000111110010000110100100000001100000000010000000000001111100100111100000000110101110011100000001110010100000000000000000000111110110100110100100000001100000000011101001110000000010100111100000000110100100000000000000000000010000000000000000000111100000000110100100000001100000000000010000000000000000000011001001000011000000000000000000000010010000000000000100100000010010000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000111010110000000000000010010000110111100111100010001111000000101111110000110010010000010000011000100001100100000110001010101000110000010010110001100000000100100001100000000110100100000001110000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100011000000000010100100000001100000000000000000000000000000000111110010000110100100000001100000000000000001100000000100100111110010000110100100000100000000000000000000000000000011100111100000001111100100000001100000000000000000000000000100100111110010000110100100000001100000000000000000000000000100100111100000000110100100000001100000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001101101111111111111111111111111111111111111111111111111 +111100000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000100001000000000000000000000001000000000000000000100010000000100000000000000000000010010000000001000000000000000000000000100000000000000000000000000001000000000010000001000000001000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000001000000000000000000001000000000010000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010100000000100000000000001000001000010000100000000001000000000000000010000000000000001000010000000000110000001000000000100000000000000000010000000000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000010000000000000000000000000000000000010100000000001000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100010010000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000010000001100000010000000110000000000100000001000000000100000010000001001000010000000110000000000100000001000000000000100000110001000000010000000110000000000000100001000000000000000000000001001000010000000110000000000100000001000000010100000000000001000000010000000110000000000000000000010000000000000000000001000000010000000110000000000100000000000000000000000000000000100000000000000000000000000000000001000000000000000010000000001000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000001000110001000000001000000000100001000100001001000000001000000000000010000000010000000000000000000000001001000000000100000010000010000000010000010000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000110000000000100000000000000000000000000000001001000010000000110000000000100000000000000000100000000100001001000010000000110000000000000000000000000000000000000000001000000000010000110000000000100000000000000000000000010000001000100010000000110000000000100000000000000000000000000110001000000010000000110000000000100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100011110010001111111111111111111111111111111111111111111111111 +111100000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100100000000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000100000000100100000000100000000000100000100000001000000000000000000000100100100000100000000000000000000000000000000000000000001000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000100000000000000000000000000100000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000111010000000000000000100000001000010100100100010001000000001000100000000000000000000000010001000010000000000100000000000010100000000000000000000000000000000010000000000100000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000100000000000000000000000000000000000000000000000100100100000100000000000000000000000000000000000000000001000100100100000100000000000000000000000000000000000000000100010100100000001010000000000000000000000000000000000000000001000100100100000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100100000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000111100000000011100000111111110001111000000001101000110000000111101101001110000100111111110001111000000000000001111000000100111111011111010000001111110001100000000001101000010000000111101101000011100100111111110011111000000000000000110011000111101101001111100100111111110001111000000001110000110011000100100000000111100100111111110001100000000001100000011011000000001101000010100100001000000000100000000000000000000011010000000000000000100100000001101100000000000010010000000011010000000000000000000000000000000000000000000000000000000000000000000000000011000100100100000000011000000000010000011000000111100000000011101000111100000001111000000000000000110000000001100000001111100100001101110001111000000000000000110000000000100100001111100100111100000001100000000000000000000000000000000000000000100100000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000111101101000000000000011001100001111000000000000000110000000111100000001111000000111111110001111000000000000001111000000001101101001110000000001111111101111000000010010000110000000111110000000111000000111111110001110000000001101100110000000111101101101111000000011111110001111000000000000001111000000100100000001111000000111111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010011101100101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000100000001001000000000000000000000000001000000010000000000000100000001001000000000000000010000000000000001010100010001000000000001000000000000000000000000000001000000010001000000000100000001100000000000000000000000000011000000010001000000000000010001010010000000000001000000000010000000000001000000000100000001000010000000000011001001001000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000001001000000010000000001001000000000000010000000001000000000001000000000100000000001000000000000000000000000000000000000001000010000011000001001000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000001000000000000000000000100000001001000000000000000000000000001000000000001000000000100000001001000000000000000100000000000000000000000000000000000000000001000000000000000000000000001000000000001000000000100000001000000000000000000000000000001000000000001000000000100000001001000000000000000010000000000000000000001000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000001000101100010000000000000010000000000100000000000010000000001000101100100000000000000000100000000100001000000100000000010000101100100000000000000000000000000100000000000000000100001000101100100000000000000010000000000100000000000010000100000100101101000000000000001010000000000100000000010000000100001000101101000000000000000000000000000000000000000000010000000100000010000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000000000100000000000001110000001000000000011000000000000010000000000010000000000010010000000000001000010000000000000010000000000010000000000010010000001000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000100010000000000000010000000000100000000000010000000001000101100010000000000000001001000000010000000000010000000010000101000100000000000101010000000000100001000010000000000001000101100010000000000000010000000000100000000000010000000010000101100010000000000000000100000000100000000000010000000001000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000000010000000100100000001010000000001000000100000000100100000001100100000100100000001010000000000000001010000000100000000000000000000010000000000001000000001000000100000000100100000000010001000100100000000001000000000000000100010000100100000001010001001000010000000010000000001000000100010000100000000000010001000100100000000000000000000000000000010000000000000000100001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000010000000100100000000000000000100100000100000000000000000000100000000010000000001010000000000001000001010000000000000000100000000000000000001010001000100100000001000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100101000000000000000000100000001010000000000000000100000000100100000001010000000100100000001010000000000000100000000000010001000001100000000010000000001010000000000000000100000000100100000000010000000100100000001100000000000000000100000000100100000001010000000000100000001010000000000000001010000000100000000001010000000100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010111111101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000001111110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110000000000000000000000111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000001111111100000000001010111111110111101000000000000001011110111101111010000000000010111111110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000010001111001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000110100000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111101010100010000000010100000000001010101000100000000011111111001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111010101000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000001000000000000010101010000000000010000000001111111111111111000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100100101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000011101111110111101000000000000001011110111101111010000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000001111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000011111111111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000011001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110000000000000000000000000000000000000000000000010110000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000110000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011000000000110001100000000000000000000000000000000000000000000000110000110000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000110000000000000100000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001010000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100110000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110011011110111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100001000000000000100100011001111010000000000000000000011010110101000000000000101100011111000001001100110000000000000000000000000000000000011010011101111010110000000000000000000000000000000000000000000000011011001000111000000001100000000000000000000000000000000100000001001000001100000000000000000000000000000000000000011000010001111000111100000001100000000000000000000000000000000000000000000001011100000000000000000000000000000000000000000000000001001000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100001000110000111111000000000000010000000000000000000000111101001110111100111100000111100000000000000000000000000000000000000001101000000100000000000000000000000000000000000000000000000001000000001100000000000000000000000000000000000000000000000001101000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000110100001000000110000000000000000000000000000000110110000001000000010011110000000000000000000000000000000000100100000000000000000011010110000000000000000000000000000000010010000001111100000000110110000000000000000000000000000000000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010100010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000010100000000000000000000000100000000010000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000010000000000001000001100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000100000000001000001000000001100000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100101000110000000000000000000000000000000000000000101000001001010000000010000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100101101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000010000000100100000000000000000000000000010101001100000000000010000000110100000000000110000000000000000000000000000000000100000010000100000010000000000000000000000000000000000000000000000010000001000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000101100000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000001100000000000000000000010000000010000000001100000101000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000001010000000010000000010000000000000000000000000000000000000001000000000000000000001000000100000000000000000000000000000000000000000010100000010000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101010000010111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000100100000000000000000010000110000000000000000000000010100000100100000000000000110010000000000000000000000000000000000000000000000000000000000010100010000000000000000000000000000000000000000000000000000010000010001000000000000000000000000000000000000000000100000000001000000001000000000000000000000000000000000000000000010000000010000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000001000000000000000000000100000000000000000000011000000001000000000000000010000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000000000000000000000000000000000000000000000100000101000000000000000000000000000000000001000000000000000000001000000100000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110111000111111111111111111111111111111111111111111111111111 +111110000000000011000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100000000000000000000000010010000000000000000000010000000000000000000000000011011000000000000010000000011000000000110111100011100010010111011000011110000101000000001101101000110111100111100010000110000000011111100111011000111100000001111101100000110010000110001000000000000010011000011001101100110111100001101101000101000000001101100111000000111100000000111111100011110010001111000000000000001110000000111101101000110111100000100000001111000000000000000000000000000000010000000000000000000000000000011000000000000000000000000000000000010000000001000010000100001000000000001101100100001001100000111000000011110010000111000000010010000010111100011000100100110111100101100000000111000000000000000111111100111100000000110111101011110010000111000000000000000111100100111100000000110111100000110010000111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011000011111100000010000000000000001101000000001100000000110111100101000110001110000000000000001110000000001101100001111011101011101111000110000000000010011011000000001101100001111011101011111101001111000000000000001010000000001101100000110111100101101100010111000000000000001101001010001101100000110100100000101101001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000010101111111111111111111111111111111111111111111111111 +111100000010011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000000000000000000000000100000000000000000000100000000000000000000000001000000001000000000001000001000000000000000000011000000000100000000100001001100000000000000000000000000101000000010000000000000000000100010000101001000000000010000000000010000000000000000000000000000000101001000000000000000000010000000000100000000000000000010000000001000000000010000000010000010000100010000000000000000000000001000000010000000000010000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000001000000100000000100001000001001100000000100000000100100000000001000000000101101000000000000000000010000000000100000000000000000100000101101000000000000000000010000010000100000000000000000010000000101000000000000000000010000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000001000000000000000000001010000000000000000000000000010000001000000010000000000000000001000000011000001010000000010000011000000001000000000000010000000000011000001010000000010110000010001010000000000000000001000000000000001000000000010000000001010000000000000000010100000000000000001000000000000000000000010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001011110011111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000010000000000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000010000000110000100010010000010000001000000000000000000100000010000000111100000010010001000000000100000001000000100000000000100000110000000100000000001000000000000001000000000000000010000000110000000000010000000000000000000001000000100000000010000000111000001000001001000000000000000100000000100000000010000000110000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000000000000000000000000000010100010000000010000000000000000000100100000000000000001000000000010000000000000010000000110100000000000000000000000000000000010000100000000010000000110000000100010000000000000000000001010000100000000010000000110010001000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000001000000000000000100000000010000000010000000110100000000000101000000000000011000000000000000000100100000000000000000010000000000000000000010000000000000000100100000000000001000001000000000000000000010000000010000000110000000110000000000010000000000000000001000000000010000000110000000110010000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011000100001111111111111111111111111111111111111111111111111 +111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000100000000100000011000000000000000000000001000001000000001000001000000100000000100000000000000000000000000100000000000100100000001010010000000000100001100000000000000000000000000000100000000100000011010001000000000000000000000100000000000100100000000010000011000000100000000000000000000100100000000100100000000100000011000000000000100000000000000000000000000000000010000000000000000000000000000000100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000001000000100010000000000000000000100000000000100000000100000010110000000110000000000000000110000000000100100000000100000010100000100010000000000000000100000000000100100000000100000010000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011000001000000000000000000000000000000000010000000000100000010010001000000000000000000000000000000000000000000001010000111000001000000000000000000010000000000000000000000001010000110000000000010000000000000000000000000000010000000000100000011010011000000000000000000000000000000000010000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011111000000111111111111111111111111111111111111111111111111 +111110000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000001000000000011000000000010010000000001001000000000100010100001100000000110000100000111100000100000000011110001100000000111110010000110111100100111110000100010010000000000000011000111100000001111100100000001100000000000000010010000100100100001110010000110111100100101100001100010010000000000000011000111100000000110100100000011110000000000000000000000000000000111100000000110100100000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000100000000100110010001100000100000100000000100000010000000001100100100011110010001111001000100100000000000010010000000000000000000111100000000110100100000001110000000010010000000000000100100111100000000110100100000001100000000010010000000000000000000111100000000110100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000010000000000100001000000000010000000000001110000000000100010000000000011100001100000000110000000000001100000000001110001111000000011100111110010001111011100000001100000000010000000100000000111000001100000001111000100000001100000000001111000100000000100100111100010000110000000000001100000000001101000000000000000100001100000000110000000000001111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000000000111111111111111111111111111111111111111111111111 +111100000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000010001000000000000000000010000000000001000000000000000001000000000000100000000000000101000000000001000000000000000000000000000010100000000001000000000000000001000000000000000000000010000000000000000000000101000000000001000000000000000000000000000010100000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000010000000000000000000000000000000000000000001010000000001000000000000000000000000000000000000000000000000100000000000000000000000000001000000000100000000000000000100100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000001001000000000000000000000000011000000000000000000000000000000001000000001001000000000000000011000000000010000001000000000001000000000000000000000000000010000000000001000000000000000001000000001000000000000000000101000000000000000000000000000001000000000000000000000000000000011000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000101111111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000010000000000000001000110000000000000100100100000000001001000010000000110100000100100000001000000000000000000000001000000000010000110000000000100000000000001000000000000110000101000010000010000100000000100100001000000000000000000000001000000010000000110000001000100000000000000000000000000000001000000010000000110000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000100000100000100000000000000000000000000010000000000100000100000100100001000000000011000000000000001000000000000000000000001000000010000000110000000001100000001000000000000000010000001000000010000000110000000000100000001000000000000000000000001000000010000000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000001000000000000000010000000010000000000000000000100000000000000000000000000010100000101000100000000000000000100000001000000000000000010000010000000000010000000000000000100000000000000000000000010000100000001010000000000000000000100000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010010011000111111111111111111111111111111111111111111111111 +111100000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000010000000000010000000000000100000000000000000000000000001001000000000000100000000001000000000000000000000100000001000000100100100000100000001000000100100000000100000000000000000010100100000001010000000000000000000000000000000100000000000001000000100000100001000001000000000001000100000000000000000010100100000000100000000000000100000000000000000000000000000000100100000000100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000001000000000100000000000000000001000001000000000100000101000010000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000100000000000000010000000000100000000000000000000000001000000000000000010000010000000000100000000000000000000000001000001010000000000010100100000010001011000000000000000000000000001000000000000010010000000001010000100000000000000000001000001000000000000000100100000000100000000000000000000000001000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101000100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100100000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000011010010000000000000000010010000000000000001011110000000000000000000010011000000111100000000011100100111111110000111000000000000000110000000001101100101110000000011111110011111000000011110000110000000100101101101111000000111111110001100000000000000000011011000111111111100011000000111111110001111000000000000000110000000111110110001110100100011111110001111000000010010000110000000100101101101110000000001111110001100000000000000000000011010000000000000000000000000000000000000000001110010000000000000001000000000000000000100100000000011000000010010000111100100101100000001110000000011100100000111000000001101100010011000111110010000011100100111111110001111000000010010000110000000111101101001111000000111111110001111000000000000000110000000100100000001111100100111111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000011000000001111000000000000000110000000001110000001111000100111100000001111000000000010001111000000111101110001111000000111100000001111000000010010000110001000001100010001111000000111101100001111000000001101001111000000111100000001111000000111100000001111000000000100000110000000000100100001111011100111100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000100000000000000001000001000000000000000000010000000001000000000001000010000100000001001100000000000000000000000000000000001000000000000100000001100000000000000011000000000000000000000001000000000100000001000000000000000000010000101001000000100001000000000100000001001000000000000000000000000001000000100000000000000100000001001000000000001000000000000000000000000000000000000000000001000000000000000000000000001000000000000000000000000000000000000000000001001000000000000000000000000000000000000010000000010000000000000100010000010000000100000000010000000110000000010000000000000000000000101001000000100001000000000100000001001000000000001000000000000001000000010001000000000100000001001000000000000000000000000000000000000001000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000000000000000000000000000000001000010000100000000001000000000001000010000000000100000001010000000000100000000001000000000000000000000000000011000000100000000000100000001001000000000000010010000000001000000000001000000000100000000001000000000000010000000000000000000010001000111000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001001001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000001000000000000000000001000000000000000000000100000000000000000000000000000000100000000000000010000001000101100000000000000000010000000000000100000000010000000010001000000100000000000101010000000000100000000000010000000001000101100100000000000000000000000000100000100000000000000001000101101000000000000000010000000000100001000100010010000010000101100010000000001000010000000000100000000000010000000010000101100010000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000100000000000000000000001000000000010000000000000000011000000000100000100001000000000000000000000000100001000000000000100001000101100010000000001000010000000000100000000000010000000001000101100010000000000000010000000000100000000000010010000001000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000010000000000000010000000000010001000000010000000001000000000010000000000000000100000000001000000000100000000001000000000010000000000101010000000000000000010001000000000001000000100010000000000000000100000000100000000000010000000001000000000010000000000000010000000000010000000000010000001000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001111110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000001010000000000000000000000000000000100100000000010000000100100000000010000000000000000100000001000000000001100000000000101100001000000000000000000100000000100000000001010000000100100000000001000000000000000010000000100100000000010000000100100000000011000000000000000100000000100100000001100001000000100000001010000000000000000100000000100000000001100000000010000000001000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000000000000100010000000010010000000000000000000000000001000000000000000000100000000100100000000010001000100100000001010000000000000000100000000100100000001010000000100100000001010000000000000000100000000100000000001010001000100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000100000001010000000000000000100000000010000000001010000000100100000001010000000000000010001000000100101010010001000000100100000001010000000000000000100010000000000000010001000000100100000001010000000000000010001000000100100000001010000000100100000001010000000000000000100000000000000000001010000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011010110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111101111111100000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111100000000000000000111111101111110100000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010011100001111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000011111111111111110000000000000000111111111111111100000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101001111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011110110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000010001111111111111000000000000001101101000010110110000000000011111111001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000010001111111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000111111111111111100000000000010000000111111100000000000000000111111111111111100000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111011000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111111111110000000000000000111111111111111100000000000011111111111111110000000000000000111111111111111100000000000000001111111111111000000000000001011110111101111010000000000011111110110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000001111111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000000000000111111101111111100000000000000000000111111110000000000000000011111110111111100000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101010110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010010000000000000000000000000000000000000000000000000100001000110100000100000000000000000000000000000000000000010001000010100110000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001111010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000010000000010000000000000000000000000000000000000000000000000000000000011000001000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100101010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100010000010000010000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111100110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110101100100111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000001000110000000110000000000000000000000000000000000000000001001000010000000000000000000000000000000000000000001000000000001000000000000000000000000000000000000000000000000000000001111000001100000000000000000000000000000000000000000000000001001000001100000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000001111100111100000000000000000000000000000000000000000000000001001000111100000000000000000000000000000000000000000000000101011000000100000000000000000000000000000000000000000000000001000000001100000000000000000000000000000000000000011001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000110000000001100000000000001000000110001001011010001111011000111111101000000111000000001000000110000001001000011110000001111101000011101110000000001000000100001001011000010111111000010001010000000011000000001000000100001001001000111111011001111100000000100011000000001000000000001001001000010000110000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100001001110000100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000101000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000001000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000100000000000000000000110000001000000100100010100100010100000000100000000000000000110000000000010011000000010000000000101100000000000000000000010000000100100010011000100000000100000010000000000000000000010000000000010010100000110000000000000100100000000000000000000000000000010000001000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000001110100101101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001010000100000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000000000000000000000010000100000000010100001001000000000011000000000000000000000010000000000000100000000001000000000010100000000000000000000001010000000000100010000000010000000000000000000000000000000010001000000000100100100010000000000000000000000000000000000010001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001101100010111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000100000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000010000001000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000010000000000000000000000000010000000000000000000000000000000000001000000000000000000000010000000000000000000000001000000010000000001000001000000000010000000000000000000000000000001010000000000000001000000000010000000000000000000000000000000010000000000000001000000000010000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000101000110011110111111111111111111111111111111111111111111111111 +111110000000000011000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000011000000000110111100101100000000110000000000000001110011000111100000000110100100101100000001111000000000000000111011000111101101001111100100100100000001110000000011110000010011010011000000000110111100101100000000111000000010010001110000000111101101000110100100101100000001110000000010010000111011010111100000000110011000100101100001111000000000000000000011000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100100111100000000110111100001100000000110000000000000000110000000111100000000110111100000100000001011011000010010000000100100000000000000110111100011110010000110000000010010001111100100111100000000110111100111110010000110000000000000000111000000111100000000110111100100100000001110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000010010011000000001000000000100000000100100010001100000000000110001000000000000101101101100000100100010010001000000111000000010011001000011010110001100100100100111111000000000000000000000011000000010001101101100100100100110110000000000000000000010011000000001000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000011000000000000000000000000000000000000000000010010000000000000011101110000111111111111111111111111111111111111111111111111111111 +111100000010011000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000010000000000000000000000000000000000101001000000000000000000000000000000100000000000000000010100101001000000010010000000000000000000000000000000000101000000001001000000000000000000010000000000100000000000000100000000000001000000000000000000000000000000000010000000001000010000001001000000000000000000010000000001010000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000101000000000000000000010000000000000000000000000000000000000001000000000000000000010000000000001000000000000100000000010000000000000000000101101000000000000000000000000100100011000101000000000000000000111000000000000000000000000000010100000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000010000000000000000000000100000000000100000000010000000000000000000000000000000000000000000000000000000000000001000000000100000000001000000000000000000000000100000000000000000000000100001000000100000000000000000000010001000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000010000001010110010111101111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000110001100000010000000000000000000100000000100000000010000000110001100000001000000000000000000000000000100000000000100000110001000000001000000000000100000001000000000000000010000000110100000000010000000000001000001000000000100000000010000000110100000000000101000000001000010000000000100000000010000000000100000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000000010000000110010100000000000000000000000000000000000100000000010000000110000000000001001001010001000000000010000000000000010000010000000000100010001000000001000001000010000100000000010000010001000000100000000000000000000000001000000100000000010000000110100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000010000100000000010000000010000000000000000000010000000100001000000010000000000000000000000001000000100100100010000000000100000000000000000000000000000000000000000001000010000000011000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000101000000000000011000100001110001111111111111111111111111111111111111111111111111 +111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100000011000000000000010000000000000100100000000100100000000100000000100000000110000000000000000010000000000100100000001010000001000000000000101000000001000000000000000000100000000100000010110000000010000000000000000000101000000100101000000100000000100100000000000000000000000000010000000100100000000100000010100001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100100000000100000010000000000001100000000000000100100000000100100000000100000011000000000000000000000000000000000000000000000000000100000000100100100000000000000000000000000000000100100000000100000010001010100100100000000000000000000000000100100000000100000010001000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000010000000000001000000000100000000100000000100000000000000000000000000000010000000100100000001000000011100000000000000000000000000000000000000000000001001000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000001000110011001001111111111111111111111111111111111111111111111111 +111110000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100111100000000110100100000001100000000000010001100000000100000111100100000110100100000001100000000000000000000000000111100111100000000111100100000001100000000000000000000000000000000111100000000110100100000001100000000000000001100000000100100111100000000110100100000001100000000000100000000000000000000111100000000110100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000110100100000001100000000000000000000000000000000111100000000110100100000010010000000010010010010001000000000111110010000110100100100111110000100000000010010000000000000111110010000110100100000001100000000010010000000000000100100111100000000110100100000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000100000000000000000100000100000100000110010001100100100001100100001000001111000000000000000100100010000001100000100001101101000000000100000000010011000000000000000000000001000001100110000010000000000000000000000000000000010000000000100001101101000000000000000000000000000000000010010000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000011010000000000111111111111111111111111111111111111111111111111 +111100000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000000000001000000000000000000000000000010100000000001000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000001000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000100000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000100000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000001000000001000101101001111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001000000010000000110000000000100000000010000000100000010000001000000110000000110000000000100000000000000000000000000110001000000010000000110000000000100000000000000000000000000000001000000010000000110000000000100000000000000000100000000110001000000010000000110000000000100000000000000000000000000000001000000010000000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000100000000000000000000000000000001000000010000000110000000100000000001000000100000010000000001000100010000000110001001000110000000000000100000000000000001000100010000000110000000000100000001000000000000000000110001000000010000000110000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000100000010000100000000000000010000000000000000000000000001001000000100000000000100000000000000000100000000000000000000000000000000100001010000000100000000000000000000000000000000000000000000000010000100000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101100000001111111111111111111111111111111111111111111111111 +111100000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000010100100000000010000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000001000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000100000000000000000100000000000000100100100000100000001000000100000000000000000100000000000000100100100000100000000000000000000000000100000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000001000000000000000001000000000100000000000100000000001000010001000000000001100000000000000001000000000000000001000001000001000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000100000000000000100000000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000011101001101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100100000000000000000000001100010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000111100000000011000000111111110001111000000000000000110000000111100000001110000000011111110001111000000010010001111000000100100000000111000000101111110001100000000001101100010011000111100100000011001010111111110001111000000000000000111000000111100000001110000000111111110001111000000000000000110011000100100000000110100100111111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000010010000110000000111100000001111000000111111110001111000000000000000110100100100110010001110000000001111110001100000000011111100000000000111110010000000000000111101110000111000000000000000110000000111101101011111000000111111110001111000000010010001111000000100100000001111100100101111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100100000000000000000000000001000000000100000000000000000011000000000110010000011011000100100000001100000000001101101100100100100001111101100000100100000000001000000000000000000011000000100110110000010011000001100000001100000000000100000011000000000111110000010001000001000000000100000000000000000011000000000000000000010000000000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000010010111010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000001000000000001000000000100000001001000000000000000000000000001000000000000000000000100000001010000000000000000010000000000000000000001000000000000000001000000000000000000000000001001000000000001000000000100000001001000000000000000010000000001000000000000000000000100000001010000000000000000000000001000000000000000000000000100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000100000000000001000000000001000000000100000001001000000000000000000000000100000001000000000000000000000001000000000000000100000000000001000000000000000000000100001001100100000000000000000000000001000000010010010000000100000001001000000000000000010000000000000000000001000010000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000010000000000000000000000000000000000000100000000000000001001000000000000100001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000010000001000010010000000000000000000000010001001000000010000001000001000000000000000000100000000000000100000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100101011111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000101100010000000000000010000000000100000000000010000000010000101100100000000000101000100000000100000000010000000000001000101101000000000000000000000000000100000000000000000000001000101100010000000000000010000000000100000000000010000000001000101100100000000000000010000000000100000000010000000100001000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000010000000000100000000000010000000001000101100010000000000000010000010000100001000000010000000010000101100010000000001000000000000000100000100000000000000001000000100000000000000000010000000000100000000000100000000001000101100010000000000100000100000000100000000000010010000001000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000000000010001000000001000000100000000000010000000000000000100010000001000000000010000000100000000000010000000000000000000000000100001000000000000000000000000000010000000000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100100000000010000000100100000001010000000000000000100000000100100000001100000000000100000001010000000000000001010000000100000000000010000000110000000000001000000000000000100010000100100001000010000000100100000001010000000000000000010000000100100000001100000000100100000001010000000000000000100010000100000000000100001000100100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000100100000001010000000100100000001010000000000000000100000000100000000001100000000010000000001000000000000000000000000000100100100000000000000100100000010000000000000000000100000000100100000000000000000100100000001010000000000100001010000000100000000001010000000110000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010001000000000100000000000000000100000001000000000010001000001000000000000000000000000000000000000000000001000100000000010000010000000000001000000000000000000000000000001100000000010000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101010100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000011111110111111000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100111101000111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000011111111111111010010000000010100000000000000000000101000000011111111000000000010100000010100000000000000000000101000000011111111111111010010000000000000111111110000000000101000000011111111111111010010000000000000111111110000000000101000000000000000111111010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101001001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000011111100111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000011111111000000000001000000000000101111111111110100000000000011111111111111010000000000000000101111111111110100000000000011111111000000000001000000000000111111111111110100000000000011111111000000000001000000000000000000001111110100000000000011111111000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111110000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000001010100111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000000000000001111111111110000000000000000000000111111000000000000000000001111111111110000000000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111010111100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100010000000000000000000000000000000000000000000011000000010000110000000000000000000000000000000000000000000010011100110010100100000000000000000000000000000000000000000000000110100000010000000000000000000000000000000000000000000000000110000000001000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000001000000000000000000000000000000000000000000000000000000010000011000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000010011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000001000100010000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101111010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101010110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110111111001000111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111000110000000000000000000000000000000000000000000000000001001000111100000000000000000000000000000000000000011010000001001000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000110001001011010001001111000111101000000001111000000001000000010001000101100000001111000001101000000001100000000001000000100001000101100000100011000011010011110100011000000001000000110001001111101000001111000111100000000101111000000001000000110001001011001011111011000111101000001001111000000001000000000000001011010001110110000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100100100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000100000001000000100000100000000011000000000000000100000000000010000001000110000000000000000000000000000000000010000000000010000000010000000000000011011000000000000000000110000000000100000001000001000000001000001100000000000000000110000000000001000000000100100000100000001100000000000000000000000000000100010011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011111111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000010000100100000000000000000000000000000000000000100000000000100000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000001100000001100000000000001000000000000000000000000001000000000001000000000000000010100000000000000000000000000001010000010000010000101000000100000000000000000000000000000001000000000100000001100000000000010000000000000000000001000000000000100100000001000000000010010000000000000000000010000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101100101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000001000000010000001010000000000000001000000000010000000000010000000100000000010000001000001000000000000000010000000000010000000000000000000010000000000000000000000000010000000000010001000100000001000100000100000000000001000000010000000000010000010001000101000001000100000001000000000000010000000000000000000001000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110101110100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000010000000000010000000001000100000010000000001001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000010010000000000000000000000000110111100001100000000111000000000000000110000000111100000000110111100011100000001111000000000000010111000000111100000000110111100000100000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000010000000011000000001100000000000100000000010000000000100000010000000011010010000000001100000000110000100011011000000000100000000100000110001100000000000110110001111011010101100010011000000001010010000100000000100100000001100000000000000000100000000000101100001100000000000100000000010000000001101110011000000001000000000000000000000001101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110000000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000100000000000000000000000000000010010000000000001000000000000000000000000001000000000000000000011000000000010010000000000000100100000001000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000001000000000000000000100000000000000000000000000000000000000000000000000000000000000011000000000100000000000000000000001110100000000000000000000001010000000000000000000000001000000110000001001000000000001000000000100000000000100000000010000000000000000000000000000000001000000000000000000000000000000000000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011001000100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000001000000000000000000000000010000010000000000000010000000000000000010001000000100000000010000000111000000000001000000000000000000000000000100000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000100000000000000000000001000000010000000000000001000001000000000000010000000000000000000000000000000000000000001000000010100000000000001000000100000000000000000000000000000001000000000000000100000000000010000000000000000000000000000000000010100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000011010000000010000000000000000000000000000100100000000100000011000000000000010000000000000000000000000100100000000100000011000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000001000000000000000000000000000010000000000000000000000000000000000001010000001000000000000100000000000000000000000000000001000000101010001000000001000000000000000000000100000000000000000000000000000000000000000100000000001000001000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000100101000000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010000000000000000000000000000000000011000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111100000000110100100000001100000000000000000000000000000000111100000000110100100000001100000000000000000000000000100100111100000000110100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000100000000011000000000011000000100100000000100000000010010000000001000000100000000100001100100100100000000000000011110001111000100001100010000110101100101100000000110000000000010001010000000000100000000100000000001100000000101000100000000000000000000010000000000011000000001000000000000000000000000000000000000000000000000000000000100101101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101111101011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000001000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000100000000001000000000000000000000010000000000000000000000000010000000000000100000000000000000000000000000101001000010000000001000000000000000000000000000000000000000000000000000000010000000000000000000010000000100010000000000000000000000000100000000100000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011011110101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000010000000110000000000100000000000000000000000000000001000000010000000110000000000100000000000000000000000000110001000000010000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000100000000000000000000000100000000100000000000000010000000000000010000000000000000001000000101000000000000000010001010001100000000010000000000000001000010000000000000000010000000001000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011110110101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000100100000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000010000000000010000001000000000000000000000000100000000000000000000000000000000100001010000000000000000001000000001000001010000000000000011001000000000010000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011011000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010000000011000000000010000000000000000000010000000000000000000011000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110010000000000000111101100001111000000000000000110100100111110010001111100100011111110001111000000000000001111000000100100000001111000000001111110001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000010010000010100100100100000000010000000001001111000100000000000010000010000000000000000000010001000000100000000111000000000110000100100100100000000000100010000111001100000100000000000100000010001000000100100010011100000100100010001100000000000000000100000000000110010001100000001011010110000100000000000000000010000000000000100010011000000000100100000010000000000010000000000000000001101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000001010011100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000101000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000100000001001000000000000000000000010001000001000001000010000100000001001000000000000000010000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000001010000000000000000000000000010001000001010001000000000000000000000000000000010000000001000000000000100000000010000000000000000000000000000000000000010000000000010000000000000000000000000000000000000000000100000000000000000010000001000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000101000001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000001000000100010000000000000010000010000100001000000010010000010000101100010000000000000000100000000100000000000010000000010000101100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000000010000011000000000000000000000000000010000000000000001000000000000000000000000000100000010000000000000000000000000000000010000001000000000000000000100000000010000000000000000100000000000000000000000000010000100000000000010000000000000000000000000010000100001000000000000000100010000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000100100000001010000000000000000100000000100100000001010000000000100000001010000000000000001010000000100000000001010000000010000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000100000000000000000000100000000010001000000000000000000000000010000000000000000000100000000000000000110000000000000000001000001000000000000000000000000000001000000000000000000000000100000010000001000000000000000000000100000000000000000000001000000000000000100000000000000000001100000000000000000000000100000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000011100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111011100011110000000000000000000000001111011100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110110011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000001111110000000000000000000000000000111111000000000000000000000000000000000000000000001011111111000000000000000000000000111111110000000000000000000011111110111111000000000000000000000000000000000000000000000000000000000000000000000000000000001111110000000000000000000000000000111111000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000001001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111110011110000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000011111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010010000000000100101111110000000000101000000000000000111111010010000000010100000000001111111100000000000001111111000000000010100000000000011111110000000000101000000011111111111111010010000000010100000000000000000000101000000011111111000000000010100000000100101111110000000000101000000000000000111111010010000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001010001111011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000001011011000000000000001101101000010110110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000011111111000000000001000000001000000000001111110100000000000011111111000000000001000000000000101111111111111100000000000011111111111111010000000000000000011111111111110100000000000011111111000000000001000000000000101111111111110100000000000000000000111111010000000000001000000000001111110100000000000011111111000000000001000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111101000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111111000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000110111101000000000000001011110111101111010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111110000000000000000000000000000000001111110000000000000000000000000000000000000000000000001111110000000000000000000001111111111111000000000000000000111111111111110000000000000011111110000000000000000000000000001111111111110000000000000000000000111111000000000000000000000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110100101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000000100000000000110000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000100000000000000000100000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001001101110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100010110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000001000100110110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000010000000000000000100000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010111110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000001000000010001001001000000001001000111111000001001100000000001000000010001001101100111100110000111110000000001100000000001000000010001001101100011111111000001100000110101100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000001100000000000000000000100000000001000000000000000000000100000000011000000000000000010000000000000000000010000000000000001001100000000000000000000000000000000000000000000000000000000000000000000001010000110111000111111111111111111111111111111111111111111111111 +111100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000100000000000010000000010000100101100000000000000000000000000100000000000000000001000010000001000000000010000000000000000100000000000010100101000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000010000000000010000000000000000010000000010000000000000000000100000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110011101111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000001010000000000000100000010000000100010100000000000000000000010001001000000100000010010000000000000100000000000000000000001001010000001010100000000000000000110100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010010000000000000000000000000000000000000000000000000000000000000000000000011111100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000100000000000000000000000010000000000000000100010010000000000001100000000000000000000010000000000000000001000000001000100001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001011001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000110010000000000000000000000001100000000001100100011000000001001101100010000001011000000000100000000000000000010000000001000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000001101000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000001110101001100000111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001001001000000001000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000001000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000101101111110111111111111111111111111111111111111111111111111 +111100110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000101111101111101111111111111111111111111111111111111111111111111 +111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000100101100000100000000000000000000100000000000000100100010000100101100001000100000000000000000000000000000000000100000000100001000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000100000000000000000001001000101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000010000000000000000001000000000100000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000001100011011001110111111111111111111111111111111111111111111111111 +111100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000100010000000000000000000000000000000000000001000000010000000100000000000100000000000000000000000000000000000000010000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000100000000000001110001101110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000001000000000000000000000000000000000000000001000000000000000001000000100000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011011011110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000100001010000000000000000000000000000001100000000000000000001100000000100100000001100000000001101100010000000001000000000011000000100100110001110000000000000000010100100000000000010011000000100100000000100000000010010000000000000000000000001100000000011000000000000000000000000000000000000000000000000000000000000001101000100000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000010000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001000100111011001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000001001000000010000000000000000000000000000000010000000000000100000000000000100000000100000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101010001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000010000000000000000000000000000000000000000100000000000000000000100000000001000000000010000000000000000000000000000100000000000000000100000001111000000000000000000001010000000000000000000000000000000000000000000000000100000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000001101001111111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000001000000001000000100000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000010000000000000000000100000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110100000011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000111111000000000000000000000000000111111100000000000000000000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010101100111111111111111111111111111111111111111111111111 +111100000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000010100000000000000000000000000000011111111111111010010000000010100000000001111111100000000000000000000111111010010000000010100000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000111111110000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101110000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000011111111111111010000000000000000101111111111111100000000000000000000000000000001000000000000101111111111111100000000000011111111000000000001000000000000101111111111111100000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010100001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000001111110000000000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000000000000000001111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000001001001010101110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000011000010000000000000000000000000000000000000000000000000001111000000000000000000000000000000000000000000000000000000000000000000000100010011011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000001101110001001011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000001011101001001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000001000000000001001100100011000110010111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000001000000110000000000000000000000011000000001000000110001111001000000000010001111001000000001111000000000000000000000000000000000000000000000000000000000000000000000011011110101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000011000000000000000000000000010000000000000000000110001000000010000000000100000000100000000100000000000000000000000000000000000000000000000000000000000000000000001001110011010000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001010010010001000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000001001000000000010100000000000000000000000000000000000000000000000000000000000000000000001110010000000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000001000000000010000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000010100011111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001100000001111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100110100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001011101111111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110001011011101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000111100000000000000000000000000000000000000000000000000000000000000000000000000000000010101100101101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000001011100111111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110100011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000001011100111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000100000000000000000000100000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000001000000001100000000000000000000000000000000000010011000001001000000000100000000000000000000000000000000000000000000000000000000000000000000001010110110000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000001000000000100000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100101110011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001110110101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001011010111110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000111111110000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011000000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000010100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111110000000000101000000011111111000000000010000000000100000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000001010010010000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111010000000000000000111111110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000011111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010011110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000001111111100000000000000000000000000000000000000000000000000000000000000000000000000000001111010001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101011010101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010110110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000001011111010111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100111110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010110001111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000101101010101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001100001110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011010100100001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111110110101111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100100010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011100100010101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110100000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111101000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000101101110010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101000000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001000000000000000000000000000001011101010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000001010000110011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110011001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011100100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000100110100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000011000100000101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110010110110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000001000001100010011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001100110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000001000110101001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000110100011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000110100111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000001000110100011111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001000110111010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010111001010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001110000101100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110000101000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100101011110110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110111001101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000110001000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000011101000111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011000101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000110100111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000100000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011011100010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000001001111011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000001010011011100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000001100110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010111110011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101010100011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110100000000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110110010001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011101110111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101011000101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101100010011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111000101011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111110101010100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000110010011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101000100100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001010011001001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000110001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000001010110100111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111001110010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001000100011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000110101000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000110100111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001000110010011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011001100110000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000111011000110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111001011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000100110001000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110010101011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000001000110101000101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000001000110100111011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000110101010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100000110010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010001111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101000001001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001101100001101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100001010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000100001010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100001110101010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101110111001000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100110110011110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010101111111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111100000010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001100010010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010110110000100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000111111000111001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110011110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010110100110000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000011110111110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011000001010111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100010000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100010110100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101111110100100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010100000111100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001110001110011010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111101111000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010010000000000000000010010000000000000001001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000010010000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000110100111111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101100011000110111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000101000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111010111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010101011000001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001110011111101111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000001000110101000011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100100110110110111111111111111111111111111111111111111111111111 +111100000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100100000000000000000000000000000011000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000011000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000100111000111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001101101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100111111011000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010010101100111111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000101001011101001111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000110010011011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100110100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001011101010100000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001101101100111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100001000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001100101001101000111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000100000000000000000001000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001001111011010011111111111111111111111111111111111111111111111111 +111100000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000011000000010000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000001111001110010111111111111111111111111111111111111111111111111111 +1111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111111110011010001110011 +0000101000000000000000000000000000000000000000000101001011010100 +1111111111111111111111111111111111111111111111111111111111111111 +00001000000000000000000000000000 +1111111111111111111111111111111111111111111111111111111111111111 +1111111111111111 diff --git a/sipeed-tangnano-9k/lcd_screen/app.json b/sipeed-tangnano-9k/lcd_screen/app.json new file mode 100644 index 0000000..3136c0c --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/app.json @@ -0,0 +1,47357 @@ +{ + "creator": "Yosys 0.32+79 (git sha1 b75959f1f, aarch64-apple-darwin20.2-clang 10.0.0-4ubuntu1 -fPIC -Os)", + "modules": { + "ADC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1687.1-1688.10" + }, + "ports": { + }, + "cells": { + }, + "netnames": { + } + }, + "ALU": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:875.1-958.10" + }, + "parameter_default_values": { + "ALU_MODE": "00000000000000000000000000000000" + }, + "ports": { + "SUM": { + "direction": "output", + "bits": [ 2 ] + }, + "COUT": { + "direction": "output", + "bits": [ 3 ] + }, + "I0": { + "direction": "input", + "bits": [ 4 ] + }, + "I1": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "CIN": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:880.24-880.27" + } + }, + "COUT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "abc9_carry": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:882.25-882.29" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:877.7-877.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:878.7-878.9" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:879.7-879.9" + } + }, + "SUM": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:881.8-881.11" + } + } + } + }, + "ALU54D": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1333.1-1351.10" + }, + "parameter_default_values": { + "ACCLOAD_REG": "0", + "ALUD_MODE": "00000000000000000000000000000000", + "ALU_RESET_MODE": "SYNC", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "B_ADD_SUB": "0", + "C_ADD_SUB": "0", + "OUT_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "B": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 110 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 111 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 112 ] + }, + "CASI": { + "direction": "input", + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ] + }, + "CLK": { + "direction": "input", + "bits": [ 168 ] + }, + "CE": { + "direction": "input", + "bits": [ 169 ] + }, + "RESET": { + "direction": "input", + "bits": [ 170 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ] + }, + "CASO": { + "direction": "output", + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1334.14-1334.15" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1336.7-1336.14" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1335.7-1335.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1334.17-1334.18" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1335.13-1335.18" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1337.14-1337.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1340.15-1340.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 169 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1338.12-1338.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 168 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1338.7-1338.10" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1339.15-1339.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 170 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1338.16-1338.21" + } + } + } + }, + "BANDGAP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1690.1-1692.10" + }, + "ports": { + "BGEN": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "BGEN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1691.7-1691.11" + } + } + } + }, + "BUFG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1353.1-1356.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1355.7-1355.8" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1354.8-1354.9" + } + } + } + }, + "BUFS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1359.1-1362.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1361.7-1361.8" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1360.8-1360.9" + } + } + } + }, + "CLKDIV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1474.1-1481.10" + }, + "parameter_default_values": { + "DIV_MODE": "2", + "GSREN": "false" + }, + "ports": { + "HCLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 4 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1477.7-1477.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1478.8-1478.14" + } + }, + "HCLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1475.7-1475.13" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1476.7-1476.13" + } + } + } + }, + "CLKDIV2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1694.1-1698.10" + }, + "parameter_default_values": { + "GSREN": "false" + }, + "ports": { + "HCLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1697.8-1697.14" + } + }, + "HCLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1696.7-1696.13" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1696.15-1696.21" + } + } + } + }, + "CLKDIVG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1996.1-2003.10" + }, + "parameter_default_values": { + "DIV_MODE": "2", + "GSREN": "false" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 3 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 4 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1999.7-1999.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1997.7-1997.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:2000.8-2000.14" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1998.7-1998.13" + } + } + } + }, + "DCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1700.1-1705.10" + }, + "parameter_default_values": { + "DCC_EN": "1" + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1702.7-1702.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1701.8-1701.14" + } + } + } + }, + "DCCG": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1924.1-1929.10" + }, + "parameter_default_values": { + "DCC_MODE": "00" + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1926.7-1926.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1925.8-1925.14" + } + } + } + }, + "DCS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1567.1-1571.10" + }, + "ports": { + "CLK0": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK1": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK2": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK3": { + "direction": "input", + "bits": [ 5 ] + }, + "SELFORCE": { + "direction": "input", + "bits": [ 6 ] + }, + "CLKSEL": { + "direction": "input", + "bits": [ 7, 8, 9, 10 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "CLK0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1568.7-1568.11" + } + }, + "CLK1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1568.13-1568.17" + } + }, + "CLK2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1568.19-1568.23" + } + }, + "CLK3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1568.25-1568.29" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1570.8-1570.14" + } + }, + "CLKSEL": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1569.13-1569.19" + } + }, + "SELFORCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1568.31-1568.39" + } + } + } + }, + "DFF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:170.1-181.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:170.33-170.36" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:170.38-170.39" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:170.24-170.25" + } + } + } + }, + "DFFC": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:318.1-334.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:318.42-318.47" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:318.37-318.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:318.34-318.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:318.25-318.26" + } + } + } + }, + "DFFCE": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.1-354.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.43-337.45" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.47-337.52" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.38-337.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.35-337.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:337.26-337.27" + } + } + } + }, + "DFFE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:184.1-198.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:184.42-184.44" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:184.37-184.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:184.34-184.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:184.25-184.26" + } + } + } + }, + "DFFN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:357.1-368.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "D": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:357.34-357.37" + } + }, + "D": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:357.39-357.40" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:357.25-357.26" + } + } + } + }, + "DFFNC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:505.1-521.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:505.43-505.48" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:505.38-505.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:505.35-505.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:505.26-505.27" + } + } + } + }, + "DFFNCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.1-541.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.44-524.46" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.48-524.53" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.39-524.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.36-524.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:524.27-524.28" + } + } + } + }, + "DFFNE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:371.1-385.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:371.43-371.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:371.38-371.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:371.35-371.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:371.26-371.27" + } + } + } + }, + "DFFNP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:466.1-482.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:466.38-466.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:466.35-466.36" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:466.43-466.49" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:466.26-466.27" + } + } + } + }, + "DFFNPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.1-502.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.44-485.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.39-485.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.36-485.37" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.48-485.54" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:485.27-485.28" + } + } + } + }, + "DFFNR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:427.1-443.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:427.38-427.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:427.35-427.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:427.26-427.27" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:427.43-427.48" + } + } + } + }, + "DFFNRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.1-463.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.44-446.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.39-446.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.36-446.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.27-446.28" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:446.48-446.53" + } + } + } + }, + "DFFNS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:388.1-404.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "SET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:388.38-388.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:388.35-388.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:388.26-388.27" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:388.43-388.46" + } + } + } + }, + "DFFNSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.1-424.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "SET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.44-407.46" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.39-407.42" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.36-407.37" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.27-407.28" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:407.48-407.51" + } + } + } + }, + "DFFP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:279.1-295.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:279.37-279.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:279.34-279.35" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:279.42-279.48" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:279.25-279.26" + } + } + } + }, + "DFFPE": { + "attributes": { + "abc9_box": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.1-315.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.43-298.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.38-298.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.35-298.36" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.47-298.53" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:298.26-298.27" + } + } + } + }, + "DFFR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:240.1-256.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:240.37-240.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:240.34-240.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:240.25-240.26" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:240.42-240.47" + } + } + } + }, + "DFFRE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_flop": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.1-276.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.43-259.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.38-259.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.35-259.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "0", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.26-259.27" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:259.47-259.52" + } + } + } + }, + "DFFS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:201.1-217.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "SET": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:201.37-201.40" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:201.34-201.35" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:201.25-201.26" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:201.42-201.45" + } + } + } + }, + "DFFSE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_box": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.1-237.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "Q": { + "direction": "output", + "bits": [ 2 ] + }, + "D": { + "direction": "input", + "bits": [ 3 ] + }, + "CLK": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "SET": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.43-220.45" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.38-220.41" + } + }, + "D": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.35-220.36" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "init": "1", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.26-220.27" + } + }, + "SET": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:220.47-220.50" + } + } + } + }, + "DHCEN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1483.1-1486.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1484.13-1484.15" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1484.7-1484.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1485.8-1485.14" + } + } + } + }, + "DHCENC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1707.1-1710.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTN": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1708.14-1708.16" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1708.7-1708.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1709.8-1709.14" + } + }, + "CLKOUTN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1709.16-1709.23" + } + } + } + }, + "DL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:79.1-83.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:80.7-80.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:80.10-80.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:81.8-81.9" + } + } + } + }, + "DLC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:93.1-97.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:94.13-94.18" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:94.7-94.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:94.10-94.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:95.8-95.9" + } + } + } + }, + "DLCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:100.1-104.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:101.20-101.22" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:101.13-101.18" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:101.7-101.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:101.10-101.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:102.8-102.9" + } + } + } + }, + "DLE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:86.1-90.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:87.13-87.15" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:87.7-87.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:87.10-87.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:88.8-88.9" + } + } + } + }, + "DLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1488.1-1499.10" + }, + "parameter_default_values": { + "CODESCAL": "000 ", + "DIV_SEL": "0", + "DLL_FORCE": "00000000000000000000000000000000", + "SCAL_EN": "true" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "STOP": { + "direction": "input", + "bits": [ 3 ] + }, + "UPDNCNTL": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "STEP": { + "direction": "output", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1489.7-1489.12" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1494.8-1494.12" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1492.7-1492.12" + } + }, + "STEP": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1493.13-1493.17" + } + }, + "STOP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1490.7-1490.11" + } + }, + "UPDNCNTL": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1491.7-1491.15" + } + } + } + }, + "DLLDLY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1501.1-1510.10" + }, + "parameter_default_values": { + "DLL_INSEL": "1", + "DLY_ADJ": "00000000000000000000000000000000", + "DLY_SIGN": "0" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "DLLSTEP": { + "direction": "input", + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "DIR": { + "direction": "input", + "bits": [ 11 ] + }, + "LOADN": { + "direction": "input", + "bits": [ 12 ] + }, + "MOVE": { + "direction": "input", + "bits": [ 13 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 14 ] + }, + "FLAG": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1502.7-1502.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1505.8-1505.14" + } + }, + "DIR": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1504.7-1504.10" + } + }, + "DLLSTEP": { + "hide_name": 0, + "bits": [ 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1503.13-1503.20" + } + }, + "FLAG": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1506.8-1506.12" + } + }, + "LOADN": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1504.11-1504.16" + } + }, + "MOVE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1504.17-1504.21" + } + } + } + }, + "DLN": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:121.1-125.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "Q": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:122.7-122.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:122.10-122.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:123.8-123.9" + } + } + } + }, + "DLNC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:135.1-139.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:136.13-136.18" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:136.7-136.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:136.10-136.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:137.8-137.9" + } + } + } + }, + "DLNCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:142.1-146.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:143.20-143.22" + } + }, + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:143.13-143.18" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:143.7-143.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:143.10-143.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:144.8-144.9" + } + } + } + }, + "DLNE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:128.1-132.10" + }, + "parameter_default_values": { + "INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "CE": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:129.13-129.15" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:129.7-129.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:129.10-129.11" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:130.8-130.9" + } + } + } + }, + "DLNP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:149.1-153.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:150.7-150.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:150.10-150.11" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:150.13-150.19" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:151.8-151.9" + } + } + } + }, + "DLNPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:156.1-160.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:157.21-157.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:157.7-157.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:157.10-157.11" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:157.13-157.19" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:158.8-158.9" + } + } + } + }, + "DLP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:107.1-111.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 4 ] + }, + "Q": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:108.7-108.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:108.10-108.11" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:108.13-108.19" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:109.8-109.9" + } + } + } + }, + "DLPE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:114.1-118.10" + }, + "parameter_default_values": { + "INIT": "1" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "G": { + "direction": "input", + "bits": [ 3 ] + }, + "PRESET": { + "direction": "input", + "bits": [ 4 ] + }, + "CE": { + "direction": "input", + "bits": [ 5 ] + }, + "Q": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:115.21-115.23" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:115.7-115.8" + } + }, + "G": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:115.10-115.11" + } + }, + "PRESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:115.13-115.19" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:116.8-116.9" + } + } + } + }, + "DP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1585.1-1670.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010000", + "BIT_WIDTH_1": "00000000000000000000000000010000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "DOB": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DIA": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "DIB": { + "direction": "input", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "ADA": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "ADB": { + "direction": "input", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "WREA": { + "direction": "input", + "bits": [ 97 ] + }, + "WREB": { + "direction": "input", + "bits": [ 98 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 99 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 100 ] + }, + "CEA": { + "direction": "input", + "bits": [ 101 ] + }, + "CEB": { + "direction": "input", + "bits": [ 102 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 103 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 104 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 105 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 106 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1663.14-1663.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1663.19-1663.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1662.13-1662.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1666.7-1666.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1666.12-1666.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1665.7-1665.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1665.13-1665.17" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1661.14-1661.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1661.19-1661.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1660.15-1660.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1660.20-1660.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1667.7-1667.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1667.13-1667.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1668.7-1668.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1668.15-1668.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1664.7-1664.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1664.13-1664.17" + } + } + } + }, + "DPB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:984.1-1066.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010000", + "BIT_WIDTH_1": "00000000000000000000000000010000", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 6 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 8 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 9 ] + }, + "WREA": { + "direction": "input", + "bits": [ 10 ] + }, + "WREB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADA": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADB": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 40, 41, 42 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 43, 44, 45 ] + }, + "DIA": { + "direction": "input", + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ] + }, + "DIB": { + "direction": "input", + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "DOA": { + "direction": "output", + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ] + }, + "DOB": { + "direction": "output", + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1062.14-1062.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1062.19-1062.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 40, 41, 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1063.13-1063.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 43, 44, 45 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1063.22-1063.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1058.13-1058.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1058.24-1058.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1058.7-1058.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1058.18-1058.22" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1064.14-1064.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1064.19-1064.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1065.15-1065.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1065.20-1065.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1059.7-1059.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1059.13-1059.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1060.7-1060.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1060.15-1060.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1061.7-1061.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1061.13-1061.17" + } + } + } + }, + "DPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1673.1-1758.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010010", + "BIT_WIDTH_1": "00000000000000000000000000010010", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "DOA": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DOB": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DIA": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "DIB": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "ADA": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "ADB": { + "direction": "input", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "WREA": { + "direction": "input", + "bits": [ 105 ] + }, + "WREB": { + "direction": "input", + "bits": [ 106 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 107 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 108 ] + }, + "CEA": { + "direction": "input", + "bits": [ 109 ] + }, + "CEB": { + "direction": "input", + "bits": [ 110 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 111 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 112 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 113 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 114 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1751.14-1751.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1751.19-1751.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1750.13-1750.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1754.7-1754.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1754.12-1754.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1753.7-1753.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1753.13-1753.17" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1749.14-1749.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1749.19-1749.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1748.15-1748.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1748.20-1748.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1755.7-1755.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1755.13-1755.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1756.7-1756.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1756.15-1756.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1752.7-1752.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1752.13-1752.17" + } + } + } + }, + "DPX9B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1069.1-1151.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000010010", + "BIT_WIDTH_1": "00000000000000000000000000010010", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE0": "0", + "READ_MODE1": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE0": "00", + "WRITE_MODE1": "00" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCEA": { + "direction": "input", + "bits": [ 6 ] + }, + "OCEB": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 8 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 9 ] + }, + "WREA": { + "direction": "input", + "bits": [ 10 ] + }, + "WREB": { + "direction": "input", + "bits": [ 11 ] + }, + "ADA": { + "direction": "input", + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ] + }, + "ADB": { + "direction": "input", + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ] + }, + "DIA": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ] + }, + "DIB": { + "direction": "input", + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 76, 77, 78 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 79, 80, 81 ] + }, + "DOA": { + "direction": "output", + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ] + }, + "DOB": { + "direction": "output", + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1147.14-1147.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1147.19-1147.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 76, 77, 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1149.13-1149.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 79, 80, 81 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1149.22-1149.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1143.13-1143.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1143.24-1143.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1143.7-1143.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1143.18-1143.22" + } + }, + "DIA": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1148.14-1148.17" + } + }, + "DIB": { + "hide_name": 0, + "bits": [ 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1148.19-1148.22" + } + }, + "DOA": { + "hide_name": 0, + "bits": [ 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1150.15-1150.18" + } + }, + "DOB": { + "hide_name": 0, + "bits": [ 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1150.20-1150.23" + } + }, + "OCEA": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1144.7-1144.11" + } + }, + "OCEB": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1144.13-1144.17" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1145.7-1145.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1145.15-1145.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1146.7-1146.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1146.13-1146.17" + } + } + } + }, + "DQCE": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1573.1-1577.10" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1575.7-1575.9" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1574.7-1574.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1576.8-1576.14" + } + } + } + }, + "ELVDS_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1422.1-1425.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1424.8-1424.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1424.11-1424.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1423.8-1423.9" + } + } + } + }, + "ELVDS_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1432.1-1436.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "OEN": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1435.7-1435.8" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1434.7-1434.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1434.11-1434.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1433.10-1433.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1435.10-1435.13" + } + } + } + }, + "ELVDS_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:585.1-591.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:586.9-586.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:587.10-587.11" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:588.10-588.12" + } + } + } + }, + "ELVDS_TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1427.1-1430.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1429.8-1429.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1428.8-1428.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1428.11-1428.13" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1429.11-1429.14" + } + } + } + }, + "EMCU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1712.1-1713.10" + }, + "ports": { + }, + "cells": { + }, + "netnames": { + } + }, + "FLASH128K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1579.1-1605.10" + }, + "parameter_default_values": { + "IDLE": "0000", + "MASE_S1": "1011", + "MASE_S2": "1100", + "MASE_S3": "1101", + "MASE_S4": "1110", + "PROG_S1": "0011", + "PROG_S2": "0100", + "PROG_S3": "0101", + "PROG_S4": "0110", + "READ_S1": "0001", + "READ_S2": "0010", + "SERA_S1": "0111", + "SERA_S2": "1000", + "SERA_S3": "1001", + "SERA_S4": "1010" + }, + "ports": { + "DIN": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "ADDR": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48 ] + }, + "CS": { + "direction": "input", + "bits": [ 49 ] + }, + "AE": { + "direction": "input", + "bits": [ 50 ] + }, + "OE": { + "direction": "input", + "bits": [ 51 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 52 ] + }, + "PROG": { + "direction": "input", + "bits": [ 53 ] + }, + "SERA": { + "direction": "input", + "bits": [ 54 ] + }, + "MASE": { + "direction": "input", + "bits": [ 55 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 56 ] + }, + "IFREN": { + "direction": "input", + "bits": [ 57 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 58 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "TBIT": { + "direction": "output", + "bits": [ 91 ] + } + }, + "cells": { + }, + "netnames": { + "ADDR": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1581.14-1581.18" + } + }, + "AE": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1582.10-1582.12" + } + }, + "CS": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1582.7-1582.9" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1580.14-1580.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1588.15-1588.19" + } + }, + "IFREN": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1586.7-1586.12" + } + }, + "MASE": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1584.19-1584.23" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1585.7-1585.12" + } + }, + "OE": { + "hide_name": 0, + "bits": [ 51 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1582.13-1582.15" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1583.7-1583.11" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1584.7-1584.11" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1587.7-1587.13" + } + }, + "SERA": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1584.13-1584.17" + } + }, + "TBIT": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1589.8-1589.12" + } + } + } + }, + "FLASH256K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1523.1-1543.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8 ] + }, + "YADR": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "XE": { + "direction": "input", + "bits": [ 15 ] + }, + "YE": { + "direction": "input", + "bits": [ 16 ] + }, + "SE": { + "direction": "input", + "bits": [ 17 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 18 ] + }, + "PROG": { + "direction": "input", + "bits": [ 19 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 20 ] + }, + "DIN": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1528.14-1528.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1529.19-1529.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1527.7-1527.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1527.18-1527.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1527.13-1527.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1526.13-1526.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1524.11-1524.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1526.7-1526.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1525.11-1525.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1526.10-1526.12" + } + } + } + }, + "FLASH608K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1545.1-1565.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "YADR": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16 ] + }, + "XE": { + "direction": "input", + "bits": [ 17 ] + }, + "YE": { + "direction": "input", + "bits": [ 18 ] + }, + "SE": { + "direction": "input", + "bits": [ 19 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 20 ] + }, + "PROG": { + "direction": "input", + "bits": [ 21 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 22 ] + }, + "DIN": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1550.14-1550.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1551.19-1551.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1549.7-1549.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1549.18-1549.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1549.13-1549.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1548.13-1548.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1546.11-1546.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1548.7-1548.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1547.11-1547.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1548.10-1548.12" + } + } + } + }, + "FLASH64K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1715.1-1736.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "YADR": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12 ] + }, + "XE": { + "direction": "input", + "bits": [ 13 ] + }, + "YE": { + "direction": "input", + "bits": [ 14 ] + }, + "SE": { + "direction": "input", + "bits": [ 15 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 16 ] + }, + "PROG": { + "direction": "input", + "bits": [ 17 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 18 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 19 ] + }, + "DIN": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1721.14-1721.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1722.19-1722.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1719.7-1719.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1719.18-1719.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1719.13-1719.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1718.13-1718.15" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1720.7-1720.12" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1716.11-1716.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1718.7-1718.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1717.11-1717.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1718.10-1718.12" + } + } + } + }, + "FLASH64KZ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1738.1-1758.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6 ] + }, + "YADR": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12 ] + }, + "XE": { + "direction": "input", + "bits": [ 13 ] + }, + "YE": { + "direction": "input", + "bits": [ 14 ] + }, + "SE": { + "direction": "input", + "bits": [ 15 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 16 ] + }, + "PROG": { + "direction": "input", + "bits": [ 17 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 18 ] + }, + "DIN": { + "direction": "input", + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1743.14-1743.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1744.19-1744.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1742.7-1742.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1742.18-1742.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1742.13-1742.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1741.13-1741.15" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1739.11-1739.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1741.7-1741.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1740.11-1740.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1741.10-1741.12" + } + } + } + }, + "FLASH96K": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1512.1-1521.10" + }, + "ports": { + "RA": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7 ] + }, + "CA": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "PA": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19 ] + }, + "MODE": { + "direction": "input", + "bits": [ 20, 21, 22, 23 ] + }, + "SEQ": { + "direction": "input", + "bits": [ 24, 25 ] + }, + "ACLK": { + "direction": "input", + "bits": [ 26 ] + }, + "PW": { + "direction": "input", + "bits": [ 27 ] + }, + "RESET": { + "direction": "input", + "bits": [ 28 ] + }, + "PE": { + "direction": "input", + "bits": [ 29 ] + }, + "OE": { + "direction": "input", + "bits": [ 30 ] + }, + "RMODE": { + "direction": "input", + "bits": [ 31, 32 ] + }, + "WMODE": { + "direction": "input", + "bits": [ 33, 34 ] + }, + "RBYTESEL": { + "direction": "input", + "bits": [ 35, 36 ] + }, + "WBYTESEL": { + "direction": "input", + "bits": [ 37, 38 ] + }, + "DIN": { + "direction": "input", + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ] + } + }, + "cells": { + }, + "netnames": { + "ACLK": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1516.7-1516.11" + } + }, + "CA": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1513.16-1513.18" + } + }, + "DIN": { + "hide_name": 0, + "bits": [ 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1519.14-1519.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1520.15-1520.19" + } + }, + "MODE": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1514.13-1514.17" + } + }, + "OE": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1516.24-1516.26" + } + }, + "PA": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1513.19-1513.21" + } + }, + "PE": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1516.21-1516.23" + } + }, + "PW": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1516.12-1516.14" + } + }, + "RA": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1513.13-1513.15" + } + }, + "RBYTESEL": { + "hide_name": 0, + "bits": [ 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1518.13-1518.21" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1516.15-1516.20" + } + }, + "RMODE": { + "hide_name": 0, + "bits": [ 31, 32 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1517.13-1517.18" + } + }, + "SEQ": { + "hide_name": 0, + "bits": [ 24, 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1515.13-1515.16" + } + }, + "WBYTESEL": { + "hide_name": 0, + "bits": [ 37, 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1518.22-1518.30" + } + }, + "WMODE": { + "hide_name": 0, + "bits": [ 33, 34 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1517.19-1517.24" + } + } + } + }, + "FLASH96KA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1931.1-1952.10" + }, + "parameter_default_values": { + "ERA_S1": "0001", + "ERA_S2": "0010", + "ERA_S3": "0011", + "ERA_S4": "0100", + "ERA_S5": "0101", + "IDLE": "0000", + "PRO_S1": "0110", + "PRO_S2": "0111", + "PRO_S3": "1000", + "PRO_S4": "1001", + "PRO_S5": "1010", + "RD_S1": "1011", + "RD_S2": "1100" + }, + "ports": { + "XADR": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7 ] + }, + "YADR": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "XE": { + "direction": "input", + "bits": [ 14 ] + }, + "YE": { + "direction": "input", + "bits": [ 15 ] + }, + "SE": { + "direction": "input", + "bits": [ 16 ] + }, + "ERASE": { + "direction": "input", + "bits": [ 17 ] + }, + "PROG": { + "direction": "input", + "bits": [ 18 ] + }, + "NVSTR": { + "direction": "input", + "bits": [ 19 ] + }, + "DIN": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + }, + "SLEEP": { + "direction": "input", + "bits": [ 52 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ] + } + }, + "cells": { + }, + "netnames": { + "DIN": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1936.14-1936.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1938.19-1938.23" + } + }, + "ERASE": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1935.7-1935.12" + } + }, + "NVSTR": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1935.18-1935.23" + } + }, + "PROG": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1935.13-1935.17" + } + }, + "SE": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1934.13-1934.15" + } + }, + "SLEEP": { + "hide_name": 0, + "bits": [ 52 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1937.7-1937.12" + } + }, + "XADR": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1932.11-1932.15" + } + }, + "XE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1934.7-1934.9" + } + }, + "YADR": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1933.11-1933.15" + } + }, + "YE": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1934.10-1934.12" + } + } + } + }, + "GND": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:549.1-551.10" + }, + "ports": { + "G": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "G": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:549.19-549.20" + } + } + } + }, + "GSR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:870.1-872.10" + }, + "ports": { + "GSRI": { + "direction": "input", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "GSRI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:870.19-870.23" + } + } + } + }, + "I3C": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1760.1-1779.10" + }, + "parameter_default_values": { + "ADDRESS": "0000000" + }, + "ports": { + "LGYS": { + "direction": "input", + "bits": [ 2 ] + }, + "CMS": { + "direction": "input", + "bits": [ 3 ] + }, + "ACS": { + "direction": "input", + "bits": [ 4 ] + }, + "AAS": { + "direction": "input", + "bits": [ 5 ] + }, + "STOPS": { + "direction": "input", + "bits": [ 6 ] + }, + "STRTS": { + "direction": "input", + "bits": [ 7 ] + }, + "LGYO": { + "direction": "output", + "bits": [ 8 ] + }, + "CMO": { + "direction": "output", + "bits": [ 9 ] + }, + "ACO": { + "direction": "output", + "bits": [ 10 ] + }, + "AAO": { + "direction": "output", + "bits": [ 11 ] + }, + "SIO": { + "direction": "output", + "bits": [ 12 ] + }, + "STOPO": { + "direction": "output", + "bits": [ 13 ] + }, + "STRTO": { + "direction": "output", + "bits": [ 14 ] + }, + "LGYC": { + "direction": "input", + "bits": [ 15 ] + }, + "CMC": { + "direction": "input", + "bits": [ 16 ] + }, + "ACC": { + "direction": "input", + "bits": [ 17 ] + }, + "AAC": { + "direction": "input", + "bits": [ 18 ] + }, + "SIC": { + "direction": "input", + "bits": [ 19 ] + }, + "STOPC": { + "direction": "input", + "bits": [ 20 ] + }, + "STRTC": { + "direction": "input", + "bits": [ 21 ] + }, + "STRTHDS": { + "direction": "input", + "bits": [ 22 ] + }, + "SENDAHS": { + "direction": "input", + "bits": [ 23 ] + }, + "SENDALS": { + "direction": "input", + "bits": [ 24 ] + }, + "ACKHS": { + "direction": "input", + "bits": [ 25 ] + }, + "ACKLS": { + "direction": "input", + "bits": [ 26 ] + }, + "STOPSUS": { + "direction": "input", + "bits": [ 27 ] + }, + "STOPHDS": { + "direction": "input", + "bits": [ 28 ] + }, + "SENDDHS": { + "direction": "input", + "bits": [ 29 ] + }, + "SENDDLS": { + "direction": "input", + "bits": [ 30 ] + }, + "RECVDHS": { + "direction": "input", + "bits": [ 31 ] + }, + "RECVDLS": { + "direction": "input", + "bits": [ 32 ] + }, + "ADDRS": { + "direction": "input", + "bits": [ 33 ] + }, + "PARITYERROR": { + "direction": "output", + "bits": [ 34 ] + }, + "DI": { + "direction": "input", + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "DOBUF": { + "direction": "output", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "DO": { + "direction": "output", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58 ] + }, + "STATE": { + "direction": "output", + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66 ] + }, + "SDAI": { + "direction": "input", + "bits": [ 67 ] + }, + "SCLI": { + "direction": "input", + "bits": [ 68 ] + }, + "SDAO": { + "direction": "output", + "bits": [ 69 ] + }, + "SCLO": { + "direction": "output", + "bits": [ 70 ] + }, + "SDAOEN": { + "direction": "output", + "bits": [ 71 ] + }, + "SCLOEN": { + "direction": "output", + "bits": [ 72 ] + }, + "SDAPULLO": { + "direction": "output", + "bits": [ 73 ] + }, + "SCLPULLO": { + "direction": "output", + "bits": [ 74 ] + }, + "SDAPULLOEN": { + "direction": "output", + "bits": [ 75 ] + }, + "SCLPULLOEN": { + "direction": "output", + "bits": [ 76 ] + }, + "CE": { + "direction": "input", + "bits": [ 77 ] + }, + "RESET": { + "direction": "input", + "bits": [ 78 ] + }, + "CLK": { + "direction": "input", + "bits": [ 79 ] + } + }, + "cells": { + }, + "netnames": { + "AAC": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.24-1764.27" + } + }, + "AAO": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.25-1763.28" + } + }, + "AAS": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.24-1762.27" + } + }, + "ACC": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.19-1764.22" + } + }, + "ACKHS": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1765.34-1765.39" + } + }, + "ACKLS": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1766.7-1766.12" + } + }, + "ACO": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.20-1763.23" + } + }, + "ACS": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.19-1762.22" + } + }, + "ADDRS": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1767.34-1767.39" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1778.8-1778.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1778.19-1778.22" + } + }, + "CMC": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.14-1764.17" + } + }, + "CMO": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.15-1763.18" + } + }, + "CMS": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.14-1762.17" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1769.14-1769.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1771.15-1771.17" + } + }, + "DOBUF": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1770.15-1770.20" + } + }, + "LGYC": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.8-1764.12" + } + }, + "LGYO": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.9-1763.13" + } + }, + "LGYS": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.8-1762.12" + } + }, + "PARITYERROR": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1768.8-1768.19" + } + }, + "RECVDHS": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1767.16-1767.23" + } + }, + "RECVDLS": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1767.25-1767.32" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1778.12-1778.17" + } + }, + "SCLI": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1773.13-1773.17" + } + }, + "SCLO": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1774.14-1774.18" + } + }, + "SCLOEN": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1775.16-1775.22" + } + }, + "SCLPULLO": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1776.18-1776.26" + } + }, + "SCLPULLOEN": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1777.20-1777.30" + } + }, + "SDAI": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1773.7-1773.11" + } + }, + "SDAO": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1774.8-1774.12" + } + }, + "SDAOEN": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1775.8-1775.14" + } + }, + "SDAPULLO": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1776.8-1776.16" + } + }, + "SDAPULLOEN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1777.8-1777.18" + } + }, + "SENDAHS": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1765.16-1765.23" + } + }, + "SENDALS": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1765.25-1765.32" + } + }, + "SENDDHS": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1766.32-1766.39" + } + }, + "SENDDLS": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1767.7-1767.14" + } + }, + "SIC": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.29-1764.32" + } + }, + "SIO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.30-1763.33" + } + }, + "STATE": { + "hide_name": 0, + "bits": [ 59, 60, 61, 62, 63, 64, 65, 66 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1772.15-1772.20" + } + }, + "STOPC": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.34-1764.39" + } + }, + "STOPHDS": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1766.23-1766.30" + } + }, + "STOPO": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.35-1763.40" + } + }, + "STOPS": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.29-1762.34" + } + }, + "STOPSUS": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1766.14-1766.21" + } + }, + "STRTC": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1764.41-1764.46" + } + }, + "STRTHDS": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1765.7-1765.14" + } + }, + "STRTO": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1763.42-1763.47" + } + }, + "STRTS": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1762.36-1762.41" + } + } + } + }, + "I3C_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1468.1-1472.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1471.8-1471.9" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1470.7-1470.9" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1471.11-1471.18" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1469.8-1469.9" + } + } + } + }, + "IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:553.1-560.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$183": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:556.3-556.16" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:553.29-553.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:553.20-553.21" + } + } + } + }, + "IDDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:826.1-833.10" + }, + "parameter_default_values": { + "Q0_INIT": "0", + "Q1_INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "Q0": { + "direction": "output", + "bits": [ 4 ] + }, + "Q1": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:828.8-828.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:827.8-827.9" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:829.9-829.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:830.9-830.11" + } + } + } + }, + "IDDRC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:835.1-843.10" + }, + "parameter_default_values": { + "Q0_INIT": "0", + "Q1_INIT": "0" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "Q0": { + "direction": "output", + "bits": [ 5 ] + }, + "Q1": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:838.8-838.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:837.8-837.11" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:836.8-836.9" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:839.9-839.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:840.9-840.11" + } + } + } + }, + "IDES10": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:753.1-774.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q9": { + "direction": "output", + "bits": [ 2 ] + }, + "Q8": { + "direction": "output", + "bits": [ 3 ] + }, + "Q7": { + "direction": "output", + "bits": [ 4 ] + }, + "Q6": { + "direction": "output", + "bits": [ 5 ] + }, + "Q5": { + "direction": "output", + "bits": [ 6 ] + }, + "Q4": { + "direction": "output", + "bits": [ 7 ] + }, + "Q3": { + "direction": "output", + "bits": [ 8 ] + }, + "Q2": { + "direction": "output", + "bits": [ 9 ] + }, + "Q1": { + "direction": "output", + "bits": [ 10 ] + }, + "Q0": { + "direction": "output", + "bits": [ 11 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "RESET": { + "direction": "input", + "bits": [ 14 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 15 ] + }, + "D": { + "direction": "input", + "bits": [ 16 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:759.8-759.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:755.8-755.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:756.8-756.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:757.8-757.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:770.9-770.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:769.9-769.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:768.9-768.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:767.9-767.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:766.9-766.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:765.9-765.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:764.9-764.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:763.9-763.11" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:762.9-762.11" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:761.9-761.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:758.8-758.13" + } + } + } + }, + "IDES16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:796.1-824.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q15": { + "direction": "output", + "bits": [ 2 ] + }, + "Q14": { + "direction": "output", + "bits": [ 3 ] + }, + "Q13": { + "direction": "output", + "bits": [ 4 ] + }, + "Q12": { + "direction": "output", + "bits": [ 5 ] + }, + "Q11": { + "direction": "output", + "bits": [ 6 ] + }, + "Q10": { + "direction": "output", + "bits": [ 7 ] + }, + "Q9": { + "direction": "output", + "bits": [ 8 ] + }, + "Q8": { + "direction": "output", + "bits": [ 9 ] + }, + "Q7": { + "direction": "output", + "bits": [ 10 ] + }, + "Q6": { + "direction": "output", + "bits": [ 11 ] + }, + "Q5": { + "direction": "output", + "bits": [ 12 ] + }, + "Q4": { + "direction": "output", + "bits": [ 13 ] + }, + "Q3": { + "direction": "output", + "bits": [ 14 ] + }, + "Q2": { + "direction": "output", + "bits": [ 15 ] + }, + "Q1": { + "direction": "output", + "bits": [ 16 ] + }, + "Q0": { + "direction": "output", + "bits": [ 17 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 19 ] + }, + "RESET": { + "direction": "input", + "bits": [ 20 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 21 ] + }, + "D": { + "direction": "input", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:803.8-803.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:799.8-799.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:800.8-800.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:801.8-801.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:820.9-820.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:819.9-819.11" + } + }, + "Q10": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:810.9-810.12" + } + }, + "Q11": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:809.9-809.12" + } + }, + "Q12": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:808.9-808.12" + } + }, + "Q13": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:807.9-807.12" + } + }, + "Q14": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:806.9-806.12" + } + }, + "Q15": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:805.9-805.12" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:818.9-818.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:817.9-817.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:816.9-816.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:815.9-815.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:814.9-814.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:813.9-813.11" + } + }, + "Q8": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:812.9-812.11" + } + }, + "Q9": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:811.9-811.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:802.8-802.13" + } + } + } + }, + "IDES4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:715.1-730.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q3": { + "direction": "output", + "bits": [ 2 ] + }, + "Q2": { + "direction": "output", + "bits": [ 3 ] + }, + "Q1": { + "direction": "output", + "bits": [ 4 ] + }, + "Q0": { + "direction": "output", + "bits": [ 5 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 6 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 7 ] + }, + "RESET": { + "direction": "input", + "bits": [ 8 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 9 ] + }, + "D": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:721.8-721.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:717.8-717.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:718.8-718.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:719.8-719.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:726.9-726.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:725.9-725.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:724.9-724.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:723.9-723.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:720.8-720.13" + } + } + } + }, + "IDES8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:732.1-751.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q7": { + "direction": "output", + "bits": [ 2 ] + }, + "Q6": { + "direction": "output", + "bits": [ 3 ] + }, + "Q5": { + "direction": "output", + "bits": [ 4 ] + }, + "Q4": { + "direction": "output", + "bits": [ 5 ] + }, + "Q3": { + "direction": "output", + "bits": [ 6 ] + }, + "Q2": { + "direction": "output", + "bits": [ 7 ] + }, + "Q1": { + "direction": "output", + "bits": [ 8 ] + }, + "Q0": { + "direction": "output", + "bits": [ 9 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 11 ] + }, + "RESET": { + "direction": "input", + "bits": [ 12 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 13 ] + }, + "D": { + "direction": "input", + "bits": [ 14 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:738.8-738.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:734.8-734.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:735.8-735.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:736.8-736.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:747.9-747.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:746.9-746.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:745.9-745.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:744.9-744.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:743.9-743.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:742.9-742.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:741.9-741.11" + } + }, + "Q7": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:740.9-740.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:737.8-737.13" + } + } + } + }, + "IEM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:180.1-186.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true", + "WINSIZE": "SMALL" + }, + "ports": { + "D": { + "direction": "input", + "bits": [ 2 ] + }, + "CLK": { + "direction": "input", + "bits": [ 3 ] + }, + "RESET": { + "direction": "input", + "bits": [ 4 ] + }, + "MCLK": { + "direction": "input", + "bits": [ 5 ] + }, + "LAG": { + "direction": "output", + "bits": [ 6 ] + }, + "LEAD": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:184.10-184.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:184.7-184.8" + } + }, + "LAG": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:185.8-185.11" + } + }, + "LEAD": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:185.13-185.17" + } + }, + "MCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:184.22-184.26" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:184.15-184.20" + } + } + } + }, + "INV": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:163.1-166.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:164.8-164.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:165.8-165.9" + } + } + } + }, + "IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:577.1-583.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:578.9-578.10" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:580.9-580.11" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:579.10-579.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:578.11-578.14" + } + } + } + }, + "IODELAY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:169.1-177.10" + }, + "parameter_default_values": { + "C_STATIC_DLY": "00000000000000000000000000000000" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2 ] + }, + "SDTAP": { + "direction": "input", + "bits": [ 3 ] + }, + "SETN": { + "direction": "input", + "bits": [ 4 ] + }, + "VALUE": { + "direction": "input", + "bits": [ 5 ] + }, + "DF": { + "direction": "output", + "bits": [ 6 ] + }, + "DO": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "DF": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:175.8-175.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:171.7-171.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:176.8-176.10" + } + }, + "SDTAP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:172.8-172.13" + } + }, + "SETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:173.8-173.12" + } + }, + "VALUE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:174.8-174.13" + } + } + } + }, + "IODELAYA": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1781.1-1789.10" + }, + "parameter_default_values": { + "C_STATIC_DLY": "00000000000000000000000000000000" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2 ] + }, + "SDTAP": { + "direction": "input", + "bits": [ 3 ] + }, + "SETN": { + "direction": "input", + "bits": [ 4 ] + }, + "VALUE": { + "direction": "input", + "bits": [ 5 ] + }, + "DF": { + "direction": "output", + "bits": [ 6 ] + }, + "DO": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "DF": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1787.8-1787.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1783.7-1783.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1788.8-1788.10" + } + }, + "SDTAP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1784.8-1784.13" + } + }, + "SETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1785.8-1785.12" + } + }, + "VALUE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1786.8-1786.13" + } + } + } + }, + "IODELAYB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1827.1-1839.10" + }, + "parameter_default_values": { + "C_STATIC_DLY": "00000000000000000000000000000000", + "DA_SEL": "00", + "DELAY_MUX": "00" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2 ] + }, + "SDTAP": { + "direction": "input", + "bits": [ 3 ] + }, + "SETN": { + "direction": "input", + "bits": [ 4 ] + }, + "VALUE": { + "direction": "input", + "bits": [ 5 ] + }, + "DAADJ": { + "direction": "input", + "bits": [ 6, 7 ] + }, + "DF": { + "direction": "output", + "bits": [ 8 ] + }, + "DO": { + "direction": "output", + "bits": [ 9 ] + }, + "DAO": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "DAADJ": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1835.13-1835.18" + } + }, + "DAO": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1838.8-1838.11" + } + }, + "DF": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1836.8-1836.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1831.7-1831.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1837.8-1837.10" + } + }, + "SDTAP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1832.8-1832.13" + } + }, + "SETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1833.8-1833.12" + } + }, + "VALUE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1834.8-1834.13" + } + } + } + }, + "IODELAYC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1791.1-1804.10" + }, + "parameter_default_values": { + "C_STATIC_DLY": "00000000000000000000000000000000", + "DA_SEL": "00", + "DYN_DA_SEL": "false" + }, + "ports": { + "DI": { + "direction": "input", + "bits": [ 2 ] + }, + "SDTAP": { + "direction": "input", + "bits": [ 3 ] + }, + "SETN": { + "direction": "input", + "bits": [ 4 ] + }, + "VALUE": { + "direction": "input", + "bits": [ 5 ] + }, + "DASEL": { + "direction": "input", + "bits": [ 6, 7 ] + }, + "DAADJ": { + "direction": "input", + "bits": [ 8, 9 ] + }, + "DF": { + "direction": "output", + "bits": [ 10 ] + }, + "DO": { + "direction": "output", + "bits": [ 11 ] + }, + "DAO": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "DAADJ": { + "hide_name": 0, + "bits": [ 8, 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1800.13-1800.18" + } + }, + "DAO": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1803.8-1803.11" + } + }, + "DASEL": { + "hide_name": 0, + "bits": [ 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1799.13-1799.18" + } + }, + "DF": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1801.8-1801.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1795.7-1795.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1802.8-1802.10" + } + }, + "SDTAP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1796.8-1796.13" + } + }, + "SETN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1797.8-1797.12" + } + }, + "VALUE": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1798.8-1798.13" + } + } + } + }, + "IVIDEO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:776.1-794.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "Q6": { + "direction": "output", + "bits": [ 2 ] + }, + "Q5": { + "direction": "output", + "bits": [ 3 ] + }, + "Q4": { + "direction": "output", + "bits": [ 4 ] + }, + "Q3": { + "direction": "output", + "bits": [ 5 ] + }, + "Q2": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + }, + "Q0": { + "direction": "output", + "bits": [ 8 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "RESET": { + "direction": "input", + "bits": [ 11 ] + }, + "CALIB": { + "direction": "input", + "bits": [ 12 ] + }, + "D": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "CALIB": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:782.8-782.13" + } + }, + "D": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:778.8-778.9" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:779.8-779.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:780.8-780.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:790.9-790.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:789.9-789.11" + } + }, + "Q2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:788.9-788.11" + } + }, + "Q3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:787.9-787.11" + } + }, + "Q4": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:786.9-786.11" + } + }, + "Q5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:785.9-785.11" + } + }, + "Q6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:784.9-784.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:781.8-781.13" + } + } + } + }, + "LUT1": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:2.1-8.10" + }, + "parameter_default_values": { + "INIT": "00" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$66": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:5.3-5.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:2.20-2.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:2.29-2.31" + } + } + } + }, + "LUT2": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:11.1-19.10" + }, + "parameter_default_values": { + "INIT": "0000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + "$specify$67": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:14.3-14.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$68": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:15.3-15.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:11.20-11.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:11.29-11.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:11.33-11.35" + } + } + } + }, + "LUT3": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:22.1-32.10" + }, + "parameter_default_values": { + "INIT": "00000000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$69": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010111001110", + "T_FALL_MIN": "00000000000000000000010111001110", + "T_FALL_TYP": "00000000000000000000010111001110", + "T_RISE_MAX": "00000000000000000000010000011110", + "T_RISE_MIN": "00000000000000000000010000011110", + "T_RISE_TYP": "00000000000000000000010000011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:25.3-25.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$70": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:26.3-26.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$71": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:27.3-27.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:22.20-22.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:22.29-22.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:22.33-22.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:22.37-22.39" + } + } + } + }, + "LUT4": { + "attributes": { + "abc9_lut": "00000000000000000000000000000001", + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.1-47.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + "$specify$72": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010111001110", + "T_FALL_MIN": "00000000000000000000010111001110", + "T_FALL_TYP": "00000000000000000000010111001110", + "T_RISE_MAX": "00000000000000000000010000011110", + "T_RISE_MIN": "00000000000000000000010000011110", + "T_RISE_TYP": "00000000000000000000010000011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:38.3-38.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$73": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011000101111", + "T_FALL_MIN": "00000000000000000000011000101111", + "T_FALL_TYP": "00000000000000000000011000101111", + "T_RISE_MAX": "00000000000000000000010000011101", + "T_RISE_MIN": "00000000000000000000010000011101", + "T_RISE_TYP": "00000000000000000000010000011101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:39.3-39.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$74": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100000", + "T_FALL_MIN": "00000000000000000000010010100000", + "T_FALL_TYP": "00000000000000000000010010100000", + "T_RISE_MAX": "00000000000000000000001101100011", + "T_RISE_MIN": "00000000000000000000001101100011", + "T_RISE_TYP": "00000000000000000000001101100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:40.3-40.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$75": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110000110", + "T_FALL_MIN": "00000000000000000000001110000110", + "T_FALL_TYP": "00000000000000000000001110000110", + "T_RISE_MAX": "00000000000000000000001000101011", + "T_RISE_MIN": "00000000000000000000001000101011", + "T_RISE_TYP": "00000000000000000000001000101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:41.3-41.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.20-35.21" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.29-35.31" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.33-35.35" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.37-35.39" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:35.41-35.43" + } + } + } + }, + "LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:51.1-55.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "F": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:54.8-54.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:53.7-53.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:53.11-53.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:53.15-53.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:53.19-53.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:53.23-53.25" + } + } + } + }, + "LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:58.1-62.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "F": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:61.8-61.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.7-60.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.11-60.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.15-60.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.19-60.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.23-60.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:60.27-60.29" + } + } + } + }, + "LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:65.1-69.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "F": { + "direction": "output", + "bits": [ 9 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:68.8-68.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.7-67.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.11-67.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.15-67.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.19-67.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.23-67.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.27-67.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:67.31-67.33" + } + } + } + }, + "LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:72.1-76.10" + }, + "parameter_default_values": { + "INIT": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "I7": { + "direction": "input", + "bits": [ 9 ] + }, + "F": { + "direction": "output", + "bits": [ 10 ] + } + }, + "cells": { + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:75.8-75.9" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.7-74.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.11-74.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.15-74.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.19-74.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.23-74.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.27-74.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.31-74.33" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:74.35-74.37" + } + } + } + }, + "MCU": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1607.1-1608.10" + }, + "ports": { + }, + "cells": { + }, + "netnames": { + } + }, + "MIPI_DPHY_RX": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1954.1-1994.10" + }, + "parameter_default_values": { + "ALIGN_BYTE": "10111000", + "MIPI_CK_EN": "1", + "MIPI_LANE0_EN": "0", + "MIPI_LANE1_EN": "0", + "MIPI_LANE2_EN": "0", + "MIPI_LANE3_EN": "0", + "SYNC_CLK_SEL": "1" + }, + "ports": { + "D0LN_HSRXD": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "D1LN_HSRXD": { + "direction": "output", + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "D2LN_HSRXD": { + "direction": "output", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ] + }, + "D3LN_HSRXD": { + "direction": "output", + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "D0LN_HSRXD_VLD": { + "direction": "output", + "bits": [ 66 ] + }, + "D1LN_HSRXD_VLD": { + "direction": "output", + "bits": [ 67 ] + }, + "D2LN_HSRXD_VLD": { + "direction": "output", + "bits": [ 68 ] + }, + "D3LN_HSRXD_VLD": { + "direction": "output", + "bits": [ 69 ] + }, + "DI_LPRX0_N": { + "direction": "output", + "bits": [ 70 ] + }, + "DI_LPRX0_P": { + "direction": "output", + "bits": [ 71 ] + }, + "DI_LPRX1_N": { + "direction": "output", + "bits": [ 72 ] + }, + "DI_LPRX1_P": { + "direction": "output", + "bits": [ 73 ] + }, + "DI_LPRX2_N": { + "direction": "output", + "bits": [ 74 ] + }, + "DI_LPRX2_P": { + "direction": "output", + "bits": [ 75 ] + }, + "DI_LPRX3_N": { + "direction": "output", + "bits": [ 76 ] + }, + "DI_LPRX3_P": { + "direction": "output", + "bits": [ 77 ] + }, + "DI_LPRXCK_N": { + "direction": "output", + "bits": [ 78 ] + }, + "DI_LPRXCK_P": { + "direction": "output", + "bits": [ 79 ] + }, + "RX_CLK_O": { + "direction": "output", + "bits": [ 80 ] + }, + "DESKEW_ERROR": { + "direction": "output", + "bits": [ 81 ] + }, + "CK_N": { + "direction": "inout", + "bits": [ 82 ] + }, + "CK_P": { + "direction": "inout", + "bits": [ 83 ] + }, + "RX0_N": { + "direction": "inout", + "bits": [ 84 ] + }, + "RX0_P": { + "direction": "inout", + "bits": [ 85 ] + }, + "RX1_N": { + "direction": "inout", + "bits": [ 86 ] + }, + "RX1_P": { + "direction": "inout", + "bits": [ 87 ] + }, + "RX2_N": { + "direction": "inout", + "bits": [ 88 ] + }, + "RX2_P": { + "direction": "inout", + "bits": [ 89 ] + }, + "RX3_N": { + "direction": "inout", + "bits": [ 90 ] + }, + "RX3_P": { + "direction": "inout", + "bits": [ 91 ] + }, + "LPRX_EN_CK": { + "direction": "input", + "bits": [ 92 ] + }, + "LPRX_EN_D0": { + "direction": "input", + "bits": [ 93 ] + }, + "LPRX_EN_D1": { + "direction": "input", + "bits": [ 94 ] + }, + "LPRX_EN_D2": { + "direction": "input", + "bits": [ 95 ] + }, + "LPRX_EN_D3": { + "direction": "input", + "bits": [ 96 ] + }, + "HSRX_ODTEN_CK": { + "direction": "input", + "bits": [ 97 ] + }, + "HSRX_ODTEN_D0": { + "direction": "input", + "bits": [ 98 ] + }, + "HSRX_ODTEN_D1": { + "direction": "input", + "bits": [ 99 ] + }, + "HSRX_ODTEN_D2": { + "direction": "input", + "bits": [ 100 ] + }, + "HSRX_ODTEN_D3": { + "direction": "input", + "bits": [ 101 ] + }, + "D0LN_HSRX_DREN": { + "direction": "input", + "bits": [ 102 ] + }, + "D1LN_HSRX_DREN": { + "direction": "input", + "bits": [ 103 ] + }, + "D2LN_HSRX_DREN": { + "direction": "input", + "bits": [ 104 ] + }, + "D3LN_HSRX_DREN": { + "direction": "input", + "bits": [ 105 ] + }, + "HSRX_EN_CK": { + "direction": "input", + "bits": [ 106 ] + }, + "HS_8BIT_MODE": { + "direction": "input", + "bits": [ 107 ] + }, + "RX_CLK_1X": { + "direction": "input", + "bits": [ 108 ] + }, + "RX_INVERT": { + "direction": "input", + "bits": [ 109 ] + }, + "LALIGN_EN": { + "direction": "input", + "bits": [ 110 ] + }, + "WALIGN_BY": { + "direction": "input", + "bits": [ 111 ] + }, + "DO_LPTX0_N": { + "direction": "input", + "bits": [ 112 ] + }, + "DO_LPTX0_P": { + "direction": "input", + "bits": [ 113 ] + }, + "DO_LPTX1_N": { + "direction": "input", + "bits": [ 114 ] + }, + "DO_LPTX1_P": { + "direction": "input", + "bits": [ 115 ] + }, + "DO_LPTX2_N": { + "direction": "input", + "bits": [ 116 ] + }, + "DO_LPTX2_P": { + "direction": "input", + "bits": [ 117 ] + }, + "DO_LPTX3_N": { + "direction": "input", + "bits": [ 118 ] + }, + "DO_LPTX3_P": { + "direction": "input", + "bits": [ 119 ] + }, + "DO_LPTXCK_N": { + "direction": "input", + "bits": [ 120 ] + }, + "DO_LPTXCK_P": { + "direction": "input", + "bits": [ 121 ] + }, + "LPTX_EN_CK": { + "direction": "input", + "bits": [ 122 ] + }, + "LPTX_EN_D0": { + "direction": "input", + "bits": [ 123 ] + }, + "LPTX_EN_D1": { + "direction": "input", + "bits": [ 124 ] + }, + "LPTX_EN_D2": { + "direction": "input", + "bits": [ 125 ] + }, + "LPTX_EN_D3": { + "direction": "input", + "bits": [ 126 ] + }, + "BYTE_LENDIAN": { + "direction": "input", + "bits": [ 127 ] + }, + "HSRX_STOP": { + "direction": "input", + "bits": [ 128 ] + }, + "LPRX_ULP_LN0": { + "direction": "input", + "bits": [ 129 ] + }, + "LPRX_ULP_LN1": { + "direction": "input", + "bits": [ 130 ] + }, + "LPRX_ULP_LN2": { + "direction": "input", + "bits": [ 131 ] + }, + "LPRX_ULP_LN3": { + "direction": "input", + "bits": [ 132 ] + }, + "LPRX_ULP_CK": { + "direction": "input", + "bits": [ 133 ] + }, + "PWRON": { + "direction": "input", + "bits": [ 134 ] + }, + "RESET": { + "direction": "input", + "bits": [ 135 ] + }, + "DESKEW_LNSEL": { + "direction": "input", + "bits": [ 136, 137, 138 ] + }, + "DESKEW_MTH": { + "direction": "input", + "bits": [ 139, 140, 141, 142, 143, 144, 145, 146 ] + }, + "DESKEW_OWVAL": { + "direction": "input", + "bits": [ 147, 148, 149, 150, 151, 152, 153 ] + }, + "DESKEW_REQ": { + "direction": "input", + "bits": [ 154 ] + }, + "DRST_N": { + "direction": "input", + "bits": [ 155 ] + }, + "ONE_BYTE0_MATCH": { + "direction": "input", + "bits": [ 156 ] + }, + "WORD_LENDIAN": { + "direction": "input", + "bits": [ 157 ] + }, + "FIFO_RD_STD": { + "direction": "input", + "bits": [ 158, 159, 160 ] + } + }, + "cells": { + }, + "netnames": { + "BYTE_LENDIAN": { + "hide_name": 0, + "bits": [ 127 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1974.7-1974.19" + } + }, + "CK_N": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.8-1961.12" + } + }, + "CK_P": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.14-1961.18" + } + }, + "D0LN_HSRXD": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1955.15-1955.25" + } + }, + "D0LN_HSRXD_VLD": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1956.8-1956.22" + } + }, + "D0LN_HSRX_DREN": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1964.7-1964.21" + } + }, + "D1LN_HSRXD": { + "hide_name": 0, + "bits": [ 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1955.27-1955.37" + } + }, + "D1LN_HSRXD_VLD": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1956.23-1956.37" + } + }, + "D1LN_HSRX_DREN": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1964.24-1964.38" + } + }, + "D2LN_HSRXD": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1955.39-1955.49" + } + }, + "D2LN_HSRXD_VLD": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1956.38-1956.52" + } + }, + "D2LN_HSRX_DREN": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1964.40-1964.54" + } + }, + "D3LN_HSRXD": { + "hide_name": 0, + "bits": [ 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1955.51-1955.61" + } + }, + "D3LN_HSRXD_VLD": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1956.53-1956.67" + } + }, + "D3LN_HSRX_DREN": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1964.56-1964.70" + } + }, + "DESKEW_ERROR": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1960.8-1960.20" + } + }, + "DESKEW_LNSEL": { + "hide_name": 0, + "bits": [ 136, 137, 138 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1979.13-1979.25" + } + }, + "DESKEW_MTH": { + "hide_name": 0, + "bits": [ 139, 140, 141, 142, 143, 144, 145, 146 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1980.13-1980.23" + } + }, + "DESKEW_OWVAL": { + "hide_name": 0, + "bits": [ 147, 148, 149, 150, 151, 152, 153 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1981.13-1981.25" + } + }, + "DESKEW_REQ": { + "hide_name": 0, + "bits": [ 154 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1982.7-1982.17" + } + }, + "DI_LPRX0_N": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.8-1957.18" + } + }, + "DI_LPRX0_P": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.20-1957.30" + } + }, + "DI_LPRX1_N": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.32-1957.42" + } + }, + "DI_LPRX1_P": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.44-1957.54" + } + }, + "DI_LPRX2_N": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.56-1957.66" + } + }, + "DI_LPRX2_P": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.68-1957.78" + } + }, + "DI_LPRX3_N": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.80-1957.90" + } + }, + "DI_LPRX3_P": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1957.92-1957.102" + } + }, + "DI_LPRXCK_N": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1958.8-1958.19" + } + }, + "DI_LPRXCK_P": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1958.21-1958.32" + } + }, + "DO_LPTX0_N": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.7-1971.17" + } + }, + "DO_LPTX0_P": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.19-1971.29" + } + }, + "DO_LPTX1_N": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.31-1971.41" + } + }, + "DO_LPTX1_P": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.43-1971.53" + } + }, + "DO_LPTX2_N": { + "hide_name": 0, + "bits": [ 116 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.55-1971.65" + } + }, + "DO_LPTX2_P": { + "hide_name": 0, + "bits": [ 117 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.67-1971.77" + } + }, + "DO_LPTX3_N": { + "hide_name": 0, + "bits": [ 118 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.79-1971.89" + } + }, + "DO_LPTX3_P": { + "hide_name": 0, + "bits": [ 119 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1971.91-1971.101" + } + }, + "DO_LPTXCK_N": { + "hide_name": 0, + "bits": [ 120 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1972.7-1972.18" + } + }, + "DO_LPTXCK_P": { + "hide_name": 0, + "bits": [ 121 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1972.20-1972.31" + } + }, + "DRST_N": { + "hide_name": 0, + "bits": [ 155 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1983.7-1983.13" + } + }, + "FIFO_RD_STD": { + "hide_name": 0, + "bits": [ 158, 159, 160 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1986.13-1986.24" + } + }, + "HSRX_EN_CK": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1965.7-1965.17" + } + }, + "HSRX_ODTEN_CK": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1963.7-1963.20" + } + }, + "HSRX_ODTEN_D0": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1963.22-1963.35" + } + }, + "HSRX_ODTEN_D1": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1963.38-1963.51" + } + }, + "HSRX_ODTEN_D2": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1963.53-1963.66" + } + }, + "HSRX_ODTEN_D3": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1963.68-1963.81" + } + }, + "HSRX_STOP": { + "hide_name": 0, + "bits": [ 128 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1975.7-1975.16" + } + }, + "HS_8BIT_MODE": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1966.7-1966.19" + } + }, + "LALIGN_EN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1969.7-1969.16" + } + }, + "LPRX_EN_CK": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1962.7-1962.17" + } + }, + "LPRX_EN_D0": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1962.19-1962.29" + } + }, + "LPRX_EN_D1": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1962.31-1962.41" + } + }, + "LPRX_EN_D2": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1962.43-1962.53" + } + }, + "LPRX_EN_D3": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1962.55-1962.65" + } + }, + "LPRX_ULP_CK": { + "hide_name": 0, + "bits": [ 133 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1976.63-1976.74" + } + }, + "LPRX_ULP_LN0": { + "hide_name": 0, + "bits": [ 129 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1976.7-1976.19" + } + }, + "LPRX_ULP_LN1": { + "hide_name": 0, + "bits": [ 130 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1976.21-1976.33" + } + }, + "LPRX_ULP_LN2": { + "hide_name": 0, + "bits": [ 131 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1976.35-1976.47" + } + }, + "LPRX_ULP_LN3": { + "hide_name": 0, + "bits": [ 132 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1976.49-1976.61" + } + }, + "LPTX_EN_CK": { + "hide_name": 0, + "bits": [ 122 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1973.7-1973.17" + } + }, + "LPTX_EN_D0": { + "hide_name": 0, + "bits": [ 123 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1973.19-1973.29" + } + }, + "LPTX_EN_D1": { + "hide_name": 0, + "bits": [ 124 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1973.31-1973.41" + } + }, + "LPTX_EN_D2": { + "hide_name": 0, + "bits": [ 125 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1973.43-1973.53" + } + }, + "LPTX_EN_D3": { + "hide_name": 0, + "bits": [ 126 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1973.55-1973.65" + } + }, + "ONE_BYTE0_MATCH": { + "hide_name": 0, + "bits": [ 156 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1984.7-1984.22" + } + }, + "PWRON": { + "hide_name": 0, + "bits": [ 134 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1977.7-1977.12" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 135 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1978.7-1978.12" + } + }, + "RX0_N": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.20-1961.25" + } + }, + "RX0_P": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.27-1961.32" + } + }, + "RX1_N": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.34-1961.39" + } + }, + "RX1_P": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.41-1961.46" + } + }, + "RX2_N": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.48-1961.53" + } + }, + "RX2_P": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.55-1961.60" + } + }, + "RX3_N": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.62-1961.67" + } + }, + "RX3_P": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1961.69-1961.74" + } + }, + "RX_CLK_1X": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1967.7-1967.16" + } + }, + "RX_CLK_O": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1959.8-1959.16" + } + }, + "RX_INVERT": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1968.7-1968.16" + } + }, + "WALIGN_BY": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1970.7-1970.16" + } + }, + "WORD_LENDIAN": { + "hide_name": 0, + "bits": [ 157 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1985.7-1985.19" + } + } + } + }, + "MIPI_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1438.1-1444.10" + }, + "ports": { + "OH": { + "direction": "output", + "bits": [ 2 ] + }, + "OL": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + }, + "IO": { + "direction": "inout", + "bits": [ 5 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 6 ] + }, + "I": { + "direction": "input", + "bits": [ 7 ] + }, + "IB": { + "direction": "input", + "bits": [ 8 ] + }, + "OEN": { + "direction": "input", + "bits": [ 9 ] + }, + "OENB": { + "direction": "input", + "bits": [ 10 ] + }, + "HSREN": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + }, + "netnames": { + "HSREN": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1443.7-1443.12" + } + }, + "I": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1441.8-1441.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1441.11-1441.13" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1440.7-1440.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1440.11-1440.14" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1439.16-1439.18" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1442.7-1442.10" + } + }, + "OENB": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1442.12-1442.16" + } + }, + "OH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1439.8-1439.10" + } + }, + "OL": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1439.12-1439.14" + } + } + } + }, + "MIPI_IBUF_HS": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1446.1-1449.10" + }, + "ports": { + "OH": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1448.8-1448.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1448.11-1448.13" + } + }, + "OH": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1447.8-1447.10" + } + } + } + }, + "MIPI_IBUF_LP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1451.1-1456.10" + }, + "ports": { + "OL": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1454.8-1454.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1455.7-1455.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1453.8-1453.10" + } + }, + "OL": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1452.8-1452.10" + } + } + } + }, + "MIPI_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1458.1-1461.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1460.8-1460.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1460.11-1460.13" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1460.15-1460.22" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1459.8-1459.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1459.11-1459.13" + } + } + } + }, + "MIPI_OBUF_A": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1463.1-1466.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "IB": { + "direction": "input", + "bits": [ 5 ] + }, + "IL": { + "direction": "input", + "bits": [ 6 ] + }, + "MODESEL": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1465.8-1465.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1465.11-1465.13" + } + }, + "IL": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1465.15-1465.17" + } + }, + "MODESEL": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1465.19-1465.26" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1464.8-1464.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1464.11-1464.13" + } + } + } + }, + "MULT18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1206.1-1224.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "SIA": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "B": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "SIB": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 74 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 75 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 76 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 77 ] + }, + "CE": { + "direction": "input", + "bits": [ 78 ] + }, + "CLK": { + "direction": "input", + "bits": [ 79 ] + }, + "RESET": { + "direction": "input", + "bits": [ 80 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ] + }, + "SOA": { + "direction": "output", + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ] + }, + "SOB": { + "direction": "output", + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1207.15-1207.16" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1210.8-1210.12" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1209.8-1209.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1208.15-1208.16" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1210.13-1210.17" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1209.14-1209.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1211.8-1211.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1212.8-1212.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1214.15-1214.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1213.8-1213.13" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1207.17-1207.20" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1208.17-1208.20" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1215.15-1215.18" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1215.19-1215.22" + } + } + } + }, + "MULT36X36": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1226.1-1242.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT0_REG": "0", + "OUT1_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "B": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 74 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 75 ] + }, + "CE": { + "direction": "input", + "bits": [ 76 ] + }, + "CLK": { + "direction": "input", + "bits": [ 77 ] + }, + "RESET": { + "direction": "input", + "bits": [ 78 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1227.15-1227.16" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1229.8-1229.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1228.15-1228.16" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1229.14-1229.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1230.8-1230.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1231.8-1231.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1233.15-1233.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1232.8-1232.13" + } + } + } + }, + "MULT9X9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1186.1-1204.10" + }, + "parameter_default_values": { + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "SIA": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28 ] + }, + "SIB": { + "direction": "input", + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 38 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 39 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 40 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 41 ] + }, + "CE": { + "direction": "input", + "bits": [ 42 ] + }, + "CLK": { + "direction": "input", + "bits": [ 43 ] + }, + "RESET": { + "direction": "input", + "bits": [ 44 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ] + }, + "SOA": { + "direction": "output", + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71 ] + }, + "SOB": { + "direction": "output", + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1187.14-1187.15" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1190.8-1190.12" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1189.8-1189.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1188.14-1188.15" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1190.13-1190.17" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1189.14-1189.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1191.8-1191.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1192.8-1192.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1194.15-1194.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1193.8-1193.13" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1187.16-1187.19" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1188.16-1188.19" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 63, 64, 65, 66, 67, 68, 69, 70, 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1195.14-1195.17" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1195.18-1195.21" + } + } + } + }, + "MULTADDALU18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1269.1-1305.10" + }, + "parameter_default_values": { + "A0REG": "0", + "A1REG": "0", + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "ASIGN0_REG": "0", + "ASIGN1_REG": "0", + "B0REG": "0", + "B1REG": "0", + "BSIGN0_REG": "0", + "BSIGN1_REG": "0", + "B_ADD_SUB": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "MULTADDALU18X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE0_REG": "0", + "PIPE1_REG": "0", + "SOA_REG": "0" + }, + "ports": { + "A0": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B0": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "A1": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "B1": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "C": { + "direction": "input", + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127 ] + }, + "SIA": { + "direction": "input", + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ] + }, + "SIB": { + "direction": "input", + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 164, 165 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 166, 167 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 168, 169 ] + }, + "BSEL": { + "direction": "input", + "bits": [ 170, 171 ] + }, + "CASI": { + "direction": "input", + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ] + }, + "CE": { + "direction": "input", + "bits": [ 227 ] + }, + "CLK": { + "direction": "input", + "bits": [ 228 ] + }, + "RESET": { + "direction": "input", + "bits": [ 229 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 230 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ] + }, + "CASO": { + "direction": "output", + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ] + }, + "SOA": { + "direction": "output", + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ] + }, + "SOB": { + "direction": "output", + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375 ] + } + }, + "cells": { + }, + "netnames": { + "A0": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1270.14-1270.16" + } + }, + "A1": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1272.14-1272.16" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 230 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1282.7-1282.14" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 168, 169 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1277.13-1277.17" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 164, 165 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1276.13-1276.18" + } + }, + "B0": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1271.14-1271.16" + } + }, + "B1": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1273.14-1273.16" + } + }, + "BSEL": { + "hide_name": 0, + "bits": [ 170, 171 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1277.19-1277.23" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 166, 167 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1276.20-1276.25" + } + }, + "C": { + "hide_name": 0, + "bits": [ 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1274.14-1274.15" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1278.14-1278.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316, 317, 318, 319, 320, 321, 322, 323, 324, 325, 326, 327, 328, 329, 330, 331, 332, 333, 334, 335, 336, 337, 338, 339 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1284.15-1284.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 227 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1279.7-1279.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 228 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1280.7-1280.10" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1283.15-1283.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 229 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1281.7-1281.12" + } + }, + "SIA": { + "hide_name": 0, + "bits": [ 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1275.14-1275.17" + } + }, + "SIB": { + "hide_name": 0, + "bits": [ 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1275.19-1275.22" + } + }, + "SOA": { + "hide_name": 0, + "bits": [ 340, 341, 342, 343, 344, 345, 346, 347, 348, 349, 350, 351, 352, 353, 354, 355, 356, 357 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1285.15-1285.18" + } + }, + "SOB": { + "hide_name": 0, + "bits": [ 358, 359, 360, 361, 362, 363, 364, 365, 366, 367, 368, 369, 370, 371, 372, 373, 374, 375 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1285.20-1285.23" + } + } + } + }, + "MULTALU18X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1307.1-1331.10" + }, + "parameter_default_values": { + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "B_ADD_SUB": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "DREG": "0", + "DSIGN_REG": "0", + "MULTALU18X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "CLK": { + "direction": "input", + "bits": [ 38 ] + }, + "CE": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET": { + "direction": "input", + "bits": [ 40 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 41 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 42 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 43 ] + }, + "DSIGN": { + "direction": "input", + "bits": [ 44 ] + }, + "C": { + "direction": "input", + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ] + }, + "D": { + "direction": "input", + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ] + }, + "CASI": { + "direction": "input", + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261 ] + }, + "CASO": { + "direction": "output", + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1308.14-1308.15" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1311.7-1311.14" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1310.7-1310.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1308.17-1308.18" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1310.14-1310.19" + } + }, + "C": { + "hide_name": 0, + "bits": [ 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1312.14-1312.15" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170, 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1313.14-1313.18" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279, 280, 281, 282, 283, 284, 285, 286, 287, 288, 289, 290, 291, 292, 293, 294, 295, 296, 297, 298, 299, 300, 301, 302, 303, 304, 305, 306, 307, 308, 309, 310, 311, 312, 313, 314, 315, 316 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1315.15-1315.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1309.11-1309.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1309.7-1309.10" + } + }, + "D": { + "hide_name": 0, + "bits": [ 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1312.16-1312.17" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224, 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1314.15-1314.19" + } + }, + "DSIGN": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1311.15-1311.20" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1309.14-1309.19" + } + } + } + }, + "MULTALU36X18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1244.1-1267.10" + }, + "parameter_default_values": { + "ACCLOAD_REG0": "0", + "ACCLOAD_REG1": "0", + "AREG": "0", + "ASIGN_REG": "0", + "BREG": "0", + "BSIGN_REG": "0", + "CREG": "0", + "C_ADD_SUB": "0", + "MULTALU36X18_MODE": "00000000000000000000000000000000", + "MULT_RESET_MODE": "SYNC", + "OUT_REG": "0", + "PIPE_REG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + }, + "C": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ] + }, + "ASIGN": { + "direction": "input", + "bits": [ 110 ] + }, + "BSIGN": { + "direction": "input", + "bits": [ 111 ] + }, + "ACCLOAD": { + "direction": "input", + "bits": [ 112 ] + }, + "CE": { + "direction": "input", + "bits": [ 113 ] + }, + "CLK": { + "direction": "input", + "bits": [ 114 ] + }, + "RESET": { + "direction": "input", + "bits": [ 115 ] + }, + "CASI": { + "direction": "input", + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ] + }, + "CASO": { + "direction": "output", + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1245.15-1245.16" + } + }, + "ACCLOAD": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1248.20-1248.27" + } + }, + "ASIGN": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1248.8-1248.13" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1246.15-1246.16" + } + }, + "BSIGN": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1248.14-1248.19" + } + }, + "C": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1247.15-1247.16" + } + }, + "CASI": { + "hide_name": 0, + "bits": [ 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131, 132, 133, 134, 135, 136, 137, 138, 139, 140, 141, 142, 143, 144, 145, 146, 147, 148, 149, 150, 151, 152, 153, 154, 155, 156, 157, 158, 159, 160, 161, 162, 163, 164, 165, 166, 167, 168, 169, 170 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1252.15-1252.19" + } + }, + "CASO": { + "hide_name": 0, + "bits": [ 225, 226, 227, 228, 229, 230, 231, 232, 233, 234, 235, 236, 237, 238, 239, 240, 241, 242, 243, 244, 245, 246, 247, 248, 249, 250, 251, 252, 253, 254, 255, 256, 257, 258, 259, 260, 261, 262, 263, 264, 265, 266, 267, 268, 269, 270, 271, 272, 273, 274, 275, 276, 277, 278, 279 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1254.15-1254.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1249.8-1249.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 114 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1250.8-1250.11" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 171, 172, 173, 174, 175, 176, 177, 178, 179, 180, 181, 182, 183, 184, 185, 186, 187, 188, 189, 190, 191, 192, 193, 194, 195, 196, 197, 198, 199, 200, 201, 202, 203, 204, 205, 206, 207, 208, 209, 210, 211, 212, 213, 214, 215, 216, 217, 218, 219, 220, 221, 222, 223, 224 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1253.15-1253.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 115 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1251.8-1251.13" + } + } + } + }, + "MUX16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:39.1-43.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "I7": { + "direction": "input", + "bits": [ 9 ] + }, + "I8": { + "direction": "input", + "bits": [ 10 ] + }, + "I9": { + "direction": "input", + "bits": [ 11 ] + }, + "I10": { + "direction": "input", + "bits": [ 12 ] + }, + "I11": { + "direction": "input", + "bits": [ 13 ] + }, + "I12": { + "direction": "input", + "bits": [ 14 ] + }, + "I13": { + "direction": "input", + "bits": [ 15 ] + }, + "I14": { + "direction": "input", + "bits": [ 16 ] + }, + "I15": { + "direction": "input", + "bits": [ 17 ] + }, + "S0": { + "direction": "input", + "bits": [ 18 ] + }, + "S1": { + "direction": "input", + "bits": [ 19 ] + }, + "S2": { + "direction": "input", + "bits": [ 20 ] + }, + "S3": { + "direction": "input", + "bits": [ 21 ] + }, + "O": { + "direction": "output", + "bits": [ 22 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.7-40.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.11-40.13" + } + }, + "I10": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.47-40.50" + } + }, + "I11": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.52-40.55" + } + }, + "I12": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.57-40.60" + } + }, + "I13": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.62-40.65" + } + }, + "I14": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.67-40.70" + } + }, + "I15": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.72-40.75" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.15-40.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.19-40.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.23-40.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.27-40.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.31-40.33" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.35-40.37" + } + }, + "I8": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.39-40.41" + } + }, + "I9": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:40.43-40.45" + } + }, + "O": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:42.8-42.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:41.7-41.9" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:41.11-41.13" + } + }, + "S2": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:41.15-41.17" + } + }, + "S3": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:41.19-41.21" + } + } + } + }, + "MUX2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:99.1-111.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$102": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:105.3-105.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$103": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:106.3-106.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$104": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:107.3-107.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:100.9-100.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:100.12-100.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:102.10-102.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:101.9-101.11" + } + } + } + }, + "MUX2_LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:113.1-125.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$105": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:119.3-119.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$106": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000010100000", + "T_FALL_MIN": "00000000000000000000000010100000", + "T_FALL_TYP": "00000000000000000000000010100000", + "T_RISE_MAX": "00000000000000000000000010001101", + "T_RISE_MIN": "00000000000000000000000010001101", + "T_RISE_TYP": "00000000000000000000000010001101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:120.3-120.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$107": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:121.3-121.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:114.9-114.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:114.12-114.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:116.10-116.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:115.9-115.11" + } + } + } + }, + "MUX2_LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:127.1-139.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$108": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:133.3-133.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$109": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:134.3-134.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$110": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:135.3-135.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:128.9-128.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:128.12-128.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:130.10-130.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:129.9-129.11" + } + } + } + }, + "MUX2_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:141.1-153.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$111": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:147.3-147.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$112": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:148.3-148.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$113": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:149.3-149.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:142.9-142.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:142.12-142.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:144.10-144.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:143.9-143.11" + } + } + } + }, + "MUX2_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:155.1-167.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "S0": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + "$specify$114": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:161.3-161.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$115": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000011111111", + "T_FALL_MIN": "00000000000000000000000011111111", + "T_FALL_TYP": "00000000000000000000000011111111", + "T_RISE_MAX": "00000000000000000000000010001000", + "T_RISE_MIN": "00000000000000000000000010001000", + "T_RISE_TYP": "00000000000000000000000010001000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:162.3-162.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$116": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:163.3-163.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + } + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:156.9-156.11" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:156.12-156.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:158.10-158.11" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:157.9-157.11" + } + } + } + }, + "MUX2_MUX16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:11.1-15.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "S0": { + "direction": "input", + "bits": [ 4 ] + }, + "O": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:12.7-12.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:12.10-12.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:14.8-14.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:13.7-13.9" + } + } + } + }, + "MUX2_MUX32": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:18.1-22.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "S0": { + "direction": "input", + "bits": [ 4 ] + }, + "O": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:19.7-19.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:19.10-19.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:21.8-21.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:20.7-20.9" + } + } + } + }, + "MUX2_MUX8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:4.1-8.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "S0": { + "direction": "input", + "bits": [ 4 ] + }, + "O": { + "direction": "output", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:5.7-5.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:5.10-5.12" + } + }, + "O": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:7.8-7.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:6.7-6.9" + } + } + } + }, + "MUX32": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:45.1-49.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "I7": { + "direction": "input", + "bits": [ 9 ] + }, + "I8": { + "direction": "input", + "bits": [ 10 ] + }, + "I9": { + "direction": "input", + "bits": [ 11 ] + }, + "I10": { + "direction": "input", + "bits": [ 12 ] + }, + "I11": { + "direction": "input", + "bits": [ 13 ] + }, + "I12": { + "direction": "input", + "bits": [ 14 ] + }, + "I13": { + "direction": "input", + "bits": [ 15 ] + }, + "I14": { + "direction": "input", + "bits": [ 16 ] + }, + "I15": { + "direction": "input", + "bits": [ 17 ] + }, + "I16": { + "direction": "input", + "bits": [ 18 ] + }, + "I17": { + "direction": "input", + "bits": [ 19 ] + }, + "I18": { + "direction": "input", + "bits": [ 20 ] + }, + "I19": { + "direction": "input", + "bits": [ 21 ] + }, + "I20": { + "direction": "input", + "bits": [ 22 ] + }, + "I21": { + "direction": "input", + "bits": [ 23 ] + }, + "I22": { + "direction": "input", + "bits": [ 24 ] + }, + "I23": { + "direction": "input", + "bits": [ 25 ] + }, + "I24": { + "direction": "input", + "bits": [ 26 ] + }, + "I25": { + "direction": "input", + "bits": [ 27 ] + }, + "I26": { + "direction": "input", + "bits": [ 28 ] + }, + "I27": { + "direction": "input", + "bits": [ 29 ] + }, + "I28": { + "direction": "input", + "bits": [ 30 ] + }, + "I29": { + "direction": "input", + "bits": [ 31 ] + }, + "I30": { + "direction": "input", + "bits": [ 32 ] + }, + "I31": { + "direction": "input", + "bits": [ 33 ] + }, + "S0": { + "direction": "input", + "bits": [ 34 ] + }, + "S1": { + "direction": "input", + "bits": [ 35 ] + }, + "S2": { + "direction": "input", + "bits": [ 36 ] + }, + "S3": { + "direction": "input", + "bits": [ 37 ] + }, + "S4": { + "direction": "input", + "bits": [ 38 ] + }, + "O": { + "direction": "output", + "bits": [ 39 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.7-46.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.11-46.13" + } + }, + "I10": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.47-46.50" + } + }, + "I11": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.52-46.55" + } + }, + "I12": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.57-46.60" + } + }, + "I13": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.62-46.65" + } + }, + "I14": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.67-46.70" + } + }, + "I15": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.72-46.75" + } + }, + "I16": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.77-46.80" + } + }, + "I17": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.82-46.85" + } + }, + "I18": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.87-46.90" + } + }, + "I19": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.92-46.95" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.15-46.17" + } + }, + "I20": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.97-46.100" + } + }, + "I21": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.102-46.105" + } + }, + "I22": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.107-46.110" + } + }, + "I23": { + "hide_name": 0, + "bits": [ 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.112-46.115" + } + }, + "I24": { + "hide_name": 0, + "bits": [ 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.117-46.120" + } + }, + "I25": { + "hide_name": 0, + "bits": [ 27 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.122-46.125" + } + }, + "I26": { + "hide_name": 0, + "bits": [ 28 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.127-46.130" + } + }, + "I27": { + "hide_name": 0, + "bits": [ 29 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.132-46.135" + } + }, + "I28": { + "hide_name": 0, + "bits": [ 30 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.137-46.140" + } + }, + "I29": { + "hide_name": 0, + "bits": [ 31 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.142-46.145" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.19-46.21" + } + }, + "I30": { + "hide_name": 0, + "bits": [ 32 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.147-46.150" + } + }, + "I31": { + "hide_name": 0, + "bits": [ 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.152-46.155" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.23-46.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.27-46.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.31-46.33" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.35-46.37" + } + }, + "I8": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.39-46.41" + } + }, + "I9": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:46.43-46.45" + } + }, + "O": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:48.8-48.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 34 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:47.7-47.9" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 35 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:47.11-47.13" + } + }, + "S2": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:47.15-47.17" + } + }, + "S3": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:47.19-47.21" + } + }, + "S4": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:47.23-47.25" + } + } + } + }, + "MUX4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:25.1-29.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "S0": { + "direction": "input", + "bits": [ 6 ] + }, + "S1": { + "direction": "input", + "bits": [ 7 ] + }, + "O": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:26.7-26.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:26.11-26.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:26.15-26.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:26.19-26.21" + } + }, + "O": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:28.8-28.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:27.7-27.9" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:27.11-27.13" + } + } + } + }, + "MUX8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:32.1-36.10" + }, + "ports": { + "I0": { + "direction": "input", + "bits": [ 2 ] + }, + "I1": { + "direction": "input", + "bits": [ 3 ] + }, + "I2": { + "direction": "input", + "bits": [ 4 ] + }, + "I3": { + "direction": "input", + "bits": [ 5 ] + }, + "I4": { + "direction": "input", + "bits": [ 6 ] + }, + "I5": { + "direction": "input", + "bits": [ 7 ] + }, + "I6": { + "direction": "input", + "bits": [ 8 ] + }, + "I7": { + "direction": "input", + "bits": [ 9 ] + }, + "S0": { + "direction": "input", + "bits": [ 10 ] + }, + "S1": { + "direction": "input", + "bits": [ 11 ] + }, + "S2": { + "direction": "input", + "bits": [ 12 ] + }, + "O": { + "direction": "output", + "bits": [ 13 ] + } + }, + "cells": { + }, + "netnames": { + "I0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.7-33.9" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.11-33.13" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.15-33.17" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.19-33.21" + } + }, + "I4": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.23-33.25" + } + }, + "I5": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.27-33.29" + } + }, + "I6": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.31-33.33" + } + }, + "I7": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:33.35-33.37" + } + }, + "O": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:35.8-35.9" + } + }, + "S0": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:34.7-34.9" + } + }, + "S1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:34.11-34.13" + } + }, + "S2": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:34.15-34.17" + } + } + } + }, + "OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:562.1-569.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + "$specify$184": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000000000000", + "T_FALL_MIN": "00000000000000000000000000000000", + "T_FALL_TYP": "00000000000000000000000000000000", + "T_RISE_MAX": "00000000000000000000000000000000", + "T_RISE_MIN": "00000000000000000000000000000000", + "T_RISE_TYP": "00000000000000000000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:565.3-565.16" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + } + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:562.29-562.30" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:562.20-562.21" + } + } + } + }, + "ODDR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:846.1-855.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "TX": { + "direction": "input", + "bits": [ 4 ] + }, + "CLK": { + "direction": "input", + "bits": [ 5 ] + }, + "Q0": { + "direction": "output", + "bits": [ 6 ] + }, + "Q1": { + "direction": "output", + "bits": [ 7 ] + } + }, + "cells": { + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:850.8-850.11" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:847.8-847.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:848.8-848.10" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:851.9-851.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:852.9-852.11" + } + }, + "TX": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:849.8-849.10" + } + } + } + }, + "ODDRC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:858.1-868.10" + }, + "parameter_default_values": { + "INIT": "00000000000000000000000000000000", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D0": { + "direction": "input", + "bits": [ 2 ] + }, + "D1": { + "direction": "input", + "bits": [ 3 ] + }, + "CLEAR": { + "direction": "input", + "bits": [ 4 ] + }, + "TX": { + "direction": "input", + "bits": [ 5 ] + }, + "CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "Q0": { + "direction": "output", + "bits": [ 7 ] + }, + "Q1": { + "direction": "output", + "bits": [ 8 ] + } + }, + "cells": { + }, + "netnames": { + "CLEAR": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:861.8-861.13" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:863.8-863.11" + } + }, + "D0": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:859.8-859.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:860.8-860.10" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:864.9-864.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:865.9-865.11" + } + }, + "TX": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:862.8-862.10" + } + } + } + }, + "OSC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1855.1-1860.10" + }, + "parameter_default_values": { + "DEVICE": "GW1N-4", + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1856.8-1856.14" + } + } + } + }, + "OSCF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1872.1-1879.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCOUT30M": { + "direction": "output", + "bits": [ 3 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1873.7-1873.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1875.8-1875.14" + } + }, + "OSCOUT30M": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1876.8-1876.17" + } + } + } + }, + "OSCH": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1882.1-1886.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100000" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1883.8-1883.14" + } + } + } + }, + "OSCO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1896.1-1903.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100", + "REGULATOR_EN": "0" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1897.7-1897.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1899.8-1899.14" + } + } + } + }, + "OSCW": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1889.1-1893.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001010000" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1890.8-1890.14" + } + } + } + }, + "OSCZ": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1863.1-1869.10" + }, + "parameter_default_values": { + "FREQ_DIV": "00000000000000000000000001100100" + }, + "ports": { + "OSCOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "OSCEN": { + "direction": "input", + "bits": [ 3 ] + } + }, + "cells": { + }, + "netnames": { + "OSCEN": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1864.7-1864.12" + } + }, + "OSCOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1866.8-1866.14" + } + } + } + }, + "OSER10": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:647.1-666.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D9": { + "direction": "input", + "bits": [ 2 ] + }, + "D8": { + "direction": "input", + "bits": [ 3 ] + }, + "D7": { + "direction": "input", + "bits": [ 4 ] + }, + "D6": { + "direction": "input", + "bits": [ 5 ] + }, + "D5": { + "direction": "input", + "bits": [ 6 ] + }, + "D4": { + "direction": "input", + "bits": [ 7 ] + }, + "D3": { + "direction": "input", + "bits": [ 8 ] + }, + "D2": { + "direction": "input", + "bits": [ 9 ] + }, + "D1": { + "direction": "input", + "bits": [ 10 ] + }, + "D0": { + "direction": "input", + "bits": [ 11 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 12 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 13 ] + }, + "RESET": { + "direction": "input", + "bits": [ 14 ] + }, + "Q": { + "direction": "output", + "bits": [ 15 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:659.8-659.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:658.8-658.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:657.8-657.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:656.8-656.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:655.8-655.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:654.8-654.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:653.8-653.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:652.8-652.10" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:651.8-651.10" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:650.8-650.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:660.8-660.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:661.8-661.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:648.9-648.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:662.8-662.13" + } + } + } + }, + "OSER16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:686.1-713.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D15": { + "direction": "input", + "bits": [ 2 ] + }, + "D14": { + "direction": "input", + "bits": [ 3 ] + }, + "D13": { + "direction": "input", + "bits": [ 4 ] + }, + "D12": { + "direction": "input", + "bits": [ 5 ] + }, + "D11": { + "direction": "input", + "bits": [ 6 ] + }, + "D10": { + "direction": "input", + "bits": [ 7 ] + }, + "D9": { + "direction": "input", + "bits": [ 8 ] + }, + "D8": { + "direction": "input", + "bits": [ 9 ] + }, + "D7": { + "direction": "input", + "bits": [ 10 ] + }, + "D6": { + "direction": "input", + "bits": [ 11 ] + }, + "D5": { + "direction": "input", + "bits": [ 12 ] + }, + "D4": { + "direction": "input", + "bits": [ 13 ] + }, + "D3": { + "direction": "input", + "bits": [ 14 ] + }, + "D2": { + "direction": "input", + "bits": [ 15 ] + }, + "D1": { + "direction": "input", + "bits": [ 16 ] + }, + "D0": { + "direction": "input", + "bits": [ 17 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 18 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 19 ] + }, + "RESET": { + "direction": "input", + "bits": [ 20 ] + }, + "Q": { + "direction": "output", + "bits": [ 21 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:706.8-706.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:705.8-705.10" + } + }, + "D10": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:696.8-696.11" + } + }, + "D11": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:695.8-695.11" + } + }, + "D12": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:694.8-694.11" + } + }, + "D13": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:693.8-693.11" + } + }, + "D14": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:692.8-692.11" + } + }, + "D15": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:691.8-691.11" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:704.8-704.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:703.8-703.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:702.8-702.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:701.8-701.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:700.8-700.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:699.8-699.10" + } + }, + "D8": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:698.8-698.10" + } + }, + "D9": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:697.8-697.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:707.8-707.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:708.8-708.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:689.9-689.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:709.8-709.13" + } + } + } + }, + "OSER4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:601.1-619.10" + }, + "parameter_default_values": { + "GSREN": "false", + "HWL": "false", + "LSREN": "true", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D3": { + "direction": "input", + "bits": [ 2 ] + }, + "D2": { + "direction": "input", + "bits": [ 3 ] + }, + "D1": { + "direction": "input", + "bits": [ 4 ] + }, + "D0": { + "direction": "input", + "bits": [ 5 ] + }, + "TX1": { + "direction": "input", + "bits": [ 6 ] + }, + "TX0": { + "direction": "input", + "bits": [ 7 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 8 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "RESET": { + "direction": "input", + "bits": [ 10 ] + }, + "Q1": { + "direction": "output", + "bits": [ 11 ] + }, + "Q0": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:608.8-608.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:607.8-607.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:606.8-606.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:605.8-605.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:611.8-611.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:612.8-612.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:603.9-603.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:602.9-602.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:613.8-613.13" + } + }, + "TX0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:610.8-610.11" + } + }, + "TX1": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:609.8-609.11" + } + } + } + }, + "OSER8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:621.1-645.10" + }, + "parameter_default_values": { + "GSREN": "false", + "HWL": "false", + "LSREN": "true", + "TXCLK_POL": "00000000000000000000000000000000" + }, + "ports": { + "D7": { + "direction": "input", + "bits": [ 2 ] + }, + "D6": { + "direction": "input", + "bits": [ 3 ] + }, + "D5": { + "direction": "input", + "bits": [ 4 ] + }, + "D4": { + "direction": "input", + "bits": [ 5 ] + }, + "D3": { + "direction": "input", + "bits": [ 6 ] + }, + "D2": { + "direction": "input", + "bits": [ 7 ] + }, + "D1": { + "direction": "input", + "bits": [ 8 ] + }, + "D0": { + "direction": "input", + "bits": [ 9 ] + }, + "TX3": { + "direction": "input", + "bits": [ 10 ] + }, + "TX2": { + "direction": "input", + "bits": [ 11 ] + }, + "TX1": { + "direction": "input", + "bits": [ 12 ] + }, + "TX0": { + "direction": "input", + "bits": [ 13 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 14 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 15 ] + }, + "RESET": { + "direction": "input", + "bits": [ 16 ] + }, + "Q1": { + "direction": "output", + "bits": [ 17 ] + }, + "Q0": { + "direction": "output", + "bits": [ 18 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:632.8-632.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:631.8-631.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:630.8-630.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:629.8-629.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:628.8-628.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:627.8-627.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:626.8-626.10" + } + }, + "D7": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:625.8-625.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:637.8-637.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:638.8-638.12" + } + }, + "Q0": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:623.9-623.11" + } + }, + "Q1": { + "hide_name": 0, + "bits": [ 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:622.9-622.11" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:639.8-639.13" + } + }, + "TX0": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:636.8-636.11" + } + }, + "TX1": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:635.8-635.11" + } + }, + "TX2": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:634.8-634.11" + } + }, + "TX3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:633.8-633.11" + } + } + } + }, + "OVIDEO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:668.1-684.10" + }, + "parameter_default_values": { + "GSREN": "false", + "LSREN": "true" + }, + "ports": { + "D6": { + "direction": "input", + "bits": [ 2 ] + }, + "D5": { + "direction": "input", + "bits": [ 3 ] + }, + "D4": { + "direction": "input", + "bits": [ 4 ] + }, + "D3": { + "direction": "input", + "bits": [ 5 ] + }, + "D2": { + "direction": "input", + "bits": [ 6 ] + }, + "D1": { + "direction": "input", + "bits": [ 7 ] + }, + "D0": { + "direction": "input", + "bits": [ 8 ] + }, + "FCLK": { + "direction": "input", + "bits": [ 9 ] + }, + "PCLK": { + "direction": "input", + "bits": [ 10 ] + }, + "RESET": { + "direction": "input", + "bits": [ 11 ] + }, + "Q": { + "direction": "output", + "bits": [ 12 ] + } + }, + "cells": { + }, + "netnames": { + "D0": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:677.8-677.10" + } + }, + "D1": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:676.8-676.10" + } + }, + "D2": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:675.8-675.10" + } + }, + "D3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:674.8-674.10" + } + }, + "D4": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:673.8-673.10" + } + }, + "D5": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:672.8-672.10" + } + }, + "D6": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:671.8-671.10" + } + }, + "FCLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:678.8-678.12" + } + }, + "PCLK": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:679.8-679.12" + } + }, + "Q": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:669.9-669.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:680.8-680.13" + } + } + } + }, + "PADD18": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1154.1-1168.10" + }, + "parameter_default_values": { + "ADD_SUB": "0", + "AREG": "0", + "BREG": "0", + "BSEL_MODE": "1", + "PADD_RESET_MODE": "SYNC", + "SOREG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "B": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 38 ] + }, + "CE": { + "direction": "input", + "bits": [ 39 ] + }, + "CLK": { + "direction": "input", + "bits": [ 40 ] + }, + "RESET": { + "direction": "input", + "bits": [ 41 ] + }, + "SI": { + "direction": "input", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "SBI": { + "direction": "input", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ] + }, + "SO": { + "direction": "output", + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ] + }, + "SBO": { + "direction": "output", + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1155.15-1155.16" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1157.8-1157.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1156.15-1156.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1158.8-1158.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1158.11-1158.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 114, 115, 116, 117, 118, 119, 120, 121, 122, 123, 124, 125, 126, 127, 128, 129, 130, 131 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1161.15-1161.19" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1158.15-1158.20" + } + }, + "SBI": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1159.18-1159.21" + } + }, + "SBO": { + "hide_name": 0, + "bits": [ 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1160.18-1160.21" + } + }, + "SI": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1159.15-1159.17" + } + }, + "SO": { + "hide_name": 0, + "bits": [ 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1160.15-1160.17" + } + } + } + }, + "PADD9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1170.1-1184.10" + }, + "parameter_default_values": { + "ADD_SUB": "0", + "AREG": "0", + "BREG": "0", + "BSEL_MODE": "1", + "PADD_RESET_MODE": "SYNC", + "SOREG": "0" + }, + "ports": { + "A": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ] + }, + "B": { + "direction": "input", + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "ASEL": { + "direction": "input", + "bits": [ 20 ] + }, + "CE": { + "direction": "input", + "bits": [ 21 ] + }, + "CLK": { + "direction": "input", + "bits": [ 22 ] + }, + "RESET": { + "direction": "input", + "bits": [ 23 ] + }, + "SI": { + "direction": "input", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32 ] + }, + "SBI": { + "direction": "input", + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41 ] + }, + "SO": { + "direction": "output", + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ] + }, + "SBO": { + "direction": "output", + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + }, + "DOUT": { + "direction": "output", + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + } + }, + "cells": { + }, + "netnames": { + "A": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1171.14-1171.15" + } + }, + "ASEL": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1173.8-1173.12" + } + }, + "B": { + "hide_name": 0, + "bits": [ 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1172.14-1172.15" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1174.8-1174.10" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1174.11-1174.14" + } + }, + "DOUT": { + "hide_name": 0, + "bits": [ 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1177.14-1177.18" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1174.15-1174.20" + } + }, + "SBI": { + "hide_name": 0, + "bits": [ 33, 34, 35, 36, 37, 38, 39, 40, 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1175.17-1175.20" + } + }, + "SBO": { + "hide_name": 0, + "bits": [ 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1176.17-1176.20" + } + }, + "SI": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1175.14-1175.16" + } + }, + "SO": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45, 46, 47, 48, 49, 50 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1176.14-1176.16" + } + } + } + }, + "PLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1365.1-1404.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1N-4", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 3 ] + }, + "RESET": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET_I": { + "direction": "input", + "bits": [ 6 ] + }, + "RESET_S": { + "direction": "input", + "bits": [ 7 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 26, 27, 28, 29 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 30, 31, 32, 33 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 34, 35, 36, 37 ] + }, + "CLKOUT": { + "direction": "output", + "bits": [ 38 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 39 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 40 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 41 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 42 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1367.7-1367.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1366.7-1366.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1377.8-1377.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1380.8-1380.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1381.8-1381.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1379.8-1379.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1376.13-1376.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1372.13-1372.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1375.18-1375.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1373.13-1373.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1378.8-1378.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1374.13-1374.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 26, 27, 28, 29 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1375.13-1375.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1368.7-1368.12" + } + }, + "RESET_I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1370.7-1370.14" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1369.7-1369.14" + } + }, + "RESET_S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1371.7-1371.14" + } + } + } + }, + "PLLO": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1842.1-1922.10" + }, + "parameter_default_values": { + "CLKA_IN_SEL": "00", + "CLKA_OUT_SEL": "0", + "CLKB_IN_SEL": "00", + "CLKB_OUT_SEL": "0", + "CLKC_IN_SEL": "00", + "CLKC_OUT_SEL": "0", + "CLKD_IN_SEL": "00", + "CLKD_OUT_SEL": "0", + "CLKFB_SEL": "INTERNAL", + "CLKOUTA_DT_DIR": "1", + "CLKOUTA_DT_STEP": "00000000000000000000000000000000", + "CLKOUTA_EN": "TRUE", + "CLKOUTB_DT_DIR": "1", + "CLKOUTB_DT_STEP": "00000000000000000000000000000000", + "CLKOUTB_EN": "TRUE", + "CLKOUTC_EN": "TRUE", + "CLKOUTD_EN": "TRUE", + "DTMS_ENB": "FALSE", + "DTMS_ENC": "FALSE", + "DTMS_END": "FALSE", + "DYN_DPA_EN": "FALSE", + "DYN_DTA_SEL": "FALSE", + "DYN_DTB_SEL": "FALSE", + "DYN_FBDIV_SEL": "FALSE", + "DYN_ICP_SEL": "FALSE", + "DYN_IDIV_SEL": "FALSE", + "DYN_ODIVA_SEL": "FALSE", + "DYN_ODIVB_SEL": "FALSE", + "DYN_ODIVC_SEL": "FALSE", + "DYN_ODIVD_SEL": "FALSE", + "DYN_PSB_SEL": "FALSE", + "DYN_PSC_SEL": "FALSE", + "DYN_PSD_SEL": "FALSE", + "DYN_RES_SEL": "FALSE", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "ICP_SEL": "xxxxx", + "IDIV_SEL": "00000000000000000000000000000000", + "LPR_REF": "xxxxxxx", + "ODIVA_SEL": "00000000000000000000000000000110", + "ODIVB_SEL": "00000000000000000000000000000110", + "ODIVC_SEL": "00000000000000000000000000000110", + "ODIVD_SEL": "00000000000000000000000000000110", + "PSB_COARSE": "00000000000000000000000000000001", + "PSB_FINE": "00000000000000000000000000000000", + "PSC_COARSE": "00000000000000000000000000000001", + "PSC_FINE": "00000000000000000000000000000000", + "PSD_COARSE": "00000000000000000000000000000001", + "PSD_FINE": "00000000000000000000000000000000", + "RESET_I_EN": "FALSE", + "RESET_S_EN": "FALSE" + }, + "ports": { + "CLKIN": { + "direction": "input", + "bits": [ 2 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 3 ] + }, + "RESET": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 5 ] + }, + "RESET_I": { + "direction": "input", + "bits": [ 6 ] + }, + "RESET_S": { + "direction": "input", + "bits": [ 7 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 8, 9, 10, 11, 12, 13 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 14, 15, 16, 17, 18, 19 ] + }, + "ODSELA": { + "direction": "input", + "bits": [ 20, 21, 22, 23, 24, 25, 26 ] + }, + "ODSELB": { + "direction": "input", + "bits": [ 27, 28, 29, 30, 31, 32, 33 ] + }, + "ODSELC": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40 ] + }, + "ODSELD": { + "direction": "input", + "bits": [ 41, 42, 43, 44, 45, 46, 47 ] + }, + "DTA": { + "direction": "input", + "bits": [ 48, 49, 50, 51 ] + }, + "DTB": { + "direction": "input", + "bits": [ 52, 53, 54, 55 ] + }, + "ICPSEL": { + "direction": "input", + "bits": [ 56, 57, 58, 59, 60 ] + }, + "LPFRES": { + "direction": "input", + "bits": [ 61, 62, 63 ] + }, + "PSSEL": { + "direction": "input", + "bits": [ 64, 65 ] + }, + "PSDIR": { + "direction": "input", + "bits": [ 66 ] + }, + "PSPULSE": { + "direction": "input", + "bits": [ 67 ] + }, + "ENCLKA": { + "direction": "input", + "bits": [ 68 ] + }, + "ENCLKB": { + "direction": "input", + "bits": [ 69 ] + }, + "ENCLKC": { + "direction": "input", + "bits": [ 70 ] + }, + "ENCLKD": { + "direction": "input", + "bits": [ 71 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 72 ] + }, + "CLKOUTA": { + "direction": "output", + "bits": [ 73 ] + }, + "CLKOUTB": { + "direction": "output", + "bits": [ 74 ] + }, + "CLKOUTC": { + "direction": "output", + "bits": [ 75 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 76 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1844.7-1844.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1843.7-1843.12" + } + }, + "CLKOUTA": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1867.8-1867.15" + } + }, + "CLKOUTB": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1868.8-1868.15" + } + }, + "CLKOUTC": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1869.8-1869.15" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1870.8-1870.15" + } + }, + "DTA": { + "hide_name": 0, + "bits": [ 48, 49, 50, 51 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1855.13-1855.16" + } + }, + "DTB": { + "hide_name": 0, + "bits": [ 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1856.13-1856.16" + } + }, + "ENCLKA": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1862.7-1862.13" + } + }, + "ENCLKB": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1863.7-1863.13" + } + }, + "ENCLKC": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1864.7-1864.13" + } + }, + "ENCLKD": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1865.7-1865.13" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1849.13-1849.19" + } + }, + "ICPSEL": { + "hide_name": 0, + "bits": [ 56, 57, 58, 59, 60 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1857.13-1857.19" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1850.13-1850.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1866.8-1866.12" + } + }, + "LPFRES": { + "hide_name": 0, + "bits": [ 61, 62, 63 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1858.13-1858.19" + } + }, + "ODSELA": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1851.13-1851.19" + } + }, + "ODSELB": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1852.13-1852.19" + } + }, + "ODSELC": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1853.13-1853.19" + } + }, + "ODSELD": { + "hide_name": 0, + "bits": [ 41, 42, 43, 44, 45, 46, 47 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1854.13-1854.19" + } + }, + "PSDIR": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1860.7-1860.12" + } + }, + "PSPULSE": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1861.7-1861.14" + } + }, + "PSSEL": { + "hide_name": 0, + "bits": [ 64, 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1859.13-1859.18" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1845.7-1845.12" + } + }, + "RESET_I": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1847.7-1847.14" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1846.7-1846.14" + } + }, + "RESET_S": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1848.7-1848.14" + } + } + } + }, + "PLLVR": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1808.1-1852.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1NS-4", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 5 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 7 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 8 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 27, 28, 29, 30 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 31, 32, 33, 34 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 35, 36, 37, 38 ] + }, + "RESET": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 40 ] + }, + "VREN": { + "direction": "input", + "bits": [ 41 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1810.7-1810.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1809.7-1809.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1820.8-1820.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1823.8-1823.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1824.8-1824.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1822.8-1822.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1817.13-1817.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1813.13-1813.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1816.18-1816.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1814.13-1814.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1821.8-1821.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1815.13-1815.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1816.13-1816.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1811.7-1811.12" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1812.7-1812.14" + } + }, + "VREN": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1818.7-1818.11" + } + } + } + }, + "RAM16S1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:961.1-993.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "AD": { + "direction": "input", + "bits": [ 4, 5, 6, 7 ] + }, + "WRE": { + "direction": "input", + "bits": [ 8 ] + }, + "CLK": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + "$specify$193": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:972.2-972.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4, 5, 6, 7 ] + } + }, + "$specify$194": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:973.2-973.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 9 ], + "DST_EN": [ "1" ], + "SRC": [ 3 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$195": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:974.2-974.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 9 ], + "DST_EN": [ "1" ], + "SRC": [ 8 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$196": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:975.2-975.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 9 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5, 6, 7 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$197": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:976.2-976.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x" ], + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + } + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:965.13-965.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:968.7-968.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:966.7-966.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:967.8-967.10" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:969.7-969.10" + } + } + } + }, + "RAM16S2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:996.1-1032.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4, 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "WRE": { + "direction": "input", + "bits": [ 10 ] + }, + "CLK": { + "direction": "input", + "bits": [ 11 ] + } + }, + "cells": { + "$specify$198": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000010", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1008.2-1008.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ] + } + }, + "$specify$199": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1009.2-1009.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 11 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$200": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1010.2-1010.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 11 ], + "DST_EN": [ "1" ], + "SRC": [ 10 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$201": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1011.2-1011.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 11 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$202": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1012.2-1012.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 11 ] + } + } + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1001.13-1001.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1004.7-1004.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1002.13-1002.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1003.14-1003.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1005.7-1005.10" + } + } + } + }, + "RAM16S4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1035.1-1079.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000", + "INIT_2": "0000000000000000", + "INIT_3": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "DI": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "AD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "WRE": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + "$specify$203": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000100", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1049.2-1049.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ] + } + }, + "$specify$204": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1050.2-1050.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$205": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1051.2-1051.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 14 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$206": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1052.2-1052.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$207": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1053.2-1053.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 15 ] + } + } + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1042.13-1042.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1045.7-1045.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1043.13-1043.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1044.14-1044.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1046.7-1046.10" + } + } + } + }, + "RAM16SDP1": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1082.1-1115.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2 ] + }, + "DI": { + "direction": "input", + "bits": [ 3 ] + }, + "WAD": { + "direction": "input", + "bits": [ 4, 5, 6, 7 ] + }, + "RAD": { + "direction": "input", + "bits": [ 8, 9, 10, 11 ] + }, + "WRE": { + "direction": "input", + "bits": [ 12 ] + }, + "CLK": { + "direction": "input", + "bits": [ 13 ] + } + }, + "cells": { + "$specify$208": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1094.2-1094.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8, 9, 10, 11 ] + } + }, + "$specify$209": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1095.2-1095.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 3 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$210": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1096.2-1096.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 12 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$211": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1097.2-1097.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 13 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5, 6, 7 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$212": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000001", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1098.2-1098.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x" ], + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 13 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1090.7-1090.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1088.7-1088.9" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1089.8-1089.10" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1087.13-1087.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 4, 5, 6, 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1086.13-1086.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1091.7-1091.10" + } + } + } + }, + "RAM16SDP2": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1118.1-1155.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3 ] + }, + "DI": { + "direction": "input", + "bits": [ 4, 5 ] + }, + "WAD": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "RAD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "WRE": { + "direction": "input", + "bits": [ 14 ] + }, + "CLK": { + "direction": "input", + "bits": [ 15 ] + } + }, + "cells": { + "$specify$213": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000010", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1131.2-1131.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ] + } + }, + "$specify$214": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000010", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1132.2-1132.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 4, 5 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$215": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1133.2-1133.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 14 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$216": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1134.2-1134.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 15 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$217": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000010", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1135.2-1135.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x" ], + "DST": [ 2, 3 ], + "EN": [ "1" ], + "SRC": [ 15 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 15 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1127.7-1127.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 4, 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1125.13-1125.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1126.14-1126.16" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1124.13-1124.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1123.13-1123.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1128.7-1128.10" + } + } + } + }, + "RAM16SDP4": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1158.1-1203.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000", + "INIT_1": "0000000000000000", + "INIT_2": "0000000000000000", + "INIT_3": "0000000000000000" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5 ] + }, + "DI": { + "direction": "input", + "bits": [ 6, 7, 8, 9 ] + }, + "WAD": { + "direction": "input", + "bits": [ 10, 11, 12, 13 ] + }, + "RAD": { + "direction": "input", + "bits": [ 14, 15, 16, 17 ] + }, + "WRE": { + "direction": "input", + "bits": [ 18 ] + }, + "CLK": { + "direction": "input", + "bits": [ 19 ] + } + }, + "cells": { + "$specify$218": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000100", + "FULL": "1", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "T_FALL_MAX": "00000000000000000000000110010101", + "T_FALL_MIN": "00000000000000000000000110010101", + "T_FALL_TYP": "00000000000000000000000110010101", + "T_RISE_MAX": "00000000000000000000000100001110", + "T_RISE_MIN": "00000000000000000000000100001110", + "T_RISE_TYP": "00000000000000000000000100001110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1173.2-1173.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 14, 15, 16, 17 ] + } + }, + "$specify$219": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1174.2-1174.30" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 6, 7, 8, 9 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$220": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1175.2-1175.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 18 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$221": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1176.2-1176.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 19 ], + "DST_EN": [ "1" ], + "SRC": [ 10, 11, 12, 13 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$222": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000000100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001000110101", + "T_FALL_MIN": "00000000000000000000001000110101", + "T_FALL_TYP": "00000000000000000000001000110101", + "T_RISE_MAX": "00000000000000000000000111011010", + "T_RISE_MIN": "00000000000000000000000111011010", + "T_RISE_TYP": "00000000000000000000000111011010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1177.2-1177.44" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ "x", "x", "x", "x" ], + "DST": [ 2, 3, 4, 5 ], + "EN": [ "1" ], + "SRC": [ 19 ] + } + } + }, + "netnames": { + "CLK": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1169.7-1169.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1167.13-1167.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1168.14-1168.16" + } + }, + "RAD": { + "hide_name": 0, + "bits": [ 14, 15, 16, 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1166.13-1166.16" + } + }, + "WAD": { + "hide_name": 0, + "bits": [ 10, 11, 12, 13 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1165.13-1165.16" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1170.7-1170.10" + } + } + } + }, + "ROM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:196.1-272.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "WRE": { + "direction": "input", + "bits": [ 6 ] + }, + "AD": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 21, 22, 23 ] + }, + "DO": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:269.14-269.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:270.13-270.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:265.12-265.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:265.7-265.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:271.15-271.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:266.7-266.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:267.7-267.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:268.7-268.10" + } + } + } + }, + "ROM16": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:189.1-193.10" + }, + "parameter_default_values": { + "INIT_0": "0000000000000000" + }, + "ports": { + "AD": { + "direction": "input", + "bits": [ 2, 3, 4, 5 ] + }, + "DO": { + "direction": "output", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:191.13-191.15" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:192.8-192.10" + } + } + } + }, + "ROMX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:275.1-351.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "WRE": { + "direction": "input", + "bits": [ 6 ] + }, + "AD": { + "direction": "input", + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 21, 22, 23 ] + }, + "DO": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:348.14-348.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:349.13-349.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:344.12-344.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:344.7-344.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:350.15-350.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:345.7-345.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:346.7-346.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:347.7-347.10" + } + } + } + }, + "SDP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1382.1-1480.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100000", + "BIT_WIDTH_1": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DI": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "ADA": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "ADB": { + "direction": "input", + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ] + }, + "WREA": { + "direction": "input", + "bits": [ 97 ] + }, + "WREB": { + "direction": "input", + "bits": [ 98 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 99 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 100 ] + }, + "CEA": { + "direction": "input", + "bits": [ 101 ] + }, + "CEB": { + "direction": "input", + "bits": [ 102 ] + }, + "OCE": { + "direction": "input", + "bits": [ 103 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 104 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 105 ] + } + }, + "cells": { + "$specify$223": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100000", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111101101", + "T_FALL_MIN": "00000000000000000000000111101101", + "T_FALL_TYP": "00000000000000000000000111101101", + "T_RISE_MAX": "00000000000000000000000110100011", + "T_RISE_MIN": "00000000000000000000000110100011", + "T_RISE_TYP": "00000000000000000000000110100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1465.2-1465.43" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "EN": [ "1" ], + "SRC": [ 100 ] + } + }, + "$specify$224": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1466.2-1466.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 104 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$225": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1467.2-1467.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 105 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$226": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1468.2-1468.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 103 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$227": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1469.2-1469.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 101 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$228": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1470.2-1470.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 102 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$229": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1471.2-1471.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 103 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$230": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1472.2-1472.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 97 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$231": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1473.2-1473.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 98 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$232": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100000", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1474.2-1474.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$233": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1475.2-1475.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$234": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1476.2-1476.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 100 ], + "DST_EN": [ "1" ], + "SRC": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$235": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000011", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1477.2-1477.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 99 ], + "DST_EN": [ "1" ], + "SRC": [ 66, 67, 68 ], + "SRC_EN": [ "1" ] + } + } + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1457.14-1457.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1457.19-1457.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1456.13-1456.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1460.7-1460.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1460.12-1460.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 99 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1459.7-1459.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 100 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1459.13-1459.17" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1455.14-1455.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1454.15-1454.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 103 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1461.7-1461.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 104 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1462.7-1462.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1462.15-1462.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1458.7-1458.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1458.13-1458.17" + } + } + } + }, + "SDPB": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:822.1-900.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100000", + "BIT_WIDTH_1": "00000000000000000000000000100000", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DI": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 69, 70, 71 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 72, 73, 74 ] + }, + "DO": { + "direction": "output", + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:896.14-896.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:896.19-896.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 69, 70, 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:898.13-898.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 72, 73, 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:898.22-898.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:893.13-893.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:893.24-893.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:893.7-893.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:893.18-893.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:897.14-897.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:899.15-899.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:894.7-894.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:895.7-895.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:895.15-895.21" + } + } + } + }, + "SDPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1483.1-1581.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100100", + "BIT_WIDTH_1": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "ADA": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "ADB": { + "direction": "input", + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ] + }, + "WREA": { + "direction": "input", + "bits": [ 105 ] + }, + "WREB": { + "direction": "input", + "bits": [ 106 ] + }, + "CLKA": { + "direction": "input", + "bits": [ 107 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 108 ] + }, + "CEA": { + "direction": "input", + "bits": [ 109 ] + }, + "CEB": { + "direction": "input", + "bits": [ 110 ] + }, + "OCE": { + "direction": "input", + "bits": [ 111 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 112 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 113 ] + } + }, + "cells": { + "$specify$236": { + "hide_name": 1, + "type": "$specify3", + "parameters": { + "DAT_DST_PEN": "0", + "DAT_DST_POL": "0", + "DST_WIDTH": "00000000000000000000000000100100", + "EDGE_EN": "1", + "EDGE_POL": "1", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000000111101101", + "T_FALL_MIN": "00000000000000000000000111101101", + "T_FALL_TYP": "00000000000000000000000111101101", + "T_RISE_MAX": "00000000000000000000000110100011", + "T_RISE_MIN": "00000000000000000000000110100011", + "T_RISE_TYP": "00000000000000000000000110100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1566.2-1566.43" + }, + "port_directions": { + "DAT": "input", + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DAT": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "DST": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "EN": [ "1" ], + "SRC": [ 108 ] + } + }, + "$specify$237": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1567.2-1567.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 112 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$238": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1568.2-1568.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 113 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$239": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1569.2-1569.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 111 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$240": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1570.2-1570.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 109 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$241": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1571.2-1571.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 110 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$242": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1572.2-1572.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 111 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$243": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1573.2-1573.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 105 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$244": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1574.2-1574.33" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 106 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$245": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000100100", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1575.2-1575.31" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$246": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1576.2-1576.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$247": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000001110", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1577.2-1577.32" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 108 ], + "DST_EN": [ "1" ], + "SRC": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "SRC_EN": [ "1" ] + } + }, + "$specify$248": { + "hide_name": 1, + "type": "$specrule", + "parameters": { + "DST_PEN": "1", + "DST_POL": "1", + "DST_WIDTH": "00000000000000000000000000000001", + "SRC_PEN": "0", + "SRC_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000011", + "TYPE": "$setup", + "T_LIMIT2_MAX": "00000000000000000000000000000000", + "T_LIMIT2_MIN": "00000000000000000000000000000000", + "T_LIMIT2_TYP": "00000000000000000000000000000000", + "T_LIMIT_MAX": "00000000000000000000000000111110", + "T_LIMIT_MIN": "00000000000000000000000000111110", + "T_LIMIT_TYP": "00000000000000000000000000111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1578.2-1578.35" + }, + "port_directions": { + "DST": "input", + "DST_EN": "output", + "SRC": "input", + "SRC_EN": "output" + }, + "connections": { + "DST": [ 107 ], + "DST_EN": [ "1" ], + "SRC": [ 74, 75, 76 ], + "SRC_EN": [ "1" ] + } + } + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1558.14-1558.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1558.19-1558.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1557.13-1557.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 109 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1561.7-1561.10" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 110 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1561.12-1561.15" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 107 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1560.7-1560.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 108 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1560.13-1560.17" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1556.14-1556.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1555.15-1555.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1562.7-1562.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 112 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1563.7-1563.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 113 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1563.15-1563.21" + } + }, + "WREA": { + "hide_name": 0, + "bits": [ 105 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1559.7-1559.11" + } + }, + "WREB": { + "hide_name": 0, + "bits": [ 106 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1559.13-1559.17" + } + } + } + }, + "SDPX9B": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:903.1-981.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100100", + "BIT_WIDTH_1": "00000000000000000000000000100100", + "BLK_SEL_0": "000", + "BLK_SEL_1": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "BLKSELA": { + "direction": "input", + "bits": [ 37, 38, 39 ] + }, + "BLKSELB": { + "direction": "input", + "bits": [ 40, 41, 42 ] + }, + "DI": { + "direction": "input", + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ] + }, + "DO": { + "direction": "output", + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:977.14-977.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:977.19-977.22" + } + }, + "BLKSELA": { + "hide_name": 0, + "bits": [ 37, 38, 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:978.13-978.20" + } + }, + "BLKSELB": { + "hide_name": 0, + "bits": [ 40, 41, 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:978.22-978.29" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:974.13-974.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:974.24-974.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:974.7-974.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:974.18-974.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75, 76, 77, 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:979.14-979.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111, 112, 113, 114 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:980.15-980.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:975.7-975.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:976.7-976.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:976.15-976.21" + } + } + } + }, + "SP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1207.1-1291.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE": "00" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ] + }, + "DI": { + "direction": "input", + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 66, 67, 68 ] + }, + "AD": { + "direction": "input", + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ] + }, + "WRE": { + "direction": "input", + "bits": [ 83 ] + }, + "CLK": { + "direction": "input", + "bits": [ 84 ] + }, + "CE": { + "direction": "input", + "bits": [ 85 ] + }, + "OCE": { + "direction": "input", + "bits": [ 86 ] + }, + "RESET": { + "direction": "input", + "bits": [ 87 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 69, 70, 71, 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1284.14-1284.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1283.13-1283.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1287.7-1287.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1286.7-1286.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1282.14-1282.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1281.15-1281.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1288.7-1288.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1289.7-1289.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1285.7-1285.10" + } + } + } + }, + "SPMI": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1807.1-1825.10" + }, + "parameter_default_values": { + "CLK_FREQ": "0000000", + "FUNCTION_CTRL": "0000000", + "MSID_CLKSEL": "0000000", + "RESPOND_DELAY": "0000", + "SCLK_LOW_PERIOD": "0000000", + "SCLK_NORMAL_PERIOD": "0000000", + "SHUTDOWN_BY_ENABLE": "0" + }, + "ports": { + "CLKEXT": { + "direction": "input", + "bits": [ 2 ] + }, + "ENEXT": { + "direction": "input", + "bits": [ 3 ] + }, + "SDATA": { + "direction": "inout", + "bits": [ 4 ] + }, + "SCLK": { + "direction": "inout", + "bits": [ 5 ] + }, + "CLK": { + "direction": "input", + "bits": [ 6 ] + }, + "CE": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETN": { + "direction": "input", + "bits": [ 8 ] + }, + "LOCRESET": { + "direction": "input", + "bits": [ 9 ] + }, + "PA": { + "direction": "input", + "bits": [ 10 ] + }, + "SA": { + "direction": "input", + "bits": [ 11 ] + }, + "CA": { + "direction": "input", + "bits": [ 12 ] + }, + "ADDRI": { + "direction": "input", + "bits": [ 13, 14, 15, 16 ] + }, + "DATAI": { + "direction": "input", + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24 ] + }, + "ADDRO": { + "direction": "output", + "bits": [ 25, 26, 27, 28 ] + }, + "DATAO": { + "direction": "output", + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "STATE": { + "direction": "output", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ] + }, + "CMD": { + "direction": "output", + "bits": [ 53, 54, 55, 56 ] + } + }, + "cells": { + }, + "netnames": { + "ADDRI": { + "hide_name": 0, + "bits": [ 13, 14, 15, 16 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1819.14-1819.19" + } + }, + "ADDRO": { + "hide_name": 0, + "bits": [ 25, 26, 27, 28 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1821.16-1821.21" + } + }, + "CA": { + "hide_name": 0, + "bits": [ 12 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1818.16-1818.18" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1817.13-1817.15" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1817.8-1817.11" + } + }, + "CLKEXT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1815.7-1815.13" + } + }, + "CMD": { + "hide_name": 0, + "bits": [ 53, 54, 55, 56 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1824.14-1824.17" + } + }, + "DATAI": { + "hide_name": 0, + "bits": [ 17, 18, 19, 20, 21, 22, 23, 24 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1820.14-1820.19" + } + }, + "DATAO": { + "hide_name": 0, + "bits": [ 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1822.16-1822.21" + } + }, + "ENEXT": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1815.15-1815.20" + } + }, + "LOCRESET": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1817.25-1817.33" + } + }, + "PA": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1818.8-1818.10" + } + }, + "RESETN": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1817.17-1817.23" + } + }, + "SA": { + "hide_name": 0, + "bits": [ 11 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1818.12-1818.14" + } + }, + "SCLK": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1816.15-1816.19" + } + }, + "SDATA": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1816.7-1816.12" + } + }, + "STATE": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1823.17-1823.22" + } + } + } + }, + "SPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1294.1-1378.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC", + "WRITE_MODE": "00" + }, + "ports": { + "DO": { + "direction": "output", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ] + }, + "DI": { + "direction": "input", + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 74, 75, 76 ] + }, + "AD": { + "direction": "input", + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ] + }, + "WRE": { + "direction": "input", + "bits": [ 91 ] + }, + "CLK": { + "direction": "input", + "bits": [ 92 ] + }, + "CE": { + "direction": "input", + "bits": [ 93 ] + }, + "OCE": { + "direction": "input", + "bits": [ 94 ] + }, + "RESET": { + "direction": "input", + "bits": [ 95 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1371.14-1371.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 74, 75, 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1370.13-1370.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1374.7-1374.9" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1373.7-1373.10" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1369.14-1369.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1368.15-1368.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1375.7-1375.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1376.7-1376.12" + } + }, + "WRE": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1372.7-1372.10" + } + } + } + }, + "TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:571.1-575.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "OEN": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:572.9-572.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:573.10-573.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:572.12-572.15" + } + } + } + }, + "TLVDS_IBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1406.1-1409.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "I": { + "direction": "input", + "bits": [ 3 ] + }, + "IB": { + "direction": "input", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1408.8-1408.9" + } + }, + "IB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1408.11-1408.13" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1407.8-1407.9" + } + } + } + }, + "TLVDS_IOBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1416.1-1420.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "IO": { + "direction": "inout", + "bits": [ 3 ] + }, + "IOB": { + "direction": "inout", + "bits": [ 4 ] + }, + "I": { + "direction": "input", + "bits": [ 5 ] + }, + "OEN": { + "direction": "input", + "bits": [ 6 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1419.7-1419.8" + } + }, + "IO": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1418.7-1418.9" + } + }, + "IOB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1418.11-1418.14" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1417.10-1417.11" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1419.10-1419.13" + } + } + } + }, + "TLVDS_OBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:593.1-599.10" + }, + "ports": { + "I": { + "direction": "input", + "bits": [ 2 ] + }, + "O": { + "direction": "output", + "bits": [ 3 ] + }, + "OB": { + "direction": "output", + "bits": [ 4 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:594.9-594.10" + } + }, + "O": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:595.10-595.11" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:596.10-596.12" + } + } + } + }, + "TLVDS_TBUF": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1411.1-1414.10" + }, + "ports": { + "O": { + "direction": "output", + "bits": [ 2 ] + }, + "OB": { + "direction": "output", + "bits": [ 3 ] + }, + "I": { + "direction": "input", + "bits": [ 4 ] + }, + "OEN": { + "direction": "input", + "bits": [ 5 ] + } + }, + "cells": { + }, + "netnames": { + "I": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1413.8-1413.9" + } + }, + "O": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1412.8-1412.9" + } + }, + "OB": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1412.11-1412.13" + } + }, + "OEN": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1413.11-1413.14" + } + } + } + }, + "USB20_PHY": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1610.1-1685.10" + }, + "parameter_default_values": { + "ADP_PRBEN": "0", + "C": "01", + "CLK_SEL": "0", + "DATABUS16_8": "0", + "FOC_LOCK": "0", + "HSDRV0": "0", + "HSDRV1": "0", + "M": "0000", + "N": "101000", + "TEST_MODE": "00000" + }, + "ports": { + "DATAIN": { + "direction": "input", + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ] + }, + "TXVLD": { + "direction": "input", + "bits": [ 18 ] + }, + "TXVLDH": { + "direction": "input", + "bits": [ 19 ] + }, + "RESET": { + "direction": "input", + "bits": [ 20 ] + }, + "SUSPENDM": { + "direction": "input", + "bits": [ 21 ] + }, + "XCVRSEL": { + "direction": "input", + "bits": [ 22, 23 ] + }, + "TERMSEL": { + "direction": "input", + "bits": [ 24 ] + }, + "OPMODE": { + "direction": "input", + "bits": [ 25, 26 ] + }, + "DATAOUT": { + "direction": "output", + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ] + }, + "TXREADY": { + "direction": "output", + "bits": [ 43 ] + }, + "RXACTIVE": { + "direction": "output", + "bits": [ 44 ] + }, + "RXVLD": { + "direction": "output", + "bits": [ 45 ] + }, + "RXVLDH": { + "direction": "output", + "bits": [ 46 ] + }, + "CLK": { + "direction": "output", + "bits": [ 47 ] + }, + "RXERROR": { + "direction": "output", + "bits": [ 48 ] + }, + "DP": { + "direction": "inout", + "bits": [ 49 ] + }, + "DM": { + "direction": "inout", + "bits": [ 50 ] + }, + "LINESTATE": { + "direction": "output", + "bits": [ 51, 52 ] + }, + "IDPULLUP": { + "direction": "input", + "bits": [ 53 ] + }, + "DPPD": { + "direction": "input", + "bits": [ 54 ] + }, + "DMPD": { + "direction": "input", + "bits": [ 55 ] + }, + "CHARGVBUS": { + "direction": "input", + "bits": [ 56 ] + }, + "DISCHARGVBUS": { + "direction": "input", + "bits": [ 57 ] + }, + "TXBITSTUFFEN": { + "direction": "input", + "bits": [ 58 ] + }, + "TXBITSTUFFENH": { + "direction": "input", + "bits": [ 59 ] + }, + "TXENN": { + "direction": "input", + "bits": [ 60 ] + }, + "TXDAT": { + "direction": "input", + "bits": [ 61 ] + }, + "TXSE0": { + "direction": "input", + "bits": [ 62 ] + }, + "FSLSSERIAL": { + "direction": "input", + "bits": [ 63 ] + }, + "HOSTDIS": { + "direction": "output", + "bits": [ 64 ] + }, + "IDDIG": { + "direction": "output", + "bits": [ 65 ] + }, + "ADPPRB": { + "direction": "output", + "bits": [ 66 ] + }, + "ADPSNS": { + "direction": "output", + "bits": [ 67 ] + }, + "SESSVLD": { + "direction": "output", + "bits": [ 68 ] + }, + "VBUSVLD": { + "direction": "output", + "bits": [ 69 ] + }, + "RXDP": { + "direction": "output", + "bits": [ 70 ] + }, + "RXDM": { + "direction": "output", + "bits": [ 71 ] + }, + "RXRCV": { + "direction": "output", + "bits": [ 72 ] + }, + "LBKERR": { + "direction": "output", + "bits": [ 73 ] + }, + "CLKRDY": { + "direction": "output", + "bits": [ 74 ] + }, + "INTCLK": { + "direction": "input", + "bits": [ 75 ] + }, + "ID": { + "direction": "inout", + "bits": [ 76 ] + }, + "VBUS": { + "direction": "inout", + "bits": [ 77 ] + }, + "REXT": { + "direction": "inout", + "bits": [ 78 ] + }, + "XIN": { + "direction": "input", + "bits": [ 79 ] + }, + "XOUT": { + "direction": "inout", + "bits": [ 80 ] + }, + "TEST": { + "direction": "input", + "bits": [ 81 ] + }, + "CLK480PAD": { + "direction": "output", + "bits": [ 82 ] + }, + "SCANCLK": { + "direction": "input", + "bits": [ 83 ] + }, + "SCANEN": { + "direction": "input", + "bits": [ 84 ] + }, + "SCANMODE": { + "direction": "input", + "bits": [ 85 ] + }, + "TRESETN": { + "direction": "input", + "bits": [ 86 ] + }, + "SCANIN1": { + "direction": "input", + "bits": [ 87 ] + }, + "SCANOUT1": { + "direction": "output", + "bits": [ 88 ] + }, + "SCANIN2": { + "direction": "input", + "bits": [ 89 ] + }, + "SCANOUT2": { + "direction": "output", + "bits": [ 90 ] + }, + "SCANIN3": { + "direction": "input", + "bits": [ 91 ] + }, + "SCANOUT3": { + "direction": "output", + "bits": [ 92 ] + }, + "SCANIN4": { + "direction": "input", + "bits": [ 93 ] + }, + "SCANOUT4": { + "direction": "output", + "bits": [ 94 ] + }, + "SCANIN5": { + "direction": "input", + "bits": [ 95 ] + }, + "SCANOUT5": { + "direction": "output", + "bits": [ 96 ] + }, + "SCANIN6": { + "direction": "input", + "bits": [ 97 ] + }, + "SCANOUT6": { + "direction": "output", + "bits": [ 98 ] + } + }, + "cells": { + }, + "netnames": { + "ADPPRB": { + "hide_name": 0, + "bits": [ 66 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1652.9-1652.15" + } + }, + "ADPSNS": { + "hide_name": 0, + "bits": [ 67 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1653.9-1653.15" + } + }, + "CHARGVBUS": { + "hide_name": 0, + "bits": [ 56 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1642.9-1642.18" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 47 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1634.9-1634.12" + } + }, + "CLK480PAD": { + "hide_name": 0, + "bits": [ 82 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1668.8-1668.17" + } + }, + "CLKRDY": { + "hide_name": 0, + "bits": [ 74 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1660.9-1660.15" + } + }, + "DATAIN": { + "hide_name": 0, + "bits": [ 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1621.17-1621.23" + } + }, + "DATAOUT": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1629.17-1629.24" + } + }, + "DISCHARGVBUS": { + "hide_name": 0, + "bits": [ 57 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1643.9-1643.21" + } + }, + "DM": { + "hide_name": 0, + "bits": [ 50 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1637.9-1637.11" + } + }, + "DMPD": { + "hide_name": 0, + "bits": [ 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1641.9-1641.13" + } + }, + "DP": { + "hide_name": 0, + "bits": [ 49 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1636.9-1636.11" + } + }, + "DPPD": { + "hide_name": 0, + "bits": [ 54 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1640.9-1640.13" + } + }, + "FSLSSERIAL": { + "hide_name": 0, + "bits": [ 63 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1649.9-1649.19" + } + }, + "HOSTDIS": { + "hide_name": 0, + "bits": [ 64 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1650.9-1650.16" + } + }, + "ID": { + "hide_name": 0, + "bits": [ 76 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1662.9-1662.11" + } + }, + "IDDIG": { + "hide_name": 0, + "bits": [ 65 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1651.9-1651.14" + } + }, + "IDPULLUP": { + "hide_name": 0, + "bits": [ 53 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1639.9-1639.17" + } + }, + "INTCLK": { + "hide_name": 0, + "bits": [ 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1661.9-1661.15" + } + }, + "LBKERR": { + "hide_name": 0, + "bits": [ 73 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1659.9-1659.15" + } + }, + "LINESTATE": { + "hide_name": 0, + "bits": [ 51, 52 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1638.17-1638.26" + } + }, + "OPMODE": { + "hide_name": 0, + "bits": [ 25, 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1628.17-1628.23" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1624.9-1624.14" + } + }, + "REXT": { + "hide_name": 0, + "bits": [ 78 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1664.9-1664.13" + } + }, + "RXACTIVE": { + "hide_name": 0, + "bits": [ 44 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1631.9-1631.17" + } + }, + "RXDM": { + "hide_name": 0, + "bits": [ 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1657.9-1657.13" + } + }, + "RXDP": { + "hide_name": 0, + "bits": [ 70 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1656.9-1656.13" + } + }, + "RXERROR": { + "hide_name": 0, + "bits": [ 48 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1635.9-1635.16" + } + }, + "RXRCV": { + "hide_name": 0, + "bits": [ 72 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1658.9-1658.14" + } + }, + "RXVLD": { + "hide_name": 0, + "bits": [ 45 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1632.9-1632.14" + } + }, + "RXVLDH": { + "hide_name": 0, + "bits": [ 46 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1633.9-1633.15" + } + }, + "SCANCLK": { + "hide_name": 0, + "bits": [ 83 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1669.14-1669.21" + } + }, + "SCANEN": { + "hide_name": 0, + "bits": [ 84 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1670.14-1670.20" + } + }, + "SCANIN1": { + "hide_name": 0, + "bits": [ 87 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1673.14-1673.21" + } + }, + "SCANIN2": { + "hide_name": 0, + "bits": [ 89 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1675.14-1675.21" + } + }, + "SCANIN3": { + "hide_name": 0, + "bits": [ 91 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1677.14-1677.21" + } + }, + "SCANIN4": { + "hide_name": 0, + "bits": [ 93 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1679.14-1679.21" + } + }, + "SCANIN5": { + "hide_name": 0, + "bits": [ 95 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1681.14-1681.21" + } + }, + "SCANIN6": { + "hide_name": 0, + "bits": [ 97 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1683.14-1683.21" + } + }, + "SCANMODE": { + "hide_name": 0, + "bits": [ 85 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1671.14-1671.22" + } + }, + "SCANOUT1": { + "hide_name": 0, + "bits": [ 88 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1674.14-1674.22" + } + }, + "SCANOUT2": { + "hide_name": 0, + "bits": [ 90 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1676.14-1676.22" + } + }, + "SCANOUT3": { + "hide_name": 0, + "bits": [ 92 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1678.14-1678.22" + } + }, + "SCANOUT4": { + "hide_name": 0, + "bits": [ 94 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1680.14-1680.22" + } + }, + "SCANOUT5": { + "hide_name": 0, + "bits": [ 96 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1682.14-1682.22" + } + }, + "SCANOUT6": { + "hide_name": 0, + "bits": [ 98 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1684.14-1684.22" + } + }, + "SESSVLD": { + "hide_name": 0, + "bits": [ 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1654.9-1654.16" + } + }, + "SUSPENDM": { + "hide_name": 0, + "bits": [ 21 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1625.9-1625.17" + } + }, + "TERMSEL": { + "hide_name": 0, + "bits": [ 24 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1627.9-1627.16" + } + }, + "TEST": { + "hide_name": 0, + "bits": [ 81 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1667.7-1667.11" + } + }, + "TRESETN": { + "hide_name": 0, + "bits": [ 86 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1672.14-1672.21" + } + }, + "TXBITSTUFFEN": { + "hide_name": 0, + "bits": [ 58 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1644.9-1644.21" + } + }, + "TXBITSTUFFENH": { + "hide_name": 0, + "bits": [ 59 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1645.9-1645.22" + } + }, + "TXDAT": { + "hide_name": 0, + "bits": [ 61 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1647.9-1647.14" + } + }, + "TXENN": { + "hide_name": 0, + "bits": [ 60 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1646.9-1646.14" + } + }, + "TXREADY": { + "hide_name": 0, + "bits": [ 43 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1630.9-1630.16" + } + }, + "TXSE0": { + "hide_name": 0, + "bits": [ 62 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1648.9-1648.14" + } + }, + "TXVLD": { + "hide_name": 0, + "bits": [ 18 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1622.9-1622.14" + } + }, + "TXVLDH": { + "hide_name": 0, + "bits": [ 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1623.9-1623.15" + } + }, + "VBUS": { + "hide_name": 0, + "bits": [ 77 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1663.9-1663.13" + } + }, + "VBUSVLD": { + "hide_name": 0, + "bits": [ 69 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1655.9-1655.16" + } + }, + "XCVRSEL": { + "hide_name": 0, + "bits": [ 22, 23 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1626.17-1626.24" + } + }, + "XIN": { + "hide_name": 0, + "bits": [ 79 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1665.9-1665.12" + } + }, + "XOUT": { + "hide_name": 0, + "bits": [ 80 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:1666.9-1666.13" + } + } + } + }, + "VCC": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:545.1-547.10" + }, + "ports": { + "V": { + "direction": "output", + "bits": [ 2 ] + } + }, + "cells": { + }, + "netnames": { + "V": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:545.19-545.20" + } + } + } + }, + "__APICULA_LUT5": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000010", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.1-58.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + } + }, + "cells": { + "$specify$76": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001100110", + "T_FALL_MIN": "00000000000000000000011001100110", + "T_FALL_TYP": "00000000000000000000011001100110", + "T_RISE_MAX": "00000000000000000000010010100011", + "T_RISE_MIN": "00000000000000000000010010100011", + "T_RISE_TYP": "00000000000000000000010010100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:52.3-52.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$77": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001100110", + "T_FALL_MIN": "00000000000000000000011001100110", + "T_FALL_TYP": "00000000000000000000011001100110", + "T_RISE_MAX": "00000000000000000000010010100000", + "T_RISE_MIN": "00000000000000000000010010100000", + "T_RISE_TYP": "00000000000000000000010010100000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:53.3-53.28" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$78": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010101011011", + "T_FALL_MIN": "00000000000000000000010101011011", + "T_FALL_TYP": "00000000000000000000010101011011", + "T_RISE_MAX": "00000000000000000000001111100011", + "T_RISE_MIN": "00000000000000000000001111100011", + "T_RISE_TYP": "00000000000000000000001111100011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:54.3-54.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$79": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010001011100", + "T_FALL_MIN": "00000000000000000000010001011100", + "T_FALL_TYP": "00000000000000000000010001011100", + "T_RISE_MAX": "00000000000000000000001100101000", + "T_RISE_MIN": "00000000000000000000001100101000", + "T_RISE_TYP": "00000000000000000000001100101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:55.3-55.27" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$80": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001010101000", + "T_FALL_MIN": "00000000000000000000001010101000", + "T_FALL_TYP": "00000000000000000000001010101000", + "T_RISE_MAX": "00000000000000000000000111100110", + "T_RISE_MIN": "00000000000000000000000111100110", + "T_RISE_TYP": "00000000000000000000000111100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:56.3-56.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.30-50.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.39-50.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.43-50.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.47-50.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.51-50.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:50.55-50.57" + } + } + } + }, + "__APICULA_LUT6": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000000100", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.1-70.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + } + }, + "cells": { + "$specify$81": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101100101", + "T_FALL_MIN": "00000000000000000000011101100101", + "T_FALL_TYP": "00000000000000000000011101100101", + "T_RISE_MAX": "00000000000000000000010100101011", + "T_RISE_MIN": "00000000000000000000010100101011", + "T_RISE_TYP": "00000000000000000000010100101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:63.3-63.40" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$82": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101100101", + "T_FALL_MIN": "00000000000000000000011101100101", + "T_FALL_TYP": "00000000000000000000011101100101", + "T_RISE_MAX": "00000000000000000000010100101000", + "T_RISE_MIN": "00000000000000000000010100101000", + "T_RISE_TYP": "00000000000000000000010100101000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:64.3-64.40" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$83": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001011010", + "T_FALL_MIN": "00000000000000000000011001011010", + "T_FALL_TYP": "00000000000000000000011001011010", + "T_RISE_MAX": "00000000000000000000010001101011", + "T_RISE_MIN": "00000000000000000000010001101011", + "T_RISE_TYP": "00000000000000000000010001101011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:65.3-65.39" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$84": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010101011011", + "T_FALL_MIN": "00000000000000000000010101011011", + "T_FALL_TYP": "00000000000000000000010101011011", + "T_RISE_MAX": "00000000000000000000001110110000", + "T_RISE_MIN": "00000000000000000000001110110000", + "T_RISE_TYP": "00000000000000000000001110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:66.3-66.39" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$85": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001110100111", + "T_FALL_MIN": "00000000000000000000001110100111", + "T_FALL_TYP": "00000000000000000000001110100111", + "T_RISE_MAX": "00000000000000000000001001101110", + "T_RISE_MIN": "00000000000000000000001001101110", + "T_RISE_TYP": "00000000000000000000001001101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:67.3-67.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$86": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:68.3-68.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.30-61.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.39-61.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.43-61.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.47-61.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.51-61.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.55-61.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:61.59-61.61" + } + } + } + }, + "__APICULA_LUT7": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000001000", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.1-83.10" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + }, + "M2": { + "direction": "input", + "bits": [ 9 ] + } + }, + "cells": { + "$specify$87": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001100100", + "T_FALL_MIN": "00000000000000000000100001100100", + "T_FALL_TYP": "00000000000000000000100001100100", + "T_RISE_MAX": "00000000000000000000010110110011", + "T_RISE_MIN": "00000000000000000000010110110011", + "T_RISE_TYP": "00000000000000000000010110110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:75.3-75.52" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$88": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001100100", + "T_FALL_MIN": "00000000000000000000100001100100", + "T_FALL_TYP": "00000000000000000000100001100100", + "T_RISE_MAX": "00000000000000000000010110110000", + "T_RISE_MIN": "00000000000000000000010110110000", + "T_RISE_TYP": "00000000000000000000010110110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:76.3-76.52" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$89": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101011001", + "T_FALL_MIN": "00000000000000000000011101011001", + "T_FALL_TYP": "00000000000000000000011101011001", + "T_RISE_MAX": "00000000000000000000010011110011", + "T_RISE_MIN": "00000000000000000000010011110011", + "T_RISE_TYP": "00000000000000000000010011110011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:77.3-77.51" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$90": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011001011010", + "T_FALL_MIN": "00000000000000000000011001011010", + "T_FALL_TYP": "00000000000000000000011001011010", + "T_RISE_MAX": "00000000000000000000010000111000", + "T_RISE_MIN": "00000000000000000000010000111000", + "T_RISE_TYP": "00000000000000000000010000111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:78.3-78.51" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$91": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010010100110", + "T_FALL_MIN": "00000000000000000000010010100110", + "T_FALL_TYP": "00000000000000000000010010100110", + "T_RISE_MAX": "00000000000000000000001011110110", + "T_RISE_MIN": "00000000000000000000001011110110", + "T_RISE_TYP": "00000000000000000000001011110110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:79.3-79.50" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$92": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001111010010", + "T_FALL_MIN": "00000000000000000000001111010010", + "T_FALL_TYP": "00000000000000000000001111010010", + "T_RISE_MAX": "00000000000000000000001001100110", + "T_RISE_MIN": "00000000000000000000001001100110", + "T_RISE_TYP": "00000000000000000000001001100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:80.3-80.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + }, + "$specify$93": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:81.3-81.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.30-73.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.39-73.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.43-73.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.47-73.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.51-73.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.55-73.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.59-73.61" + } + }, + "M2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:73.63-73.65" + } + } + } + }, + "__APICULA_LUT8": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "abc9_lut": "00000000000000000000000000010000", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.1-97.11" + }, + "ports": { + "F": { + "direction": "output", + "bits": [ 2 ] + }, + "I0": { + "direction": "input", + "bits": [ 3 ] + }, + "I1": { + "direction": "input", + "bits": [ 4 ] + }, + "I2": { + "direction": "input", + "bits": [ 5 ] + }, + "I3": { + "direction": "input", + "bits": [ 6 ] + }, + "M0": { + "direction": "input", + "bits": [ 7 ] + }, + "M1": { + "direction": "input", + "bits": [ 8 ] + }, + "M2": { + "direction": "input", + "bits": [ 9 ] + }, + "M3": { + "direction": "input", + "bits": [ 10 ] + } + }, + "cells": { + "$specify$100": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001111010010", + "T_FALL_MIN": "00000000000000000000001111010010", + "T_FALL_TYP": "00000000000000000000001111010010", + "T_RISE_MAX": "00000000000000000000001001100110", + "T_RISE_MIN": "00000000000000000000001001100110", + "T_RISE_TYP": "00000000000000000000001001100110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:94.3-94.38" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 9 ] + } + }, + "$specify$101": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000001011010011", + "T_FALL_MIN": "00000000000000000000001011010011", + "T_FALL_TYP": "00000000000000000000001011010011", + "T_RISE_MAX": "00000000000000000000000111011110", + "T_RISE_MIN": "00000000000000000000000111011110", + "T_RISE_TYP": "00000000000000000000000111011110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:95.3-95.26" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 10 ] + } + }, + "$specify$94": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100101100011", + "T_FALL_MIN": "00000000000000000000100101100011", + "T_FALL_TYP": "00000000000000000000100101100011", + "T_RISE_MAX": "00000000000000000000011000111011", + "T_RISE_MIN": "00000000000000000000011000111011", + "T_RISE_TYP": "00000000000000000000011000111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:88.3-88.64" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 3 ] + } + }, + "$specify$95": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100101100011", + "T_FALL_MIN": "00000000000000000000100101100011", + "T_FALL_TYP": "00000000000000000000100101100011", + "T_RISE_MAX": "00000000000000000000011000111000", + "T_RISE_MIN": "00000000000000000000011000111000", + "T_RISE_TYP": "00000000000000000000011000111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:89.3-89.64" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 4 ] + } + }, + "$specify$96": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000100001011000", + "T_FALL_MIN": "00000000000000000000100001011000", + "T_FALL_TYP": "00000000000000000000100001011000", + "T_RISE_MAX": "00000000000000000000010101111011", + "T_RISE_MIN": "00000000000000000000010101111011", + "T_RISE_TYP": "00000000000000000000010101111011" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:90.3-90.63" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 5 ] + } + }, + "$specify$97": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000011101011001", + "T_FALL_MIN": "00000000000000000000011101011001", + "T_FALL_TYP": "00000000000000000000011101011001", + "T_RISE_MAX": "00000000000000000000010011000000", + "T_RISE_MIN": "00000000000000000000010011000000", + "T_RISE_TYP": "00000000000000000000010011000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:91.3-91.63" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 6 ] + } + }, + "$specify$98": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010110100101", + "T_FALL_MIN": "00000000000000000000010110100101", + "T_FALL_TYP": "00000000000000000000010110100101", + "T_RISE_MAX": "00000000000000000000001101111110", + "T_RISE_MIN": "00000000000000000000001101111110", + "T_RISE_TYP": "00000000000000000000001101111110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:92.3-92.62" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 7 ] + } + }, + "$specify$99": { + "hide_name": 1, + "type": "$specify2", + "parameters": { + "DST_WIDTH": "00000000000000000000000000000001", + "FULL": "0", + "SRC_DST_PEN": "0", + "SRC_DST_POL": "0", + "SRC_WIDTH": "00000000000000000000000000000001", + "T_FALL_MAX": "00000000000000000000010011010001", + "T_FALL_MIN": "00000000000000000000010011010001", + "T_FALL_TYP": "00000000000000000000010011010001", + "T_RISE_MAX": "00000000000000000000001011101110", + "T_RISE_MIN": "00000000000000000000001011101110", + "T_RISE_TYP": "00000000000000000000001011101110" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:93.3-93.50" + }, + "port_directions": { + "DST": "input", + "EN": "input", + "SRC": "input" + }, + "connections": { + "DST": [ 2 ], + "EN": [ "1" ], + "SRC": [ 8 ] + } + } + }, + "netnames": { + "F": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.30-86.31" + } + }, + "I0": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.39-86.41" + } + }, + "I1": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.43-86.45" + } + }, + "I2": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.47-86.49" + } + }, + "I3": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.51-86.53" + } + }, + "M0": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.55-86.57" + } + }, + "M1": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.59-86.61" + } + }, + "M2": { + "hide_name": 0, + "bits": [ 9 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.63-86.65" + } + }, + "M3": { + "hide_name": 0, + "bits": [ 10 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:86.67-86.69" + } + } + } + }, + "pROM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:670.1-743.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DO": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:741.14-741.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:738.12-738.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:738.7-738.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:742.15-742.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:739.7-739.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:740.7-740.12" + } + } + } + }, + "pROMX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:746.1-819.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "DO": { + "direction": "output", + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:817.14-817.16" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:814.12-814.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:814.7-814.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 20, 21, 22, 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:818.15-818.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:815.7-815.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:816.7-816.12" + } + } + } + }, + "rPLL": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1762.1-1805.10" + }, + "parameter_default_values": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1N-1", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000010", + "FBDIV_SEL": "00000000000000000000000000000000", + "FCLKIN": "100.0", + "IDIV_SEL": "00000000000000000000000000000000", + "ODIV_SEL": "00000000000000000000000000001000", + "PSDA_SEL": "0000 " + }, + "ports": { + "CLKOUT": { + "direction": "output", + "bits": [ 2 ] + }, + "CLKOUTP": { + "direction": "output", + "bits": [ 3 ] + }, + "CLKOUTD": { + "direction": "output", + "bits": [ 4 ] + }, + "CLKOUTD3": { + "direction": "output", + "bits": [ 5 ] + }, + "LOCK": { + "direction": "output", + "bits": [ 6 ] + }, + "CLKIN": { + "direction": "input", + "bits": [ 7 ] + }, + "CLKFB": { + "direction": "input", + "bits": [ 8 ] + }, + "FBDSEL": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14 ] + }, + "IDSEL": { + "direction": "input", + "bits": [ 15, 16, 17, 18, 19, 20 ] + }, + "ODSEL": { + "direction": "input", + "bits": [ 21, 22, 23, 24, 25, 26 ] + }, + "DUTYDA": { + "direction": "input", + "bits": [ 27, 28, 29, 30 ] + }, + "PSDA": { + "direction": "input", + "bits": [ 31, 32, 33, 34 ] + }, + "FDLY": { + "direction": "input", + "bits": [ 35, 36, 37, 38 ] + }, + "RESET": { + "direction": "input", + "bits": [ 39 ] + }, + "RESET_P": { + "direction": "input", + "bits": [ 40 ] + } + }, + "cells": { + }, + "netnames": { + "CLKFB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1764.7-1764.12" + } + }, + "CLKIN": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1763.7-1763.12" + } + }, + "CLKOUT": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1773.8-1773.14" + } + }, + "CLKOUTD": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1776.8-1776.15" + } + }, + "CLKOUTD3": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1777.8-1777.16" + } + }, + "CLKOUTP": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1775.8-1775.15" + } + }, + "DUTYDA": { + "hide_name": 0, + "bits": [ 27, 28, 29, 30 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1771.13-1771.19" + } + }, + "FBDSEL": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1767.13-1767.19" + } + }, + "FDLY": { + "hide_name": 0, + "bits": [ 35, 36, 37, 38 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1770.18-1770.22" + } + }, + "IDSEL": { + "hide_name": 0, + "bits": [ 15, 16, 17, 18, 19, 20 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1768.13-1768.18" + } + }, + "LOCK": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1774.8-1774.12" + } + }, + "ODSEL": { + "hide_name": 0, + "bits": [ 21, 22, 23, 24, 25, 26 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1769.13-1769.18" + } + }, + "PSDA": { + "hide_name": 0, + "bits": [ 31, 32, 33, 34 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1770.13-1770.17" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1765.7-1765.12" + } + }, + "RESET_P": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_sim.v:1766.7-1766.14" + } + } + } + }, + "rROM": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:514.1-589.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 20, 21, 22 ] + }, + "DO": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:586.14-586.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:587.13-587.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:583.12-583.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:583.7-583.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:588.15-588.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:584.7-584.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:585.7-585.12" + } + } + } + }, + "rROMX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:592.1-667.10" + }, + "parameter_default_values": { + "BIT_WIDTH": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLK": { + "direction": "input", + "bits": [ 2 ] + }, + "CE": { + "direction": "input", + "bits": [ 3 ] + }, + "OCE": { + "direction": "input", + "bits": [ 4 ] + }, + "RESET": { + "direction": "input", + "bits": [ 5 ] + }, + "AD": { + "direction": "input", + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 20, 21, 22 ] + }, + "DO": { + "direction": "output", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ] + } + }, + "cells": { + }, + "netnames": { + "AD": { + "hide_name": 0, + "bits": [ 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:664.14-664.16" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:665.13-665.19" + } + }, + "CE": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:661.12-661.14" + } + }, + "CLK": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:661.7-661.10" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36, 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:666.15-666.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:662.7-662.10" + } + }, + "RESET": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:663.7-663.12" + } + } + } + }, + "rSDP": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:354.1-431.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100000", + "BIT_WIDTH_1": "00000000000000000000000000100000", + "BLK_SEL": "000", + "INIT_RAM_00": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "0000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "DI": { + "direction": "input", + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 69, 70, 71 ] + }, + "DO": { + "direction": "output", + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:427.14-427.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:427.19-427.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 69, 70, 71 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:429.13-429.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:424.13-424.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:424.24-424.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:424.7-424.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:424.18-424.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 37, 38, 39, 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:428.14-428.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 72, 73, 74, 75, 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:430.15-430.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:425.7-425.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:426.7-426.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:426.15-426.21" + } + } + } + }, + "rSDPX9": { + "attributes": { + "blackbox": "00000000000000000000000000000001", + "cells_not_processed": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:434.1-511.10" + }, + "parameter_default_values": { + "BIT_WIDTH_0": "00000000000000000000000000100100", + "BIT_WIDTH_1": "00000000000000000000000000100100", + "BLK_SEL": "000", + "INIT_RAM_00": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_01": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_02": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_03": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_04": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_05": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_06": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_07": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_08": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_09": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_0F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_10": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_11": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_12": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_13": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_14": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_15": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_16": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_17": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_18": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_19": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_1F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_20": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_21": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_22": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_23": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_24": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_25": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_26": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_27": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_28": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_29": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_2F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_30": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_31": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_32": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_33": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_34": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_35": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_36": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_37": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_38": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_39": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3A": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3B": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3C": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3D": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3E": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "INIT_RAM_3F": "000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000000", + "READ_MODE": "0", + "RESET_MODE": "SYNC" + }, + "ports": { + "CLKA": { + "direction": "input", + "bits": [ 2 ] + }, + "CEA": { + "direction": "input", + "bits": [ 3 ] + }, + "CLKB": { + "direction": "input", + "bits": [ 4 ] + }, + "CEB": { + "direction": "input", + "bits": [ 5 ] + }, + "OCE": { + "direction": "input", + "bits": [ 6 ] + }, + "RESETA": { + "direction": "input", + "bits": [ 7 ] + }, + "RESETB": { + "direction": "input", + "bits": [ 8 ] + }, + "ADA": { + "direction": "input", + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ] + }, + "ADB": { + "direction": "input", + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ] + }, + "BLKSEL": { + "direction": "input", + "bits": [ 37, 38, 39 ] + }, + "DI": { + "direction": "input", + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ] + }, + "DO": { + "direction": "output", + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ] + } + }, + "cells": { + }, + "netnames": { + "ADA": { + "hide_name": 0, + "bits": [ 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 20, 21, 22 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:507.14-507.17" + } + }, + "ADB": { + "hide_name": 0, + "bits": [ 23, 24, 25, 26, 27, 28, 29, 30, 31, 32, 33, 34, 35, 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:507.19-507.22" + } + }, + "BLKSEL": { + "hide_name": 0, + "bits": [ 37, 38, 39 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:508.13-508.19" + } + }, + "CEA": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:504.13-504.16" + } + }, + "CEB": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:504.24-504.27" + } + }, + "CLKA": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:504.7-504.11" + } + }, + "CLKB": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:504.18-504.22" + } + }, + "DI": { + "hide_name": 0, + "bits": [ 40, 41, 42, 43, 44, 45, 46, 47, 48, 49, 50, 51, 52, 53, 54, 55, 56, 57, 58, 59, 60, 61, 62, 63, 64, 65, 66, 67, 68, 69, 70, 71, 72, 73, 74, 75 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:509.14-509.16" + } + }, + "DO": { + "hide_name": 0, + "bits": [ 76, 77, 78, 79, 80, 81, 82, 83, 84, 85, 86, 87, 88, 89, 90, 91, 92, 93, 94, 95, 96, 97, 98, 99, 100, 101, 102, 103, 104, 105, 106, 107, 108, 109, 110, 111 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:510.15-510.17" + } + }, + "OCE": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:505.7-505.10" + } + }, + "RESETA": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:506.7-506.13" + } + }, + "RESETB": { + "hide_name": 0, + "bits": [ 8 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_xtra.v:506.15-506.21" + } + } + } + }, + "top": { + "attributes": { + "top": "00000000000000000000000000000001", + "src": "top.v:1.1-87.10" + }, + "ports": { + "clk": { + "direction": "input", + "bits": [ 2 ] + }, + "rst": { + "direction": "input", + "bits": [ 3 ] + }, + "LCD_CLK": { + "direction": "output", + "bits": [ 4 ] + }, + "LCD_HSYNC": { + "direction": "output", + "bits": [ 5 ] + }, + "LCD_VSYNC": { + "direction": "output", + "bits": [ 6 ] + }, + "LCD_DE": { + "direction": "output", + "bits": [ 7 ] + }, + "LCD_R": { + "direction": "output", + "bits": [ 8, 9, 10, 11, 12 ] + }, + "LCD_G": { + "direction": "output", + "bits": [ 13, 14, 15, 16, 17, 18 ] + }, + "LCD_B": { + "direction": "output", + "bits": [ 19, 20, 21, 22, 23 ] + }, + "LED": { + "direction": "output", + "bits": [ 24, 25, 26, 27, 28, 29 ] + } + }, + "cells": { + "D1.LCD_B_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 30 ], + "I0": [ 31 ], + "I1": [ 32 ], + "I2": [ 33 ] + } + }, + "D1.LCD_B_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011110100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 34 ], + "I0": [ 33 ], + "I1": [ 35 ], + "I2": [ 32 ], + "I3": [ 31 ] + } + }, + "D1.LCD_B_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 36 ], + "I1": [ 37 ], + "O": [ 38 ], + "S0": [ 31 ] + } + }, + "D1.LCD_B_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 36 ], + "I0": [ 33 ], + "I1": [ 32 ], + "I2": [ 35 ], + "I3": [ 39 ] + } + }, + "D1.LCD_B_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "11" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 37 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 41 ], + "I0": [ 42 ], + "I1": [ 43 ], + "I2": [ 44 ], + "I3": [ 45 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000001111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 42 ], + "I0": [ 46 ], + "I1": [ 47 ], + "I2": [ 48 ], + "I3": [ 49 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 50 ], + "COUT": [ 51 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 53 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 54 ], + "COUT": [ 55 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 57 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 58 ], + "COUT": [ 54 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 60 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 61 ], + "COUT": [ 62 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 64 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 65 ], + "COUT": [ 61 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 67 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 68 ], + "COUT": [ 65 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 70 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 55 ], + "COUT": [ 68 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 72 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 74 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 76 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 77 ], + "COUT": [ 58 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 79 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 80 ], + "COUT": [ 77 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 82 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 83 ], + "COUT": [ 80 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 85 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 86 ], + "COUT": [ 83 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 88 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 89 ], + "COUT": [ 86 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 91 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 92 ], + "COUT": [ 89 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 94 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 74 ], + "COUT": [ 92 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 96 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 62 ], + "COUT": [ 50 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 98 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 99 ], + "I0": [ 59 ], + "I1": [ 71 ], + "I2": [ 69 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 100 ], + "I0": [ 81 ], + "I1": [ 84 ], + "I2": [ 87 ], + "I3": [ 78 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 47 ], + "I0": [ 90 ], + "I1": [ 75 ], + "I2": [ 95 ], + "I3": [ 93 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 101 ], + "I1": [ 102 ], + "O": [ 103 ], + "S0": [ 52 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 101 ], + "I0": [ 63 ], + "I1": [ 56 ], + "I2": [ 66 ], + "I3": [ 97 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 102 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 104 ], + "COUT": [ 49 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 105 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 106 ], + "COUT": [ 107 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 108 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 109 ], + "COUT": [ 106 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 110 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 111 ], + "COUT": [ 112 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 113 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 114 ], + "COUT": [ 111 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 115 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 116 ], + "COUT": [ 114 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 117 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 107 ], + "COUT": [ 116 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 118 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 119 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 120 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 121 ], + "COUT": [ 109 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 122 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 123 ], + "COUT": [ 121 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 124 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 125 ], + "COUT": [ 123 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 126 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 127 ], + "COUT": [ 125 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 128 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 129 ], + "COUT": [ 127 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 130 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 131 ], + "COUT": [ 129 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 132 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 119 ], + "COUT": [ 131 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 133 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 112 ], + "COUT": [ 104 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 134 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 39 ], + "I0": [ 46 ], + "I1": [ 47 ], + "I2": [ 48 ], + "I3": [ 49 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 35 ], + "I0": [ 48 ], + "I1": [ 135 ], + "I2": [ 136 ], + "I3": [ 137 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 32 ], + "I0": [ 138 ], + "I1": [ 139 ], + "I2": [ 48 ], + "I3": [ 140 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 141 ], + "COUT": [ 142 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 143 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 144 ], + "COUT": [ 145 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 146 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 147 ], + "COUT": [ 144 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 148 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 149 ], + "COUT": [ 150 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 151 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 152 ], + "COUT": [ 149 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 153 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 154 ], + "COUT": [ 152 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 155 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 145 ], + "COUT": [ 154 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 156 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 157 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 158 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 159 ], + "COUT": [ 147 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 160 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 161 ], + "COUT": [ 159 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 162 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 163 ], + "COUT": [ 161 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 164 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 165 ], + "COUT": [ 163 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 166 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 167 ], + "COUT": [ 165 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 168 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 169 ], + "COUT": [ 167 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 170 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 157 ], + "COUT": [ 169 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 171 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 150 ], + "COUT": [ 141 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 172 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 138 ], + "I0": [ 90 ], + "I1": [ 75 ], + "I2": [ 95 ], + "I3": [ 93 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_LUT4_I2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 173 ], + "I0": [ 103 ], + "I1": [ 100 ], + "I2": [ 138 ], + "I3": [ 174 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_MUX2_LUT5_S0": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 175 ], + "I1": [ 173 ], + "O": [ 176 ], + "S0": [ 142 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_MUX2_LUT5_S0_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 175 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 177 ], + "COUT": [ 178 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 179 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 180 ], + "COUT": [ 181 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 182 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 183 ], + "COUT": [ 180 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 184 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 185 ], + "COUT": [ 186 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 187 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 188 ], + "COUT": [ 185 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 189 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 190 ], + "COUT": [ 188 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 191 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 181 ], + "COUT": [ 190 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 192 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 193 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 194 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 195 ], + "COUT": [ 183 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 196 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 197 ], + "COUT": [ 195 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 198 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 199 ], + "COUT": [ 197 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 200 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 201 ], + "COUT": [ 199 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 202 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 203 ], + "COUT": [ 201 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 204 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 205 ], + "COUT": [ 203 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 206 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 193 ], + "COUT": [ 205 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 207 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 186 ], + "COUT": [ 177 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 208 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 139 ], + "I0": [ 87 ], + "I1": [ 84 ], + "I2": [ 78 ], + "I3": [ 81 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 209 ], + "I1": [ 210 ], + "O": [ 211 ], + "S0": [ 56 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 209 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 210 ], + "I0": [ 63 ], + "I1": [ 66 ], + "I2": [ 97 ], + "I3": [ 52 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 212 ], + "COUT": [ 140 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 213 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 214 ], + "COUT": [ 215 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 216 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 217 ], + "COUT": [ 214 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 218 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 219 ], + "COUT": [ 220 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 221 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 222 ], + "COUT": [ 219 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 223 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 224 ], + "COUT": [ 222 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 225 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 215 ], + "COUT": [ 224 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 226 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 227 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 228 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 229 ], + "COUT": [ 217 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 230 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 231 ], + "COUT": [ 229 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 232 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 233 ], + "COUT": [ 231 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 234 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 235 ], + "COUT": [ 233 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 236 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 237 ], + "COUT": [ 235 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 238 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 239 ], + "COUT": [ 237 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 240 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 227 ], + "COUT": [ 239 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 241 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 220 ], + "COUT": [ 212 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 242 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 33 ], + "I0": [ 243 ], + "I1": [ 244 ], + "I2": [ 48 ], + "I3": [ 245 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 246 ], + "COUT": [ 247 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 248 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 249 ], + "COUT": [ 250 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 251 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 252 ], + "COUT": [ 249 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 253 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 254 ], + "COUT": [ 255 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 256 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 257 ], + "COUT": [ 254 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 258 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 259 ], + "COUT": [ 257 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 260 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 250 ], + "COUT": [ 259 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 261 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 262 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 263 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 264 ], + "COUT": [ 252 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 265 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 266 ], + "COUT": [ 264 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 267 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 268 ], + "COUT": [ 266 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 269 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 270 ], + "COUT": [ 268 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 271 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 272 ], + "COUT": [ 270 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 273 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 274 ], + "COUT": [ 272 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 275 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 262 ], + "COUT": [ 274 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 276 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 255 ], + "COUT": [ 246 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 277 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 174 ], + "I0": [ 71 ], + "I1": [ 69 ], + "I2": [ 59 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 278 ], + "I0": [ 87 ], + "I1": [ 84 ], + "I2": [ 81 ], + "I3": [ 78 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 243 ], + "I0": [ 90 ], + "I1": [ 75 ], + "I2": [ 93 ], + "I3": [ 95 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 279 ], + "COUT": [ 280 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 281 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 282 ], + "COUT": [ 283 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 284 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 285 ], + "COUT": [ 282 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 286 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 287 ], + "COUT": [ 288 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 289 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 290 ], + "COUT": [ 287 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 291 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 292 ], + "COUT": [ 290 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 293 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 283 ], + "COUT": [ 292 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 294 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 295 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 296 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 297 ], + "COUT": [ 285 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 298 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 299 ], + "COUT": [ 297 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 300 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 301 ], + "COUT": [ 299 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 302 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 303 ], + "COUT": [ 301 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 304 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 305 ], + "COUT": [ 303 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 306 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 307 ], + "COUT": [ 305 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 308 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 295 ], + "COUT": [ 307 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 309 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 288 ], + "COUT": [ 279 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 310 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 244 ], + "I0": [ 78 ], + "I1": [ 84 ], + "I2": [ 81 ], + "I3": [ 87 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_LUT4_I2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 311 ], + "I0": [ 211 ], + "I1": [ 99 ], + "I2": [ 244 ], + "I3": [ 312 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 313 ], + "I1": [ 311 ], + "O": [ 314 ], + "S0": [ 280 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 313 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 315 ], + "I1": [ 316 ], + "O": [ 317 ], + "S0": [ 318 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_1": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 319 ], + "I1": [ 320 ], + "O": [ 321 ], + "S0": [ 247 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 319 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 320 ], + "I0": [ 103 ], + "I1": [ 243 ], + "I2": [ 278 ], + "I3": [ 174 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_2": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 322 ], + "I1": [ 323 ], + "O": [ 324 ], + "S0": [ 325 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_2_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 322 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_2_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 323 ], + "I0": [ 99 ], + "I1": [ 135 ], + "I2": [ 326 ], + "I3": [ 211 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_3": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 327 ], + "I1": [ 328 ], + "O": [ 329 ], + "S0": [ 178 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_3_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 327 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_3_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 328 ], + "I0": [ 47 ], + "I1": [ 99 ], + "I2": [ 139 ], + "I3": [ 211 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 315 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 316 ], + "I0": [ 211 ], + "I1": [ 99 ], + "I2": [ 330 ], + "I3": [ 136 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 331 ], + "COUT": [ 318 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 332 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 333 ], + "COUT": [ 334 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 335 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 336 ], + "COUT": [ 333 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 337 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 338 ], + "COUT": [ 339 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 340 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 341 ], + "COUT": [ 338 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 342 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 343 ], + "COUT": [ 341 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 344 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 334 ], + "COUT": [ 343 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 345 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 346 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 347 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 348 ], + "COUT": [ 336 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 349 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 350 ], + "COUT": [ 348 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 351 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 352 ], + "COUT": [ 350 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 353 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 354 ], + "COUT": [ 352 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 355 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 356 ], + "COUT": [ 354 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 357 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 358 ], + "COUT": [ 356 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 359 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 346 ], + "COUT": [ 358 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 360 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 339 ], + "COUT": [ 331 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 361 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 330 ], + "I0": [ 75 ], + "I1": [ 93 ], + "I2": [ 95 ], + "I3": [ 90 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 362 ], + "COUT": [ 245 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 363 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 364 ], + "COUT": [ 365 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 366 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 367 ], + "COUT": [ 364 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 368 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 369 ], + "COUT": [ 370 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 371 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 372 ], + "COUT": [ 369 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 373 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 374 ], + "COUT": [ 372 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 375 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 365 ], + "COUT": [ 374 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 376 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 377 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 378 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 379 ], + "COUT": [ 367 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 380 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 381 ], + "COUT": [ 379 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 382 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 383 ], + "COUT": [ 381 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 384 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 385 ], + "COUT": [ 383 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 386 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 387 ], + "COUT": [ 385 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 388 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 389 ], + "COUT": [ 387 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 390 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 377 ], + "COUT": [ 389 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 391 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 370 ], + "COUT": [ 362 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 392 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 31 ], + "I0": [ 393 ], + "I1": [ 394 ], + "I2": [ 48 ], + "I3": [ 395 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 396 ], + "COUT": [ 397 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 398 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 399 ], + "COUT": [ 400 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 401 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 402 ], + "COUT": [ 399 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 403 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 404 ], + "COUT": [ 405 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 406 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 407 ], + "COUT": [ 404 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 408 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 409 ], + "COUT": [ 407 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 410 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 400 ], + "COUT": [ 409 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 411 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 412 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 413 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 414 ], + "COUT": [ 402 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 415 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 416 ], + "COUT": [ 414 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 417 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 418 ], + "COUT": [ 416 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 419 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 420 ], + "COUT": [ 418 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 421 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 422 ], + "COUT": [ 420 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 423 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 424 ], + "COUT": [ 422 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 425 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 412 ], + "COUT": [ 424 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 426 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 405 ], + "COUT": [ 396 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 427 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 393 ], + "I0": [ 75 ], + "I1": [ 90 ], + "I2": [ 95 ], + "I3": [ 93 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_LUT4_I1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 428 ], + "I0": [ 103 ], + "I1": [ 393 ], + "I2": [ 244 ], + "I3": [ 174 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 429 ], + "I1": [ 428 ], + "O": [ 430 ], + "S0": [ 397 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 429 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 431 ], + "I1": [ 432 ], + "O": [ 433 ], + "S0": [ 434 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_1": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 435 ], + "I1": [ 436 ], + "O": [ 43 ], + "S0": [ 51 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 435 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 436 ], + "I0": [ 99 ], + "I1": [ 103 ], + "I2": [ 100 ], + "I3": [ 47 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 431 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 432 ], + "I0": [ 99 ], + "I1": [ 103 ], + "I2": [ 278 ], + "I3": [ 312 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 437 ], + "COUT": [ 434 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 438 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 439 ], + "COUT": [ 440 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 441 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 442 ], + "COUT": [ 439 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 443 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 444 ], + "COUT": [ 445 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 446 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 447 ], + "COUT": [ 444 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 448 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 449 ], + "COUT": [ 447 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 450 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 440 ], + "COUT": [ 449 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 451 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 452 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 453 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 454 ], + "COUT": [ 442 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 455 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 456 ], + "COUT": [ 454 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 457 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 458 ], + "COUT": [ 456 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 459 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 460 ], + "COUT": [ 458 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 461 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 462 ], + "COUT": [ 460 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 463 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 464 ], + "COUT": [ 462 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 465 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 452 ], + "COUT": [ 464 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 466 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 445 ], + "COUT": [ 437 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 467 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O": { + "hide_name": 0, + "type": "MUX2_LUT8", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:172.14-172.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 468 ], + "I1": [ 469 ], + "O": [ 470 ], + "S0": [ 174 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 471 ], + "I1": [ 472 ], + "O": [ 468 ], + "S0": [ 103 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 473 ], + "I1": [ 474 ], + "O": [ 471 ], + "S0": [ 326 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 475 ], + "I1": [ 476 ], + "O": [ 473 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 475 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 476 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 479 ], + "COUT": [ 477 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 480 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 481 ], + "COUT": [ 478 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 482 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 483 ], + "COUT": [ 484 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 485 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 486 ], + "COUT": [ 483 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 487 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 488 ], + "COUT": [ 489 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 490 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 491 ], + "COUT": [ 488 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 492 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 493 ], + "COUT": [ 491 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 494 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 484 ], + "COUT": [ 493 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 495 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 496 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 497 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 498 ], + "COUT": [ 486 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 499 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 500 ], + "COUT": [ 498 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 501 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 502 ], + "COUT": [ 500 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 503 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 504 ], + "COUT": [ 502 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 505 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 506 ], + "COUT": [ 504 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 507 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 508 ], + "COUT": [ 506 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 509 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 496 ], + "COUT": [ 508 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 510 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 489 ], + "COUT": [ 481 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 511 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 512 ], + "COUT": [ 513 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 514 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 515 ], + "COUT": [ 512 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 516 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 517 ], + "COUT": [ 518 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 519 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 520 ], + "COUT": [ 517 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 521 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 522 ], + "COUT": [ 520 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 523 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 513 ], + "COUT": [ 522 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 524 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 525 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 526 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 527 ], + "COUT": [ 515 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 528 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 529 ], + "COUT": [ 527 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 530 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 531 ], + "COUT": [ 529 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 532 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 533 ], + "COUT": [ 531 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 534 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 535 ], + "COUT": [ 533 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 536 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 537 ], + "COUT": [ 535 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 538 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 525 ], + "COUT": [ 537 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 539 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 518 ], + "COUT": [ 479 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 540 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 541 ], + "I1": [ 542 ], + "O": [ 474 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 541 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 542 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 543 ], + "I1": [ 544 ], + "O": [ 472 ], + "S0": [ 326 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 545 ], + "I1": [ 546 ], + "O": [ 543 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 545 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 546 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 547 ], + "I1": [ 548 ], + "O": [ 544 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 547 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 548 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 549 ], + "I1": [ 550 ], + "O": [ 469 ], + "S0": [ 103 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 551 ], + "I1": [ 552 ], + "O": [ 549 ], + "S0": [ 326 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 553 ], + "I1": [ 554 ], + "O": [ 551 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 553 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 554 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 555 ], + "I1": [ 556 ], + "O": [ 552 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 555 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 556 ], + "I0": [ 477 ], + "I1": [ 478 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 557 ], + "I1": [ 558 ], + "O": [ 550 ], + "S0": [ 326 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 559 ], + "I1": [ 560 ], + "O": [ 557 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101000100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 559 ], + "I0": [ 477 ], + "I1": [ 478 ], + "I2": [ 139 ], + "I3": [ 561 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101000100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 560 ], + "I0": [ 477 ], + "I1": [ 478 ], + "I2": [ 139 ], + "I3": [ 561 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 562 ], + "I1": [ 563 ], + "O": [ 558 ], + "S0": [ 330 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0101000100010001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 562 ], + "I0": [ 477 ], + "I1": [ 478 ], + "I2": [ 139 ], + "I3": [ 561 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "11010101" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 563 ], + "I0": [ 478 ], + "I1": [ 139 ], + "I2": [ 561 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 564 ], + "COUT": [ 395 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 565 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 566 ], + "COUT": [ 567 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 568 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 569 ], + "COUT": [ 566 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 570 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 571 ], + "COUT": [ 572 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 573 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 574 ], + "COUT": [ 571 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 575 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 576 ], + "COUT": [ 574 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 577 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 567 ], + "COUT": [ 576 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 578 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 579 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 580 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 581 ], + "COUT": [ 569 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 582 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 583 ], + "COUT": [ 581 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 584 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 585 ], + "COUT": [ 583 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 586 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 587 ], + "COUT": [ 585 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 588 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 589 ], + "COUT": [ 587 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 590 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 591 ], + "COUT": [ 589 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 592 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 579 ], + "COUT": [ 591 ], + "I0": [ 73 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 593 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 572 ], + "COUT": [ 564 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 594 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 595 ], + "COUT": [ 325 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 596 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 597 ], + "COUT": [ 598 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 599 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 600 ], + "COUT": [ 597 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 601 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 602 ], + "COUT": [ 603 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 604 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 605 ], + "COUT": [ 602 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 606 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 607 ], + "COUT": [ 605 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 608 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 598 ], + "COUT": [ 607 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 609 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 610 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 611 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 612 ], + "COUT": [ 600 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 613 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 614 ], + "COUT": [ 612 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 615 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 616 ], + "COUT": [ 614 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 617 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 618 ], + "COUT": [ 616 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 619 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 620 ], + "COUT": [ 618 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 621 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 622 ], + "COUT": [ 620 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 623 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 610 ], + "COUT": [ 622 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 624 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 603 ], + "COUT": [ 595 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 625 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 326 ], + "I0": [ 84 ], + "I1": [ 81 ], + "I2": [ 78 ], + "I3": [ 87 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 135 ], + "I0": [ 90 ], + "I1": [ 75 ], + "I2": [ 95 ], + "I3": [ 93 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 626 ], + "COUT": [ 137 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 627 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 628 ], + "COUT": [ 629 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 630 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 631 ], + "COUT": [ 628 ], + "I0": [ 73 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 632 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 633 ], + "COUT": [ 634 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 635 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 636 ], + "COUT": [ 633 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 637 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 638 ], + "COUT": [ 636 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 639 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 629 ], + "COUT": [ 638 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 640 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 641 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 642 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 643 ], + "COUT": [ 631 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 644 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 645 ], + "COUT": [ 643 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 646 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 647 ], + "COUT": [ 645 ], + "I0": [ 73 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 648 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 649 ], + "COUT": [ 647 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 650 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 651 ], + "COUT": [ 649 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 652 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 653 ], + "COUT": [ 651 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 654 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 641 ], + "COUT": [ 653 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 655 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 634 ], + "COUT": [ 626 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 656 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 657 ], + "I1": [ 658 ], + "O": [ 45 ], + "S0": [ 659 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 660 ], + "I1": [ 661 ], + "O": [ 44 ], + "S0": [ 662 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 660 ], + "I0": [ 663 ], + "I1": [ 664 ], + "I2": [ 665 ], + "I3": [ 666 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 661 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 667 ], + "COUT": [ 662 ], + "I0": [ 40 ], + "I1": [ 668 ], + "I3": [ 40 ], + "SUM": [ 669 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 670 ], + "COUT": [ 667 ], + "I0": [ 40 ], + "I1": [ 671 ], + "I3": [ 40 ], + "SUM": [ 672 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 673 ], + "COUT": [ 670 ], + "I0": [ 40 ], + "I1": [ 674 ], + "I3": [ 40 ], + "SUM": [ 675 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 676 ], + "COUT": [ 673 ], + "I0": [ 40 ], + "I1": [ 677 ], + "I3": [ 40 ], + "SUM": [ 678 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 679 ], + "COUT": [ 676 ], + "I0": [ 40 ], + "I1": [ 680 ], + "I3": [ 40 ], + "SUM": [ 681 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 682 ], + "COUT": [ 679 ], + "I0": [ 40 ], + "I1": [ 683 ], + "I3": [ 40 ], + "SUM": [ 684 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 685 ], + "COUT": [ 682 ], + "I0": [ 40 ], + "I1": [ 686 ], + "I3": [ 40 ], + "SUM": [ 687 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 688 ], + "COUT": [ 685 ], + "I0": [ 73 ], + "I1": [ 689 ], + "I3": [ 40 ], + "SUM": [ 690 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 691 ], + "COUT": [ 688 ], + "I0": [ 73 ], + "I1": [ 692 ], + "I3": [ 40 ], + "SUM": [ 693 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 694 ], + "COUT": [ 691 ], + "I0": [ 73 ], + "I1": [ 695 ], + "I3": [ 40 ], + "SUM": [ 696 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 694 ], + "I0": [ 40 ], + "I1": [ 697 ], + "I3": [ 40 ], + "SUM": [ 698 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 665 ], + "I0": [ 686 ], + "I1": [ 692 ], + "I2": [ 689 ], + "I3": [ 695 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 664 ], + "I0": [ 697 ], + "I1": [ 699 ], + "I2": [ 700 ], + "I3": [ 701 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 657 ], + "I0": [ 40 ] + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 658 ], + "I0": [ 702 ], + "I1": [ 703 ], + "I2": [ 704 ], + "I3": [ 666 ] + } + }, + "D1.LCD_G_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 705 ], + "I0": [ 324 ], + "I1": [ 321 ], + "I2": [ 329 ] + } + }, + "D1.LCD_G_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 706 ], + "I0": [ 329 ], + "I1": [ 324 ], + "I2": [ 321 ], + "I3": [ 314 ] + } + }, + "D1.LCD_G_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 707 ], + "I1": [ 708 ], + "O": [ 709 ], + "S0": [ 321 ] + } + }, + "D1.LCD_G_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111100010000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 707 ], + "I0": [ 329 ], + "I1": [ 314 ], + "I2": [ 317 ], + "I3": [ 324 ] + } + }, + "D1.LCD_G_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 708 ], + "I0": [ 40 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 710 ], + "I1": [ 711 ], + "O": [ 712 ], + "S0": [ 321 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 713 ], + "I1": [ 714 ], + "O": [ 710 ], + "S0": [ 715 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 713 ], + "I0": [ 40 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 714 ], + "I0": [ 324 ], + "I1": [ 329 ], + "I2": [ 314 ], + "I3": [ 317 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 716 ], + "I1": [ 717 ], + "O": [ 715 ], + "S0": [ 718 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 716 ], + "I0": [ 40 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111111111111111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 717 ], + "I0": [ 46 ], + "I1": [ 99 ], + "I2": [ 561 ], + "I3": [ 211 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 719 ], + "COUT": [ 718 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 720 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 721 ], + "COUT": [ 722 ], + "I0": [ 73 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 723 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 724 ], + "COUT": [ 721 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 725 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 726 ], + "COUT": [ 727 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 728 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 729 ], + "COUT": [ 726 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 730 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 731 ], + "COUT": [ 729 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 732 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 722 ], + "COUT": [ 731 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 733 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 734 ], + "I0": [ 40 ], + "I1": [ 75 ], + "I3": [ 40 ], + "SUM": [ 735 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 736 ], + "COUT": [ 724 ], + "I0": [ 73 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 737 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 738 ], + "COUT": [ 736 ], + "I0": [ 73 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 739 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 740 ], + "COUT": [ 738 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 741 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 742 ], + "COUT": [ 740 ], + "I0": [ 73 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 743 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 744 ], + "COUT": [ 742 ], + "I0": [ 73 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 745 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 746 ], + "COUT": [ 744 ], + "I0": [ 73 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 747 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 734 ], + "COUT": [ 746 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 748 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 727 ], + "COUT": [ 719 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 749 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 561 ], + "I0": [ 75 ], + "I1": [ 95 ], + "I2": [ 90 ], + "I3": [ 93 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 46 ], + "I0": [ 84 ], + "I1": [ 87 ], + "I2": [ 81 ], + "I3": [ 78 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 750 ], + "I1": [ 751 ], + "O": [ 711 ], + "S0": [ 715 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "11" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 750 ], + "I0": [ 40 ] + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "11" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 751 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1111111101110000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 752 ], + "I0": [ 753 ], + "I1": [ 754 ], + "I2": [ 755 ], + "I3": [ 42 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "10000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 753 ], + "I0": [ 99 ], + "I1": [ 103 ], + "I2": [ 75 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 754 ], + "I0": [ 90 ], + "I1": [ 95 ], + "I2": [ 93 ], + "I3": [ 394 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 394 ], + "I0": [ 87 ], + "I1": [ 84 ], + "I2": [ 81 ], + "I3": [ 78 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O": { + "hide_name": 0, + "type": "MUX2_LUT8", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:172.14-172.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 756 ], + "I1": [ 757 ], + "O": [ 48 ], + "S0": [ 59 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 758 ], + "I1": [ 759 ], + "O": [ 756 ], + "S0": [ 56 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 760 ], + "I1": [ 761 ], + "O": [ 758 ], + "S0": [ 52 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 762 ], + "I1": [ 763 ], + "O": [ 760 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 762 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 763 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 764 ], + "I1": [ 765 ], + "O": [ 761 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 764 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 765 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 766 ], + "I1": [ 767 ], + "O": [ 759 ], + "S0": [ 52 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 768 ], + "I1": [ 769 ], + "O": [ 766 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 768 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 769 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 770 ], + "I1": [ 771 ], + "O": [ 767 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 770 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 771 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 772 ], + "I1": [ 773 ], + "O": [ 757 ], + "S0": [ 56 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 774 ], + "I1": [ 775 ], + "O": [ 772 ], + "S0": [ 52 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 776 ], + "I1": [ 777 ], + "O": [ 774 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 776 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 777 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 778 ], + "I1": [ 779 ], + "O": [ 775 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 778 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 779 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 780 ], + "I1": [ 781 ], + "O": [ 773 ], + "S0": [ 52 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 782 ], + "I1": [ 783 ], + "O": [ 780 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 782 ], + "I0": [ 63 ], + "I1": [ 71 ], + "I2": [ 69 ], + "I3": [ 66 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 783 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 784 ], + "I1": [ 785 ], + "O": [ 781 ], + "S0": [ 97 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 784 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "00" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 785 ], + "I0": [ 40 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 786 ], + "COUT": [ 755 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 40 ], + "SUM": [ 787 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 788 ], + "COUT": [ 789 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 40 ], + "SUM": [ 790 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 791 ], + "COUT": [ 788 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 40 ], + "SUM": [ 792 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 793 ], + "COUT": [ 794 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 40 ], + "SUM": [ 795 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 796 ], + "COUT": [ 793 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 40 ], + "SUM": [ 797 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 789 ], + "COUT": [ 796 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 40 ], + "SUM": [ 798 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 799 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 40 ], + "SUM": [ 800 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 801 ], + "COUT": [ 791 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 40 ], + "SUM": [ 802 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 803 ], + "COUT": [ 801 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 40 ], + "SUM": [ 804 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 805 ], + "COUT": [ 803 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 40 ], + "SUM": [ 806 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 807 ], + "COUT": [ 805 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 40 ], + "SUM": [ 808 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 809 ], + "COUT": [ 807 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 40 ], + "SUM": [ 810 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 811 ], + "COUT": [ 809 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 40 ], + "SUM": [ 812 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 799 ], + "COUT": [ 811 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 40 ], + "SUM": [ 813 ] + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 794 ], + "COUT": [ 786 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 40 ], + "SUM": [ 814 ] + } + }, + "D1.LCD_R_LUT3_F": { + "hide_name": 0, + "type": "LUT3", + "parameters": { + "INIT": "00000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:143.23-144.37" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input" + }, + "connections": { + "F": [ 815 ], + "I0": [ 43 ], + "I1": [ 470 ], + "I2": [ 433 ] + } + }, + "D1.LCD_R_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000011111000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 816 ], + "I0": [ 470 ], + "I1": [ 430 ], + "I2": [ 433 ], + "I3": [ 43 ] + } + }, + "D1.LCD_R_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 817 ], + "I1": [ 818 ], + "O": [ 819 ], + "S0": [ 43 ] + } + }, + "D1.LCD_R_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 817 ], + "I0": [ 433 ], + "I1": [ 430 ], + "I2": [ 470 ], + "I3": [ 176 ] + } + }, + "D1.LCD_R_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "11" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 818 ], + "I0": [ 40 ] + } + }, + "D1.LCD_VSYNC_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100010001001111" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 820 ], + "I0": [ 821 ], + "I1": [ 822 ], + "I2": [ 823 ], + "I3": [ 824 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 821 ], + "I0": [ 666 ], + "I1": [ 702 ], + "I2": [ 703 ], + "I3": [ 825 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 823 ], + "I0": [ 666 ], + "I1": [ 663 ], + "I2": [ 702 ], + "I3": [ 826 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 827 ], + "I0": [ 63 ], + "I1": [ 66 ], + "I2": [ 97 ], + "I3": [ 52 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 136 ], + "I0": [ 87 ], + "I1": [ 81 ], + "I2": [ 84 ], + "I3": [ 78 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I0_LUT4_F_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 828 ], + "I0": [ 59 ], + "I1": [ 56 ], + "I2": [ 69 ], + "I3": [ 71 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I0_LUT4_F_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000100000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 312 ], + "I0": [ 75 ], + "I1": [ 95 ], + "I2": [ 93 ], + "I3": [ 90 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 829 ], + "COUT": [ 659 ], + "I0": [ 40 ], + "I1": [ 668 ], + "I3": [ 40 ], + "SUM": [ 830 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 831 ], + "COUT": [ 832 ], + "I0": [ 40 ], + "I1": [ 686 ], + "I3": [ 40 ], + "SUM": [ 833 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 834 ], + "COUT": [ 831 ], + "I0": [ 40 ], + "I1": [ 689 ], + "I3": [ 40 ], + "SUM": [ 835 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 836 ], + "COUT": [ 837 ], + "I0": [ 40 ], + "I1": [ 674 ], + "I3": [ 40 ], + "SUM": [ 838 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 839 ], + "COUT": [ 836 ], + "I0": [ 40 ], + "I1": [ 677 ], + "I3": [ 40 ], + "SUM": [ 840 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 841 ], + "COUT": [ 839 ], + "I0": [ 40 ], + "I1": [ 680 ], + "I3": [ 40 ], + "SUM": [ 842 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 832 ], + "COUT": [ 841 ], + "I0": [ 40 ], + "I1": [ 683 ], + "I3": [ 40 ], + "SUM": [ 843 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 844 ], + "I0": [ 40 ], + "I1": [ 701 ], + "I3": [ 40 ], + "SUM": [ 845 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 846 ], + "COUT": [ 834 ], + "I0": [ 40 ], + "I1": [ 692 ], + "I3": [ 40 ], + "SUM": [ 847 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 848 ], + "COUT": [ 846 ], + "I0": [ 40 ], + "I1": [ 695 ], + "I3": [ 40 ], + "SUM": [ 849 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 850 ], + "COUT": [ 848 ], + "I0": [ 40 ], + "I1": [ 697 ], + "I3": [ 40 ], + "SUM": [ 851 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 852 ], + "COUT": [ 850 ], + "I0": [ 40 ], + "I1": [ 700 ], + "I3": [ 40 ], + "SUM": [ 853 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 854 ], + "COUT": [ 852 ], + "I0": [ 40 ], + "I1": [ 855 ], + "I3": [ 40 ], + "SUM": [ 856 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 857 ], + "COUT": [ 854 ], + "I0": [ 40 ], + "I1": [ 858 ], + "I3": [ 40 ], + "SUM": [ 859 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 844 ], + "COUT": [ 857 ], + "I0": [ 40 ], + "I1": [ 699 ], + "I3": [ 40 ], + "SUM": [ 860 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 837 ], + "COUT": [ 829 ], + "I0": [ 40 ], + "I1": [ 671 ], + "I3": [ 40 ], + "SUM": [ 861 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 704 ], + "I0": [ 699 ], + "I1": [ 858 ], + "I2": [ 701 ], + "I3": [ 855 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 703 ], + "I0": [ 689 ], + "I1": [ 686 ], + "I2": [ 683 ], + "I3": [ 680 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I3_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 825 ], + "I0": [ 699 ], + "I1": [ 855 ], + "I2": [ 701 ], + "I3": [ 858 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 862 ], + "COUT": [ 822 ], + "I0": [ 40 ], + "I1": [ 668 ], + "I3": [ 40 ], + "SUM": [ 863 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 864 ], + "COUT": [ 865 ], + "I0": [ 40 ], + "I1": [ 683 ], + "I3": [ 40 ], + "SUM": [ 866 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 867 ], + "COUT": [ 864 ], + "I0": [ 40 ], + "I1": [ 686 ], + "I3": [ 40 ], + "SUM": [ 868 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 869 ], + "COUT": [ 870 ], + "I0": [ 40 ], + "I1": [ 674 ], + "I3": [ 40 ], + "SUM": [ 871 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 872 ], + "COUT": [ 869 ], + "I0": [ 40 ], + "I1": [ 677 ], + "I3": [ 40 ], + "SUM": [ 873 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 865 ], + "COUT": [ 872 ], + "I0": [ 40 ], + "I1": [ 680 ], + "I3": [ 40 ], + "SUM": [ 874 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 875 ], + "I0": [ 40 ], + "I1": [ 699 ], + "I3": [ 40 ], + "SUM": [ 876 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 877 ], + "COUT": [ 867 ], + "I0": [ 40 ], + "I1": [ 689 ], + "I3": [ 40 ], + "SUM": [ 878 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 879 ], + "COUT": [ 877 ], + "I0": [ 40 ], + "I1": [ 692 ], + "I3": [ 40 ], + "SUM": [ 880 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 881 ], + "COUT": [ 879 ], + "I0": [ 40 ], + "I1": [ 695 ], + "I3": [ 40 ], + "SUM": [ 882 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 883 ], + "COUT": [ 881 ], + "I0": [ 40 ], + "I1": [ 697 ], + "I3": [ 40 ], + "SUM": [ 884 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 885 ], + "COUT": [ 883 ], + "I0": [ 40 ], + "I1": [ 700 ], + "I3": [ 40 ], + "SUM": [ 886 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 887 ], + "COUT": [ 885 ], + "I0": [ 40 ], + "I1": [ 855 ], + "I3": [ 40 ], + "SUM": [ 888 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 875 ], + "COUT": [ 887 ], + "I0": [ 73 ], + "I1": [ 858 ], + "I3": [ 40 ], + "SUM": [ 889 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 870 ], + "COUT": [ 862 ], + "I0": [ 40 ], + "I1": [ 671 ], + "I3": [ 40 ], + "SUM": [ 890 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 891 ], + "COUT": [ 824 ], + "I0": [ 40 ], + "I1": [ 668 ], + "I3": [ 40 ], + "SUM": [ 892 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 893 ], + "COUT": [ 894 ], + "I0": [ 40 ], + "I1": [ 683 ], + "I3": [ 40 ], + "SUM": [ 895 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 896 ], + "COUT": [ 893 ], + "I0": [ 73 ], + "I1": [ 686 ], + "I3": [ 40 ], + "SUM": [ 897 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 898 ], + "COUT": [ 899 ], + "I0": [ 40 ], + "I1": [ 674 ], + "I3": [ 40 ], + "SUM": [ 900 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 901 ], + "COUT": [ 898 ], + "I0": [ 40 ], + "I1": [ 677 ], + "I3": [ 40 ], + "SUM": [ 902 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 894 ], + "COUT": [ 901 ], + "I0": [ 40 ], + "I1": [ 680 ], + "I3": [ 40 ], + "SUM": [ 903 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 904 ], + "I0": [ 40 ], + "I1": [ 699 ], + "I3": [ 40 ], + "SUM": [ 905 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 906 ], + "COUT": [ 896 ], + "I0": [ 40 ], + "I1": [ 689 ], + "I3": [ 40 ], + "SUM": [ 907 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 908 ], + "COUT": [ 906 ], + "I0": [ 40 ], + "I1": [ 692 ], + "I3": [ 40 ], + "SUM": [ 909 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 910 ], + "COUT": [ 908 ], + "I0": [ 40 ], + "I1": [ 695 ], + "I3": [ 40 ], + "SUM": [ 911 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 912 ], + "COUT": [ 910 ], + "I0": [ 40 ], + "I1": [ 697 ], + "I3": [ 40 ], + "SUM": [ 913 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 914 ], + "COUT": [ 912 ], + "I0": [ 40 ], + "I1": [ 700 ], + "I3": [ 40 ], + "SUM": [ 915 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 916 ], + "COUT": [ 914 ], + "I0": [ 73 ], + "I1": [ 855 ], + "I3": [ 40 ], + "SUM": [ 917 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 904 ], + "COUT": [ 916 ], + "I0": [ 73 ], + "I1": [ 858 ], + "I3": [ 40 ], + "SUM": [ 918 ] + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 899 ], + "COUT": [ 891 ], + "I0": [ 40 ], + "I1": [ 671 ], + "I3": [ 40 ], + "SUM": [ 919 ] + } + }, + "D1.LineCount_DFFCE_Q": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 921 ], + "CLK": [ 922 ], + "D": [ 923 ], + "Q": [ 668 ] + } + }, + "D1.LineCount_DFFCE_Q_1": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 924 ], + "CLK": [ 922 ], + "D": [ 925 ], + "Q": [ 671 ] + } + }, + "D1.LineCount_DFFCE_Q_10": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 926 ], + "CLK": [ 922 ], + "D": [ 927 ], + "Q": [ 697 ] + } + }, + "D1.LineCount_DFFCE_Q_10_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 926 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_10_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 927 ], + "I0": [ 929 ], + "I1": [ 930 ] + } + }, + "D1.LineCount_DFFCE_Q_11": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 931 ], + "CLK": [ 922 ], + "D": [ 932 ], + "Q": [ 700 ] + } + }, + "D1.LineCount_DFFCE_Q_11_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 931 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_11_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 932 ], + "I0": [ 929 ], + "I1": [ 933 ] + } + }, + "D1.LineCount_DFFCE_Q_12": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 934 ], + "CLK": [ 922 ], + "D": [ 935 ], + "Q": [ 855 ] + } + }, + "D1.LineCount_DFFCE_Q_12_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 934 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_12_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 935 ], + "I0": [ 929 ], + "I1": [ 936 ] + } + }, + "D1.LineCount_DFFCE_Q_13": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 937 ], + "CLK": [ 922 ], + "D": [ 938 ], + "Q": [ 858 ] + } + }, + "D1.LineCount_DFFCE_Q_13_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 937 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_13_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 938 ], + "I0": [ 929 ], + "I1": [ 939 ] + } + }, + "D1.LineCount_DFFCE_Q_14": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 940 ], + "CLK": [ 922 ], + "D": [ 941 ], + "Q": [ 699 ] + } + }, + "D1.LineCount_DFFCE_Q_14_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 940 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_14_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 941 ], + "I0": [ 929 ], + "I1": [ 942 ] + } + }, + "D1.LineCount_DFFCE_Q_15": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 943 ], + "CLK": [ 922 ], + "D": [ 944 ], + "Q": [ 701 ] + } + }, + "D1.LineCount_DFFCE_Q_15_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 943 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_15_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 944 ], + "I0": [ 929 ], + "I1": [ 945 ] + } + }, + "D1.LineCount_DFFCE_Q_1_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 924 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_1_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 925 ], + "I0": [ 929 ], + "I1": [ 946 ] + } + }, + "D1.LineCount_DFFCE_Q_2": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 947 ], + "CLK": [ 922 ], + "D": [ 948 ], + "Q": [ 674 ] + } + }, + "D1.LineCount_DFFCE_Q_2_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 947 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_2_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 948 ], + "I0": [ 929 ], + "I1": [ 949 ] + } + }, + "D1.LineCount_DFFCE_Q_3": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 950 ], + "CLK": [ 922 ], + "D": [ 951 ], + "Q": [ 677 ] + } + }, + "D1.LineCount_DFFCE_Q_3_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 950 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_3_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 951 ], + "I0": [ 929 ], + "I1": [ 952 ] + } + }, + "D1.LineCount_DFFCE_Q_4": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 953 ], + "CLK": [ 922 ], + "D": [ 954 ], + "Q": [ 680 ] + } + }, + "D1.LineCount_DFFCE_Q_4_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 953 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_4_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 954 ], + "I0": [ 929 ], + "I1": [ 955 ] + } + }, + "D1.LineCount_DFFCE_Q_5": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 956 ], + "CLK": [ 922 ], + "D": [ 957 ], + "Q": [ 683 ] + } + }, + "D1.LineCount_DFFCE_Q_5_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 956 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_5_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 957 ], + "I0": [ 929 ], + "I1": [ 958 ] + } + }, + "D1.LineCount_DFFCE_Q_6": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 959 ], + "CLK": [ 922 ], + "D": [ 960 ], + "Q": [ 686 ] + } + }, + "D1.LineCount_DFFCE_Q_6_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 959 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_6_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 960 ], + "I0": [ 929 ], + "I1": [ 961 ] + } + }, + "D1.LineCount_DFFCE_Q_7": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 962 ], + "CLK": [ 922 ], + "D": [ 963 ], + "Q": [ 689 ] + } + }, + "D1.LineCount_DFFCE_Q_7_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 962 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_7_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 963 ], + "I0": [ 929 ], + "I1": [ 964 ] + } + }, + "D1.LineCount_DFFCE_Q_8": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 965 ], + "CLK": [ 922 ], + "D": [ 966 ], + "Q": [ 692 ] + } + }, + "D1.LineCount_DFFCE_Q_8_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 965 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_8_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 966 ], + "I0": [ 929 ], + "I1": [ 967 ] + } + }, + "D1.LineCount_DFFCE_Q_9": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 920 ], + "CLEAR": [ 968 ], + "CLK": [ 922 ], + "D": [ 969 ], + "Q": [ 695 ] + } + }, + "D1.LineCount_DFFCE_Q_9_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 968 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_9_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 969 ], + "I0": [ 929 ], + "I1": [ 970 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O": { + "hide_name": 0, + "type": "MUX2_LUT8", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:172.14-172.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 971 ], + "I1": [ 972 ], + "O": [ 920 ], + "S0": [ 826 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 973 ], + "I1": [ 974 ], + "O": [ 971 ], + "S0": [ 702 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 975 ], + "I1": [ 976 ], + "O": [ 973 ], + "S0": [ 663 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 977 ], + "I1": [ 978 ], + "O": [ 975 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 977 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 978 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 979 ], + "I1": [ 980 ], + "O": [ 976 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 979 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 980 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 981 ], + "I1": [ 982 ], + "O": [ 974 ], + "S0": [ 663 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 983 ], + "I1": [ 984 ], + "O": [ 981 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 983 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 984 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 985 ], + "I1": [ 986 ], + "O": [ 982 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 985 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 986 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O": { + "hide_name": 0, + "type": "MUX2_LUT7", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:166.14-166.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 987 ], + "I1": [ 988 ], + "O": [ 972 ], + "S0": [ 702 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 989 ], + "I1": [ 990 ], + "O": [ 987 ], + "S0": [ 663 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 991 ], + "I1": [ 992 ], + "O": [ 989 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 991 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 992 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 993 ], + "I1": [ 994 ], + "O": [ 990 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 993 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 994 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O": { + "hide_name": 0, + "type": "MUX2_LUT6", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:160.14-160.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 995 ], + "I1": [ 996 ], + "O": [ 988 ], + "S0": [ 663 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 997 ], + "I1": [ 998 ], + "O": [ 995 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 997 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 998 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O": { + "hide_name": 0, + "type": "MUX2_LUT5", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:154.14-154.54" + }, + "port_directions": { + "I0": "input", + "I1": "input", + "O": "output", + "S0": "input" + }, + "connections": { + "I0": [ 999 ], + "I1": [ 1000 ], + "O": [ 996 ], + "S0": [ 666 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:152.41-152.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 999 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "11" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:153.41-153.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1000 ], + "I0": [ 40 ] + } + }, + "D1.LineCount_DFFCE_Q_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 921 ], + "I0": [ 928 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 923 ], + "I0": [ 929 ], + "I1": [ 1001 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 929 ], + "I0": [ 312 ], + "I1": [ 828 ], + "I2": [ 136 ], + "I3": [ 827 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1002 ], + "COUT": [ 1003 ], + "I0": [ 40 ], + "I1": [ 686 ], + "I3": [ 73 ], + "SUM": [ 961 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1004 ], + "COUT": [ 1002 ], + "I0": [ 40 ], + "I1": [ 689 ], + "I3": [ 73 ], + "SUM": [ 964 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1005 ], + "COUT": [ 1006 ], + "I0": [ 40 ], + "I1": [ 671 ], + "I3": [ 73 ], + "SUM": [ 946 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1007 ], + "COUT": [ 1005 ], + "I0": [ 40 ], + "I1": [ 674 ], + "I3": [ 73 ], + "SUM": [ 949 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1008 ], + "COUT": [ 1007 ], + "I0": [ 40 ], + "I1": [ 677 ], + "I3": [ 73 ], + "SUM": [ 952 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1009 ], + "COUT": [ 1008 ], + "I0": [ 40 ], + "I1": [ 680 ], + "I3": [ 73 ], + "SUM": [ 955 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1003 ], + "COUT": [ 1009 ], + "I0": [ 40 ], + "I1": [ 683 ], + "I3": [ 73 ], + "SUM": [ 958 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_15": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 40 ], + "COUT": [ 1010 ], + "I0": [ 73 ], + "I1": [ 701 ], + "I3": [ 73 ], + "SUM": [ 945 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1011 ], + "COUT": [ 1004 ], + "I0": [ 40 ], + "I1": [ 692 ], + "I3": [ 73 ], + "SUM": [ 967 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1012 ], + "COUT": [ 1011 ], + "I0": [ 40 ], + "I1": [ 695 ], + "I3": [ 73 ], + "SUM": [ 970 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1013 ], + "COUT": [ 1012 ], + "I0": [ 40 ], + "I1": [ 697 ], + "I3": [ 73 ], + "SUM": [ 930 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1014 ], + "COUT": [ 1013 ], + "I0": [ 40 ], + "I1": [ 700 ], + "I3": [ 73 ], + "SUM": [ 933 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1015 ], + "COUT": [ 1014 ], + "I0": [ 40 ], + "I1": [ 855 ], + "I3": [ 73 ], + "SUM": [ 936 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1016 ], + "COUT": [ 1015 ], + "I0": [ 40 ], + "I1": [ 858 ], + "I3": [ 73 ], + "SUM": [ 939 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1010 ], + "COUT": [ 1016 ], + "I0": [ 40 ], + "I1": [ 699 ], + "I3": [ 73 ], + "SUM": [ 942 ] + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1006 ], + "COUT": [ 1017 ], + "I0": [ 40 ], + "I1": [ 668 ], + "I3": [ 73 ], + "SUM": [ 1001 ] + } + }, + "D1.LineCount_LUT4_I0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 826 ], + "I0": [ 699 ], + "I1": [ 689 ], + "I2": [ 701 ], + "I3": [ 686 ] + } + }, + "D1.LineCount_LUT4_I0_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 702 ], + "I0": [ 697 ], + "I1": [ 700 ], + "I2": [ 695 ], + "I3": [ 692 ] + } + }, + "D1.LineCount_LUT4_I0_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0001000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 663 ], + "I0": [ 683 ], + "I1": [ 680 ], + "I2": [ 858 ], + "I3": [ 855 ] + } + }, + "D1.LineCount_LUT4_I0_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 666 ], + "I0": [ 674 ], + "I1": [ 671 ], + "I2": [ 668 ], + "I3": [ 677 ] + } + }, + "D1.PixelCount_DFFC_Q": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1018 ], + "CLK": [ 922 ], + "D": [ 1019 ], + "Q": [ 52 ] + } + }, + "D1.PixelCount_DFFC_Q_1": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1020 ], + "CLK": [ 922 ], + "D": [ 1021 ], + "Q": [ 97 ] + } + }, + "D1.PixelCount_DFFC_Q_10": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1022 ], + "CLK": [ 922 ], + "D": [ 1023 ], + "Q": [ 84 ] + } + }, + "D1.PixelCount_DFFC_Q_10_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1022 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_10_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1023 ], + "I0": [ 920 ], + "I1": [ 1024 ] + } + }, + "D1.PixelCount_DFFC_Q_11": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1025 ], + "CLK": [ 922 ], + "D": [ 1026 ], + "Q": [ 87 ] + } + }, + "D1.PixelCount_DFFC_Q_11_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1025 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_11_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1026 ], + "I0": [ 920 ], + "I1": [ 1027 ] + } + }, + "D1.PixelCount_DFFC_Q_12": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1028 ], + "CLK": [ 922 ], + "D": [ 1029 ], + "Q": [ 90 ] + } + }, + "D1.PixelCount_DFFC_Q_12_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1028 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_12_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1029 ], + "I0": [ 920 ], + "I1": [ 1030 ] + } + }, + "D1.PixelCount_DFFC_Q_13": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1031 ], + "CLK": [ 922 ], + "D": [ 1032 ], + "Q": [ 93 ] + } + }, + "D1.PixelCount_DFFC_Q_13_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1031 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_13_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1032 ], + "I0": [ 920 ], + "I1": [ 1033 ] + } + }, + "D1.PixelCount_DFFC_Q_14": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1034 ], + "CLK": [ 922 ], + "D": [ 1035 ], + "Q": [ 95 ] + } + }, + "D1.PixelCount_DFFC_Q_14_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1034 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_14_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1035 ], + "I0": [ 920 ], + "I1": [ 1036 ] + } + }, + "D1.PixelCount_DFFC_Q_15": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1037 ], + "CLK": [ 922 ], + "D": [ 1038 ], + "Q": [ 75 ] + } + }, + "D1.PixelCount_DFFC_Q_15_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1037 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_15_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1038 ], + "I0": [ 920 ], + "I1": [ 1039 ] + } + }, + "D1.PixelCount_DFFC_Q_1_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1020 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_1_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1021 ], + "I0": [ 920 ], + "I1": [ 1040 ] + } + }, + "D1.PixelCount_DFFC_Q_2": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1041 ], + "CLK": [ 922 ], + "D": [ 1042 ], + "Q": [ 63 ] + } + }, + "D1.PixelCount_DFFC_Q_2_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1041 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_2_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1042 ], + "I0": [ 920 ], + "I1": [ 1043 ] + } + }, + "D1.PixelCount_DFFC_Q_3": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1044 ], + "CLK": [ 922 ], + "D": [ 1045 ], + "Q": [ 66 ] + } + }, + "D1.PixelCount_DFFC_Q_3_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1044 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_3_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1045 ], + "I0": [ 920 ], + "I1": [ 1046 ] + } + }, + "D1.PixelCount_DFFC_Q_4": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1047 ], + "CLK": [ 922 ], + "D": [ 1048 ], + "Q": [ 69 ] + } + }, + "D1.PixelCount_DFFC_Q_4_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1047 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_4_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1048 ], + "I0": [ 920 ], + "I1": [ 1049 ] + } + }, + "D1.PixelCount_DFFC_Q_5": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1050 ], + "CLK": [ 922 ], + "D": [ 1051 ], + "Q": [ 71 ] + } + }, + "D1.PixelCount_DFFC_Q_5_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1050 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_5_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1051 ], + "I0": [ 920 ], + "I1": [ 1052 ] + } + }, + "D1.PixelCount_DFFC_Q_6": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1053 ], + "CLK": [ 922 ], + "D": [ 1054 ], + "Q": [ 56 ] + } + }, + "D1.PixelCount_DFFC_Q_6_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1053 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_6_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1054 ], + "I0": [ 920 ], + "I1": [ 1055 ] + } + }, + "D1.PixelCount_DFFC_Q_7": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1056 ], + "CLK": [ 922 ], + "D": [ 1057 ], + "Q": [ 59 ] + } + }, + "D1.PixelCount_DFFC_Q_7_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1056 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_7_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1057 ], + "I0": [ 920 ], + "I1": [ 1058 ] + } + }, + "D1.PixelCount_DFFC_Q_8": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1059 ], + "CLK": [ 922 ], + "D": [ 1060 ], + "Q": [ 78 ] + } + }, + "D1.PixelCount_DFFC_Q_8_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1059 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_8_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1060 ], + "I0": [ 920 ], + "I1": [ 1061 ] + } + }, + "D1.PixelCount_DFFC_Q_9": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1062 ], + "CLK": [ 922 ], + "D": [ 1063 ], + "Q": [ 81 ] + } + }, + "D1.PixelCount_DFFC_Q_9_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1062 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_9_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1063 ], + "I0": [ 920 ], + "I1": [ 1064 ] + } + }, + "D1.PixelCount_DFFC_Q_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1018 ], + "I0": [ 928 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "0100" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1019 ], + "I0": [ 920 ], + "I1": [ 1065 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1066 ], + "COUT": [ 1067 ], + "I0": [ 40 ], + "I1": [ 56 ], + "I3": [ 73 ], + "SUM": [ 1055 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1068 ], + "COUT": [ 1066 ], + "I0": [ 40 ], + "I1": [ 59 ], + "I3": [ 73 ], + "SUM": [ 1058 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1069 ], + "COUT": [ 1070 ], + "I0": [ 40 ], + "I1": [ 97 ], + "I3": [ 73 ], + "SUM": [ 1040 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1071 ], + "COUT": [ 1069 ], + "I0": [ 40 ], + "I1": [ 63 ], + "I3": [ 73 ], + "SUM": [ 1043 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1072 ], + "COUT": [ 1071 ], + "I0": [ 40 ], + "I1": [ 66 ], + "I3": [ 73 ], + "SUM": [ 1046 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1073 ], + "COUT": [ 1072 ], + "I0": [ 40 ], + "I1": [ 69 ], + "I3": [ 73 ], + "SUM": [ 1049 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1067 ], + "COUT": [ 1073 ], + "I0": [ 40 ], + "I1": [ 71 ], + "I3": [ 73 ], + "SUM": [ 1052 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_15": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 40 ], + "COUT": [ 1074 ], + "I0": [ 73 ], + "I1": [ 75 ], + "I3": [ 73 ], + "SUM": [ 1039 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1075 ], + "COUT": [ 1068 ], + "I0": [ 40 ], + "I1": [ 78 ], + "I3": [ 73 ], + "SUM": [ 1061 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1076 ], + "COUT": [ 1075 ], + "I0": [ 40 ], + "I1": [ 81 ], + "I3": [ 73 ], + "SUM": [ 1064 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1077 ], + "COUT": [ 1076 ], + "I0": [ 40 ], + "I1": [ 84 ], + "I3": [ 73 ], + "SUM": [ 1024 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1078 ], + "COUT": [ 1077 ], + "I0": [ 40 ], + "I1": [ 87 ], + "I3": [ 73 ], + "SUM": [ 1027 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1079 ], + "COUT": [ 1078 ], + "I0": [ 40 ], + "I1": [ 90 ], + "I3": [ 73 ], + "SUM": [ 1030 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1080 ], + "COUT": [ 1079 ], + "I0": [ 40 ], + "I1": [ 93 ], + "I3": [ 73 ], + "SUM": [ 1033 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1074 ], + "COUT": [ 1080 ], + "I0": [ 40 ], + "I1": [ 95 ], + "I3": [ 73 ], + "SUM": [ 1036 ] + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1070 ], + "COUT": [ 1081 ], + "I0": [ 40 ], + "I1": [ 52 ], + "I3": [ 73 ], + "SUM": [ 1065 ] + } + }, + "D1.nRST_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 3 ], + "O": [ 928 ] + } + }, + "LCD_B_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 38 ], + "O": [ 23 ] + } + }, + "LCD_B_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 34 ], + "O": [ 22 ] + } + }, + "LCD_B_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 30 ], + "O": [ 21 ] + } + }, + "LCD_B_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 20 ] + } + }, + "LCD_B_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 19 ] + } + }, + "LCD_CLK_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 922 ], + "O": [ 4 ] + } + }, + "LCD_DE_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 41 ], + "O": [ 7 ] + } + }, + "LCD_G_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 712 ], + "O": [ 18 ] + } + }, + "LCD_G_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 709 ], + "O": [ 17 ] + } + }, + "LCD_G_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 706 ], + "O": [ 16 ] + } + }, + "LCD_G_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 705 ], + "O": [ 15 ] + } + }, + "LCD_G_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 14 ] + } + }, + "LCD_G_OBUF_O_5": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 13 ] + } + }, + "LCD_HSYNC_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 752 ], + "O": [ 5 ] + } + }, + "LCD_R_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 819 ], + "O": [ 12 ] + } + }, + "LCD_R_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 816 ], + "O": [ 11 ] + } + }, + "LCD_R_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 815 ], + "O": [ 10 ] + } + }, + "LCD_R_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 9 ] + } + }, + "LCD_R_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 40 ], + "O": [ 8 ] + } + }, + "LCD_VSYNC_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 820 ], + "O": [ 6 ] + } + }, + "LED_OBUF_O": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1082 ], + "O": [ 29 ] + } + }, + "LED_OBUF_O_1": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1083 ], + "O": [ 28 ] + } + }, + "LED_OBUF_O_2": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1084 ], + "O": [ 27 ] + } + }, + "LED_OBUF_O_3": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1085 ], + "O": [ 26 ] + } + }, + "LED_OBUF_O_4": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1086 ], + "O": [ 25 ] + } + }, + "LED_OBUF_O_5": { + "hide_name": 0, + "type": "OBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 1087 ], + "O": [ 24 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLEAR": [ 1089 ], + "CLK": [ 1090 ], + "D": [ 1086 ], + "Q": [ 1085 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_1": { + "hide_name": 0, + "type": "DFFCE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:115.8-115.68" + }, + "port_directions": { + "CE": "input", + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLEAR": [ 1091 ], + "CLK": [ 1090 ], + "D": [ 1082 ], + "Q": [ 1087 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_1_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1091 ], + "I0": [ 928 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_CE_LUT2_F": { + "hide_name": 0, + "type": "LUT2", + "parameters": { + "INIT": "1000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:139.23-140.26" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input" + }, + "connections": { + "F": [ 1088 ], + "I0": [ 1092 ], + "I1": [ 1093 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_CE_LUT2_F_I0_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1093 ], + "I0": [ 1094 ], + "I1": [ 1095 ], + "I2": [ 1096 ], + "I3": [ 1097 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_CE_LUT2_F_I0_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1092 ], + "I0": [ 1098 ], + "I1": [ 1099 ], + "I2": [ 1100 ], + "I3": [ 1101 ] + } + }, + "LED_OBUF_O_I_DFFCE_Q_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1089 ], + "I0": [ 928 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q": { + "hide_name": 0, + "type": "DFFPE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:103.8-103.69" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "PRESET": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLK": [ 1090 ], + "D": [ 1083 ], + "PRESET": [ 1102 ], + "Q": [ 1082 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_1": { + "hide_name": 0, + "type": "DFFPE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:103.8-103.69" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "PRESET": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLK": [ 1090 ], + "D": [ 1084 ], + "PRESET": [ 1103 ], + "Q": [ 1083 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_1_PRESET_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1103 ], + "I0": [ 928 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_2": { + "hide_name": 0, + "type": "DFFPE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:103.8-103.69" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "PRESET": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLK": [ 1090 ], + "D": [ 1085 ], + "PRESET": [ 1104 ], + "Q": [ 1084 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_2_PRESET_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1104 ], + "I0": [ 928 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_3": { + "hide_name": 0, + "type": "DFFPE", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:78.5-85.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:103.8-103.69" + }, + "port_directions": { + "CE": "input", + "CLK": "input", + "D": "input", + "PRESET": "input", + "Q": "output" + }, + "connections": { + "CE": [ 1088 ], + "CLK": [ 1090 ], + "D": [ 1087 ], + "PRESET": [ 1105 ], + "Q": [ 1086 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_3_PRESET_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1105 ], + "I0": [ 928 ] + } + }, + "LED_OBUF_O_I_DFFPE_Q_PRESET_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1102 ], + "I0": [ 928 ] + } + }, + "chip_pll.clkin_IBUF_O": { + "hide_name": 0, + "type": "IBUF", + "parameters": { + }, + "attributes": { + "keep": "00000000000000000000000000000001" + }, + "port_directions": { + "I": "input", + "O": "output" + }, + "connections": { + "I": [ 2 ], + "O": [ 1090 ] + } + }, + "chip_pll.gw_gnd_GND_G": { + "hide_name": 0, + "type": "GND", + "parameters": { + }, + "attributes": { + }, + "port_directions": { + "G": "output" + }, + "connections": { + "G": [ 40 ] + } + }, + "chip_pll.rpll_inst": { + "hide_name": 0, + "type": "rPLL", + "parameters": { + "CLKFB_SEL": "internal", + "CLKOUTD3_SRC": "CLKOUT", + "CLKOUTD_BYPASS": "false", + "CLKOUTD_SRC": "CLKOUT", + "CLKOUTP_BYPASS": "false", + "CLKOUTP_DLY_STEP": "00000000000000000000000000000000", + "CLKOUTP_FT_DIR": "1", + "CLKOUT_BYPASS": "false", + "CLKOUT_DLY_STEP": "00000000000000000000000000000000", + "CLKOUT_FT_DIR": "1", + "DEVICE": "GW1NR-9C", + "DUTYDA_SEL": "1000 ", + "DYN_DA_EN": "false", + "DYN_FBDIV_SEL": "false", + "DYN_IDIV_SEL": "false", + "DYN_ODIV_SEL": "false", + "DYN_SDIV_SEL": "00000000000000000000000000000100", + "FBDIV_SEL": "00000000000000000000000000010111", + "FCLKIN": "27", + "IDIV_SEL": "00000000000000000000000000000100", + "ODIV_SEL": "00000000000000000000000000000100", + "PSDA_SEL": "0000 " + }, + "attributes": { + "hdlname": "chip_pll rpll_inst", + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:21.6-37.2" + }, + "port_directions": { + "CLKFB": "input", + "CLKIN": "input", + "CLKOUT": "output", + "CLKOUTD": "output", + "CLKOUTD3": "output", + "CLKOUTP": "output", + "DUTYDA": "input", + "FBDSEL": "input", + "FDLY": "input", + "IDSEL": "input", + "LOCK": "output", + "ODSEL": "input", + "PSDA": "input", + "RESET": "input", + "RESET_P": "input" + }, + "connections": { + "CLKFB": [ 40 ], + "CLKIN": [ 1090 ], + "CLKOUT": [ 1106 ], + "CLKOUTD": [ 922 ], + "CLKOUTD3": [ 1107 ], + "CLKOUTP": [ 1108 ], + "DUTYDA": [ 40, 40, 40, 40 ], + "FBDSEL": [ 40, 40, 40, 40, 40, 40 ], + "FDLY": [ 40, 40, 40, 40 ], + "IDSEL": [ 40, 40, 40, 40, 40, 40 ], + "LOCK": [ 1109 ], + "ODSEL": [ 40, 40, 40, 40, 40, 40 ], + "PSDA": [ 40, 40, 40, 40 ], + "RESET": [ 40 ], + "RESET_P": [ 40 ] + } + }, + "counter_ALU_I1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1110 ], + "COUT": [ 1111 ], + "I0": [ 40 ], + "I1": [ 1112 ], + "I3": [ 73 ], + "SUM": [ 1113 ] + } + }, + "counter_ALU_I1_1": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1114 ], + "COUT": [ 1110 ], + "I0": [ 40 ], + "I1": [ 1115 ], + "I3": [ 73 ], + "SUM": [ 1116 ] + } + }, + "counter_ALU_I1_10": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1117 ], + "COUT": [ 1118 ], + "I0": [ 40 ], + "I1": [ 1119 ], + "I3": [ 73 ], + "SUM": [ 1120 ] + } + }, + "counter_ALU_I1_11": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1121 ], + "COUT": [ 1117 ], + "I0": [ 40 ], + "I1": [ 1122 ], + "I3": [ 73 ], + "SUM": [ 1123 ] + } + }, + "counter_ALU_I1_12": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1124 ], + "COUT": [ 1121 ], + "I0": [ 40 ], + "I1": [ 1125 ], + "I3": [ 73 ], + "SUM": [ 1126 ] + } + }, + "counter_ALU_I1_13": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1127 ], + "COUT": [ 1124 ], + "I0": [ 40 ], + "I1": [ 1128 ], + "I3": [ 73 ], + "SUM": [ 1129 ] + } + }, + "counter_ALU_I1_14": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1130 ], + "COUT": [ 1127 ], + "I0": [ 40 ], + "I1": [ 1131 ], + "I3": [ 73 ], + "SUM": [ 1132 ] + } + }, + "counter_ALU_I1_15": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1133 ], + "COUT": [ 1130 ], + "I0": [ 40 ], + "I1": [ 1134 ], + "I3": [ 73 ], + "SUM": [ 1135 ] + } + }, + "counter_ALU_I1_16": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1136 ], + "COUT": [ 1133 ], + "I0": [ 40 ], + "I1": [ 1137 ], + "I3": [ 73 ], + "SUM": [ 1138 ] + } + }, + "counter_ALU_I1_17": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1139 ], + "COUT": [ 1136 ], + "I0": [ 40 ], + "I1": [ 1140 ], + "I3": [ 73 ], + "SUM": [ 1141 ] + } + }, + "counter_ALU_I1_18": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1142 ], + "COUT": [ 1139 ], + "I0": [ 40 ], + "I1": [ 1143 ], + "I3": [ 73 ], + "SUM": [ 1144 ] + } + }, + "counter_ALU_I1_19": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1145 ], + "COUT": [ 1142 ], + "I0": [ 40 ], + "I1": [ 1146 ], + "I3": [ 73 ], + "SUM": [ 1147 ] + } + }, + "counter_ALU_I1_2": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1148 ], + "COUT": [ 1114 ], + "I0": [ 40 ], + "I1": [ 1149 ], + "I3": [ 73 ], + "SUM": [ 1150 ] + } + }, + "counter_ALU_I1_20": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1151 ], + "COUT": [ 1152 ], + "I0": [ 40 ], + "I1": [ 1153 ], + "I3": [ 73 ], + "SUM": [ 1154 ] + } + }, + "counter_ALU_I1_21": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1155 ], + "COUT": [ 1145 ], + "I0": [ 40 ], + "I1": [ 1156 ], + "I3": [ 73 ], + "SUM": [ 1157 ] + } + }, + "counter_ALU_I1_22": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1158 ], + "COUT": [ 1155 ], + "I0": [ 40 ], + "I1": [ 1159 ], + "I3": [ 73 ], + "SUM": [ 1160 ] + } + }, + "counter_ALU_I1_23": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1161 ], + "COUT": [ 1158 ], + "I0": [ 40 ], + "I1": [ 1162 ], + "I3": [ 73 ], + "SUM": [ 1163 ] + } + }, + "counter_ALU_I1_24": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1164 ], + "COUT": [ 1161 ], + "I0": [ 40 ], + "I1": [ 1165 ], + "I3": [ 73 ], + "SUM": [ 1166 ] + } + }, + "counter_ALU_I1_25": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1167 ], + "COUT": [ 1164 ], + "I0": [ 40 ], + "I1": [ 1168 ], + "I3": [ 73 ], + "SUM": [ 1169 ] + } + }, + "counter_ALU_I1_26": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1170 ], + "COUT": [ 1167 ], + "I0": [ 40 ], + "I1": [ 1171 ], + "I3": [ 73 ], + "SUM": [ 1172 ] + } + }, + "counter_ALU_I1_27": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1173 ], + "COUT": [ 1170 ], + "I0": [ 40 ], + "I1": [ 1174 ], + "I3": [ 73 ], + "SUM": [ 1175 ] + } + }, + "counter_ALU_I1_28": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1176 ], + "COUT": [ 1173 ], + "I0": [ 40 ], + "I1": [ 1177 ], + "I3": [ 73 ], + "SUM": [ 1178 ] + } + }, + "counter_ALU_I1_29": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1179 ], + "COUT": [ 1176 ], + "I0": [ 40 ], + "I1": [ 1180 ], + "I3": [ 73 ], + "SUM": [ 1181 ] + } + }, + "counter_ALU_I1_3": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1182 ], + "COUT": [ 1148 ], + "I0": [ 40 ], + "I1": [ 1183 ], + "I3": [ 73 ], + "SUM": [ 1184 ] + } + }, + "counter_ALU_I1_30": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1111 ], + "COUT": [ 1179 ], + "I0": [ 40 ], + "I1": [ 1185 ], + "I3": [ 73 ], + "SUM": [ 1186 ] + } + }, + "counter_ALU_I1_31": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 40 ], + "COUT": [ 1151 ], + "I0": [ 73 ], + "I1": [ 1187 ], + "I3": [ 73 ], + "SUM": [ 1188 ] + } + }, + "counter_ALU_I1_32": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1189 ], + "COUT": [ 1190 ], + "I0": [ 40 ], + "I1": [ 1112 ], + "I3": [ 40 ], + "SUM": [ 1191 ] + } + }, + "counter_ALU_I1_33": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1192 ], + "COUT": [ 1189 ], + "I0": [ 73 ], + "I1": [ 1115 ], + "I3": [ 40 ], + "SUM": [ 1193 ] + } + }, + "counter_ALU_I1_34": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1194 ], + "COUT": [ 1192 ], + "I0": [ 40 ], + "I1": [ 1149 ], + "I3": [ 40 ], + "SUM": [ 1195 ] + } + }, + "counter_ALU_I1_35": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1196 ], + "COUT": [ 1194 ], + "I0": [ 40 ], + "I1": [ 1183 ], + "I3": [ 40 ], + "SUM": [ 1197 ] + } + }, + "counter_ALU_I1_36": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1198 ], + "COUT": [ 1196 ], + "I0": [ 40 ], + "I1": [ 1199 ], + "I3": [ 40 ], + "SUM": [ 1200 ] + } + }, + "counter_ALU_I1_37": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1201 ], + "COUT": [ 1198 ], + "I0": [ 40 ], + "I1": [ 1202 ], + "I3": [ 40 ], + "SUM": [ 1203 ] + } + }, + "counter_ALU_I1_38": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1204 ], + "COUT": [ 1201 ], + "I0": [ 40 ], + "I1": [ 1205 ], + "I3": [ 40 ], + "SUM": [ 1206 ] + } + }, + "counter_ALU_I1_39": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1207 ], + "COUT": [ 1208 ], + "I0": [ 40 ], + "I1": [ 1209 ], + "I3": [ 40 ], + "SUM": [ 1210 ] + } + }, + "counter_ALU_I1_4": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1211 ], + "COUT": [ 1182 ], + "I0": [ 40 ], + "I1": [ 1199 ], + "I3": [ 73 ], + "SUM": [ 1212 ] + } + }, + "counter_ALU_I1_40": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1213 ], + "COUT": [ 1207 ], + "I0": [ 40 ], + "I1": [ 1214 ], + "I3": [ 40 ], + "SUM": [ 1215 ] + } + }, + "counter_ALU_I1_41": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1216 ], + "COUT": [ 1204 ], + "I0": [ 40 ], + "I1": [ 1217 ], + "I3": [ 40 ], + "SUM": [ 1218 ] + } + }, + "counter_ALU_I1_42": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1219 ], + "COUT": [ 1213 ], + "I0": [ 40 ], + "I1": [ 1119 ], + "I3": [ 40 ], + "SUM": [ 1220 ] + } + }, + "counter_ALU_I1_43": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1221 ], + "COUT": [ 1219 ], + "I0": [ 40 ], + "I1": [ 1122 ], + "I3": [ 40 ], + "SUM": [ 1222 ] + } + }, + "counter_ALU_I1_44": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1223 ], + "COUT": [ 1221 ], + "I0": [ 40 ], + "I1": [ 1125 ], + "I3": [ 40 ], + "SUM": [ 1224 ] + } + }, + "counter_ALU_I1_45": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1225 ], + "COUT": [ 1223 ], + "I0": [ 40 ], + "I1": [ 1128 ], + "I3": [ 40 ], + "SUM": [ 1226 ] + } + }, + "counter_ALU_I1_46": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1227 ], + "COUT": [ 1225 ], + "I0": [ 40 ], + "I1": [ 1131 ], + "I3": [ 40 ], + "SUM": [ 1228 ] + } + }, + "counter_ALU_I1_47": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1229 ], + "COUT": [ 1227 ], + "I0": [ 40 ], + "I1": [ 1134 ], + "I3": [ 40 ], + "SUM": [ 1230 ] + } + }, + "counter_ALU_I1_48": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1231 ], + "COUT": [ 1229 ], + "I0": [ 40 ], + "I1": [ 1137 ], + "I3": [ 40 ], + "SUM": [ 1232 ] + } + }, + "counter_ALU_I1_49": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1233 ], + "COUT": [ 1231 ], + "I0": [ 40 ], + "I1": [ 1140 ], + "I3": [ 40 ], + "SUM": [ 1234 ] + } + }, + "counter_ALU_I1_5": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1235 ], + "COUT": [ 1211 ], + "I0": [ 40 ], + "I1": [ 1202 ], + "I3": [ 73 ], + "SUM": [ 1236 ] + } + }, + "counter_ALU_I1_50": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1237 ], + "COUT": [ 1233 ], + "I0": [ 73 ], + "I1": [ 1143 ], + "I3": [ 40 ], + "SUM": [ 1238 ] + } + }, + "counter_ALU_I1_51": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1239 ], + "COUT": [ 1237 ], + "I0": [ 73 ], + "I1": [ 1146 ], + "I3": [ 40 ], + "SUM": [ 1240 ] + } + }, + "counter_ALU_I1_52": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1241 ], + "COUT": [ 1216 ], + "I0": [ 40 ], + "I1": [ 1153 ], + "I3": [ 40 ], + "SUM": [ 1242 ] + } + }, + "counter_ALU_I1_53": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1243 ], + "COUT": [ 1239 ], + "I0": [ 73 ], + "I1": [ 1156 ], + "I3": [ 40 ], + "SUM": [ 1244 ] + } + }, + "counter_ALU_I1_54": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1245 ], + "COUT": [ 1243 ], + "I0": [ 73 ], + "I1": [ 1159 ], + "I3": [ 40 ], + "SUM": [ 1246 ] + } + }, + "counter_ALU_I1_55": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1247 ], + "COUT": [ 1245 ], + "I0": [ 40 ], + "I1": [ 1162 ], + "I3": [ 40 ], + "SUM": [ 1248 ] + } + }, + "counter_ALU_I1_56": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1249 ], + "COUT": [ 1247 ], + "I0": [ 73 ], + "I1": [ 1165 ], + "I3": [ 40 ], + "SUM": [ 1250 ] + } + }, + "counter_ALU_I1_57": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1251 ], + "COUT": [ 1249 ], + "I0": [ 40 ], + "I1": [ 1168 ], + "I3": [ 40 ], + "SUM": [ 1252 ] + } + }, + "counter_ALU_I1_58": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1253 ], + "COUT": [ 1251 ], + "I0": [ 40 ], + "I1": [ 1171 ], + "I3": [ 40 ], + "SUM": [ 1254 ] + } + }, + "counter_ALU_I1_59": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1255 ], + "COUT": [ 1253 ], + "I0": [ 40 ], + "I1": [ 1174 ], + "I3": [ 40 ], + "SUM": [ 1256 ] + } + }, + "counter_ALU_I1_6": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1257 ], + "COUT": [ 1235 ], + "I0": [ 40 ], + "I1": [ 1205 ], + "I3": [ 73 ], + "SUM": [ 1258 ] + } + }, + "counter_ALU_I1_60": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1259 ], + "COUT": [ 1255 ], + "I0": [ 40 ], + "I1": [ 1177 ], + "I3": [ 40 ], + "SUM": [ 1260 ] + } + }, + "counter_ALU_I1_61": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1261 ], + "COUT": [ 1259 ], + "I0": [ 73 ], + "I1": [ 1180 ], + "I3": [ 40 ], + "SUM": [ 1262 ] + } + }, + "counter_ALU_I1_62": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1190 ], + "COUT": [ 1261 ], + "I0": [ 40 ], + "I1": [ 1185 ], + "I3": [ 40 ], + "SUM": [ 1263 ] + } + }, + "counter_ALU_I1_63": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 73 ], + "COUT": [ 1241 ], + "I0": [ 40 ], + "I1": [ 1187 ], + "I3": [ 40 ], + "SUM": [ 1264 ] + } + }, + "counter_ALU_I1_63_COUT_VCC_V": { + "hide_name": 0, + "type": "VCC", + "parameters": { + }, + "attributes": { + }, + "port_directions": { + "V": "output" + }, + "connections": { + "V": [ 73 ] + } + }, + "counter_ALU_I1_7": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1265 ], + "COUT": [ 1266 ], + "I0": [ 40 ], + "I1": [ 1209 ], + "I3": [ 73 ], + "SUM": [ 1267 ] + } + }, + "counter_ALU_I1_8": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1118 ], + "COUT": [ 1265 ], + "I0": [ 40 ], + "I1": [ 1214 ], + "I3": [ 73 ], + "SUM": [ 1268 ] + } + }, + "counter_ALU_I1_9": { + "hide_name": 0, + "type": "ALU", + "parameters": { + "ALU_MODE": "00000000000000000000000000000010" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:57.7-63.5" + }, + "port_directions": { + "CIN": "input", + "COUT": "output", + "I0": "input", + "I1": "input", + "I3": "input", + "SUM": "output" + }, + "connections": { + "CIN": [ 1152 ], + "COUT": [ 1257 ], + "I0": [ 40 ], + "I1": [ 1217 ], + "I3": [ 73 ], + "SUM": [ 1269 ] + } + }, + "counter_DFFC_Q": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1270 ], + "CLK": [ 1090 ], + "D": [ 1271 ], + "Q": [ 1209 ] + } + }, + "counter_DFFC_Q_1": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1272 ], + "CLK": [ 1090 ], + "D": [ 1273 ], + "Q": [ 1214 ] + } + }, + "counter_DFFC_Q_10": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1274 ], + "CLK": [ 1090 ], + "D": [ 1275 ], + "Q": [ 1143 ] + } + }, + "counter_DFFC_Q_10_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1274 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_11": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1276 ], + "CLK": [ 1090 ], + "D": [ 1277 ], + "Q": [ 1146 ] + } + }, + "counter_DFFC_Q_11_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1276 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_12": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1278 ], + "CLK": [ 1090 ], + "D": [ 1279 ], + "Q": [ 1156 ] + } + }, + "counter_DFFC_Q_12_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1278 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_13": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1280 ], + "CLK": [ 1090 ], + "D": [ 1281 ], + "Q": [ 1159 ] + } + }, + "counter_DFFC_Q_13_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1280 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_14": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1282 ], + "CLK": [ 1090 ], + "D": [ 1283 ], + "Q": [ 1162 ] + } + }, + "counter_DFFC_Q_14_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1282 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_15": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1284 ], + "CLK": [ 1090 ], + "D": [ 1285 ], + "Q": [ 1165 ] + } + }, + "counter_DFFC_Q_15_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1284 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_16": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1286 ], + "CLK": [ 1090 ], + "D": [ 1287 ], + "Q": [ 1168 ] + } + }, + "counter_DFFC_Q_16_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1286 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_17": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1288 ], + "CLK": [ 1090 ], + "D": [ 1289 ], + "Q": [ 1171 ] + } + }, + "counter_DFFC_Q_17_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1288 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_18": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1290 ], + "CLK": [ 1090 ], + "D": [ 1291 ], + "Q": [ 1174 ] + } + }, + "counter_DFFC_Q_18_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1290 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_19": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1292 ], + "CLK": [ 1090 ], + "D": [ 1293 ], + "Q": [ 1177 ] + } + }, + "counter_DFFC_Q_19_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1292 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_1_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1272 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_2": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1294 ], + "CLK": [ 1090 ], + "D": [ 1295 ], + "Q": [ 1119 ] + } + }, + "counter_DFFC_Q_20": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1296 ], + "CLK": [ 1090 ], + "D": [ 1297 ], + "Q": [ 1180 ] + } + }, + "counter_DFFC_Q_20_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1296 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_21": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1298 ], + "CLK": [ 1090 ], + "D": [ 1299 ], + "Q": [ 1185 ] + } + }, + "counter_DFFC_Q_21_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1298 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_22": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1300 ], + "CLK": [ 1090 ], + "D": [ 1301 ], + "Q": [ 1112 ] + } + }, + "counter_DFFC_Q_22_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1300 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_23": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1302 ], + "CLK": [ 1090 ], + "D": [ 1303 ], + "Q": [ 1115 ] + } + }, + "counter_DFFC_Q_23_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1302 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_24": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1304 ], + "CLK": [ 1090 ], + "D": [ 1305 ], + "Q": [ 1149 ] + } + }, + "counter_DFFC_Q_24_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1304 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_25": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1306 ], + "CLK": [ 1090 ], + "D": [ 1307 ], + "Q": [ 1183 ] + } + }, + "counter_DFFC_Q_25_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1306 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_26": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1308 ], + "CLK": [ 1090 ], + "D": [ 1309 ], + "Q": [ 1199 ] + } + }, + "counter_DFFC_Q_26_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1308 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_27": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1310 ], + "CLK": [ 1090 ], + "D": [ 1311 ], + "Q": [ 1202 ] + } + }, + "counter_DFFC_Q_27_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1310 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_28": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1312 ], + "CLK": [ 1090 ], + "D": [ 1313 ], + "Q": [ 1205 ] + } + }, + "counter_DFFC_Q_28_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1312 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_29": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1314 ], + "CLK": [ 1090 ], + "D": [ 1315 ], + "Q": [ 1217 ] + } + }, + "counter_DFFC_Q_29_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1314 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_2_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1294 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_3": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1316 ], + "CLK": [ 1090 ], + "D": [ 1317 ], + "Q": [ 1122 ] + } + }, + "counter_DFFC_Q_30": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1318 ], + "CLK": [ 1090 ], + "D": [ 1319 ], + "Q": [ 1153 ] + } + }, + "counter_DFFC_Q_30_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1318 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_31": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1320 ], + "CLK": [ 1090 ], + "D": [ 1321 ], + "Q": [ 1187 ] + } + }, + "counter_DFFC_Q_31_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1320 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_3_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1316 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_4": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1322 ], + "CLK": [ 1090 ], + "D": [ 1323 ], + "Q": [ 1125 ] + } + }, + "counter_DFFC_Q_4_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1322 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_5": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1324 ], + "CLK": [ 1090 ], + "D": [ 1325 ], + "Q": [ 1128 ] + } + }, + "counter_DFFC_Q_5_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1324 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_6": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1326 ], + "CLK": [ 1090 ], + "D": [ 1327 ], + "Q": [ 1131 ] + } + }, + "counter_DFFC_Q_6_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1326 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_7": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1328 ], + "CLK": [ 1090 ], + "D": [ 1329 ], + "Q": [ 1134 ] + } + }, + "counter_DFFC_Q_7_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1328 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_8": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1330 ], + "CLK": [ 1090 ], + "D": [ 1331 ], + "Q": [ 1137 ] + } + }, + "counter_DFFC_Q_8_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1330 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_9": { + "hide_name": 0, + "type": "DFFC", + "parameters": { + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "top.v:69.5-76.8|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:91.7-91.59" + }, + "port_directions": { + "CLEAR": "input", + "CLK": "input", + "D": "input", + "Q": "output" + }, + "connections": { + "CLEAR": [ 1332 ], + "CLK": [ 1090 ], + "D": [ 1333 ], + "Q": [ 1140 ] + } + }, + "counter_DFFC_Q_9_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1332 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_CLEAR_LUT1_F": { + "hide_name": 0, + "type": "LUT1", + "parameters": { + "INIT": "01" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:135.23-136.15" + }, + "port_directions": { + "F": "output", + "I0": "input" + }, + "connections": { + "F": [ 1270 ], + "I0": [ 928 ] + } + }, + "counter_DFFC_Q_D_LUT4_F": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1271 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1267 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1273 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1268 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_10": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1275 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1144 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_11": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1277 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1147 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_12": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1279 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1157 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_13": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1281 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1160 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_14": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1283 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1163 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_15": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1285 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1166 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_16": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1287 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1169 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_17": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1289 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1172 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_18": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1291 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1175 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_19": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1293 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1178 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1295 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1120 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_20": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1297 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1181 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_21": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1299 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1186 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_22": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1301 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1113 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_23": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1303 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1116 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_24": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1305 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1150 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_25": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1307 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1184 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_26": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1309 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1212 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_27": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1311 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1236 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_28": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1313 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1258 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_29": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1315 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1269 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1317 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1123 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_30": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1319 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1154 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_31": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1321 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1188 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1323 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1126 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1325 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1129 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1327 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1132 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1329 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1135 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_8": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1331 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1138 ], + "I3": [ 1208 ] + } + }, + "counter_DFFC_Q_D_LUT4_F_9": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0111000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1333 ], + "I0": [ 1093 ], + "I1": [ 1092 ], + "I2": [ 1141 ], + "I3": [ 1208 ] + } + }, + "counter_LUT4_I0": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1097 ], + "I0": [ 1185 ], + "I1": [ 1177 ], + "I2": [ 1174 ], + "I3": [ 1171 ] + } + }, + "counter_LUT4_I0_1": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1096 ], + "I0": [ 1168 ], + "I1": [ 1162 ], + "I2": [ 1140 ], + "I3": [ 1137 ] + } + }, + "counter_LUT4_I0_2": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1095 ], + "I0": [ 1153 ], + "I1": [ 1217 ], + "I2": [ 1205 ], + "I3": [ 1202 ] + } + }, + "counter_LUT4_I0_3": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1094 ], + "I0": [ 1199 ], + "I1": [ 1183 ], + "I2": [ 1149 ], + "I3": [ 1112 ] + } + }, + "counter_LUT4_I0_4": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0100000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1101 ], + "I0": [ 1187 ], + "I1": [ 1115 ], + "I2": [ 1180 ], + "I3": [ 1165 ] + } + }, + "counter_LUT4_I0_5": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "1000000000000000" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1100 ], + "I0": [ 1159 ], + "I1": [ 1156 ], + "I2": [ 1146 ], + "I3": [ 1143 ] + } + }, + "counter_LUT4_I0_6": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1099 ], + "I0": [ 1134 ], + "I1": [ 1131 ], + "I2": [ 1128 ], + "I3": [ 1125 ] + } + }, + "counter_LUT4_I0_7": { + "hide_name": 0, + "type": "LUT4", + "parameters": { + "INIT": "0000000000000001" + }, + "attributes": { + "module_not_derived": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:147.23-148.48" + }, + "port_directions": { + "F": "output", + "I0": "input", + "I1": "input", + "I2": "input", + "I3": "input" + }, + "connections": { + "F": [ 1098 ], + "I0": [ 1122 ], + "I1": [ 1119 ], + "I2": [ 1214 ], + "I3": [ 1209 ] + } + } + }, + "netnames": { + "CLK_PIX": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "src": "top.v:19.8-19.15" + } + }, + "CLK_SYS": { + "hide_name": 0, + "bits": [ 1106 ], + "attributes": { + "src": "top.v:18.8-18.15", + "unused_bits": "0 " + } + }, + "D1.CLK": { + "hide_name": 0, + "bits": [ 1106 ], + "attributes": { + "hdlname": "D1 CLK", + "src": "top.v:45.9-58.3|lcd.v:3.29-3.32", + "unused_bits": "0 " + } + }, + "D1.LCD_B": { + "hide_name": 0, + "bits": [ 40, 40, 30, 34, 38 ], + "attributes": { + "hdlname": "D1 LCD_B", + "src": "top.v:45.9-58.3|lcd.v:12.26-12.31" + } + }, + "D1.LCD_B_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 36 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_B_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 37 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE": { + "hide_name": 0, + "bits": [ 41 ], + "attributes": { + "hdlname": "D1 LCD_DE", + "src": "top.v:45.9-58.3|lcd.v:8.29-8.35" + } + }, + "D1.LCD_DE_LUT4_F_I0": { + "hide_name": 0, + "bits": [ 42, 43, 44, 45 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 99, 103, 100, 47, 51 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 76, 96, 94, 91, 88, 85, 82, 79, 60, 57, 72, 70, 67, 64, 98, 53 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 74, 92, 89, 86, 83, 80, 77, 58, 54, 55, 68, 65, 61, 62, 50 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:70.27-70.52|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 101 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 102 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 119, 131, 129, 127, 125, 123, 121, 109, 106, 107, 116, 114, 111, 112, 104, 49 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 120, 133, 132, 130, 128, 126, 124, 122, 110, 108, 118, 117, 115, 113, 134, 105 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 119, 131, 129, 127, 125, 123, 121, 109, 106, 107, 116, 114, 111, 112, 104 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.54-65.93|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F": { + "hide_name": 0, + "bits": [ 33, 32, 35, 39, 31 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0": { + "hide_name": 0, + "bits": [ 103, 100, 138, 174, 142 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 158, 171, 170, 168, 166, 164, 162, 160, 148, 146, 156, 155, 153, 151, 172, 143 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 157, 169, 167, 165, 163, 161, 159, 147, 144, 145, 154, 152, 149, 150, 141 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:103.25-103.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_LUT4_I2_F": { + "hide_name": 0, + "bits": [ 173 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I0_MUX2_LUT5_S0_I0": { + "hide_name": 0, + "bits": [ 175 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1": { + "hide_name": 0, + "bits": [ 47, 99, 139, 211, 178 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 194, 207, 206, 204, 202, 200, 198, 196, 184, 182, 192, 191, 189, 187, 208, 179 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 193, 205, 203, 201, 199, 197, 195, 183, 180, 181, 190, 188, 185, 186, 177 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:107.25-107.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 209 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 210 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3": { + "hide_name": 0, + "bits": [ 227, 239, 237, 235, 233, 231, 229, 217, 214, 215, 224, 222, 219, 220, 212, 140 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 228, 241, 240, 238, 236, 234, 232, 230, 218, 216, 226, 225, 223, 221, 242, 213 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_1_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 227, 239, 237, 235, 233, 231, 229, 217, 214, 215, 224, 222, 219, 220, 212 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:113.25-113.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0": { + "hide_name": 0, + "bits": [ 103, 243, 278, 174, 247 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 263, 276, 275, 273, 271, 269, 267, 265, 253, 251, 261, 260, 258, 256, 277, 248 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 262, 274, 272, 270, 268, 266, 264, 252, 249, 250, 259, 257, 254, 255, 246 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:105.25-105.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1": { + "hide_name": 0, + "bits": [ 211, 99, 244, 312, 280 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 296, 309, 308, 306, 304, 302, 300, 298, 286, 284, 294, 293, 291, 289, 310, 281 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 295, 307, 305, 303, 301, 299, 297, 285, 282, 283, 292, 290, 287, 288, 279 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:108.25-108.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_LUT4_I2_F": { + "hide_name": 0, + "bits": [ 311 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_I0": { + "hide_name": 0, + "bits": [ 313 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O": { + "hide_name": 0, + "bits": [ 329, 314, 317, 324, 321 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I0": { + "hide_name": 0, + "bits": [ 319 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I1": { + "hide_name": 0, + "bits": [ 320 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_2_I0": { + "hide_name": 0, + "bits": [ 322 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_2_I1": { + "hide_name": 0, + "bits": [ 323 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_3_I0": { + "hide_name": 0, + "bits": [ 327 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_3_I1": { + "hide_name": 0, + "bits": [ 328 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 315 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 316 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0": { + "hide_name": 0, + "bits": [ 211, 99, 330, 136, 318 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 347, 360, 359, 357, 355, 353, 351, 349, 337, 335, 345, 344, 342, 340, 361, 332 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I1_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 346, 358, 356, 354, 352, 350, 348, 336, 333, 334, 343, 341, 338, 339, 331 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:109.25-109.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3": { + "hide_name": 0, + "bits": [ 377, 389, 387, 385, 383, 381, 379, 367, 364, 365, 374, 372, 369, 370, 362, 245 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 378, 391, 390, 388, 386, 384, 382, 380, 368, 366, 376, 375, 373, 371, 392, 363 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_2_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 377, 389, 387, 385, 383, 381, 379, 367, 364, 365, 374, 372, 369, 370, 362 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:114.25-114.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0": { + "hide_name": 0, + "bits": [ 103, 393, 244, 174, 397 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 413, 426, 425, 423, 421, 419, 417, 415, 403, 401, 411, 410, 408, 406, 427, 398 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 412, 424, 422, 420, 418, 416, 414, 402, 399, 400, 409, 407, 404, 405, 396 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:102.25-102.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_LUT4_I1_F": { + "hide_name": 0, + "bits": [ 428 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_I0": { + "hide_name": 0, + "bits": [ 429 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O": { + "hide_name": 0, + "bits": [ 433, 430, 470, 176, 43 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I0": { + "hide_name": 0, + "bits": [ 435 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_1_I1": { + "hide_name": 0, + "bits": [ 436 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 431 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 432 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0": { + "hide_name": 0, + "bits": [ 99, 103, 278, 312, 434 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 453, 466, 465, 463, 461, 459, 457, 455, 443, 441, 451, 450, 448, 446, 467, 438 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 452, 464, 462, 460, 458, 456, 454, 442, 439, 440, 449, 447, 444, 445, 437 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:99.25-99.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0": { + "hide_name": 0, + "bits": [ 468 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.9-169.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 471 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 473 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 475 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 476 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0": { + "hide_name": 0, + "bits": [ 477, 478, 139, 561, 330, 326, 103, 174 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM": { + "hide_name": 0, + "bits": [ 497, 510, 509, 507, 505, 503, 501, 499, 487, 485, 495, 494, 492, 490, 511, 482 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_1_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 496, 508, 506, 504, 502, 500, 498, 486, 483, 484, 493, 491, 488, 489, 481 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:101.25-101.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 526, 539, 538, 536, 534, 532, 530, 528, 516, 514, 524, 523, 521, 519, 540, 480 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1_LUT2_F_I0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 525, 537, 535, 533, 531, 529, 527, 515, 512, 513, 522, 520, 517, 518, 479 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:100.25-100.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 474 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 541 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 542 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 472 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 543 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 545 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 546 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 544 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 547 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 548 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1": { + "hide_name": 0, + "bits": [ 469 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.13-169.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 549 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 551 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 553 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 554 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 552 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 555 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 556 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 550 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 557 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 559 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 560 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 558 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 562 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I0_MUX2_LUT5_S0_O_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 563 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3": { + "hide_name": 0, + "bits": [ 579, 591, 589, 587, 585, 583, 581, 569, 566, 567, 576, 574, 571, 572, 564, 395 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 580, 593, 592, 590, 588, 586, 584, 582, 570, 568, 578, 577, 575, 573, 594, 565 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_3_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 579, 591, 589, 587, 585, 583, 581, 569, 566, 567, 576, 574, 571, 572, 564 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:112.25-112.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 99, 135, 326, 211, 325 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 611, 624, 623, 621, 619, 617, 615, 613, 601, 599, 609, 608, 606, 604, 625, 596 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 610, 622, 620, 618, 616, 614, 612, 600, 597, 598, 607, 605, 602, 603, 595 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:106.25-106.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 641, 653, 651, 649, 647, 645, 643, 631, 628, 629, 638, 636, 633, 634, 626, 137 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 642, 655, 654, 652, 650, 648, 646, 644, 632, 630, 640, 639, 637, 635, 656, 627 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_DE_LUT4_F_I0_LUT4_F_I3_LUT4_I3_F_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 641, 653, 651, 649, 647, 645, 643, 631, 628, 629, 638, 636, 633, 634, 626 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:115.25-115.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_I0": { + "hide_name": 0, + "bits": [ 660 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_I1": { + "hide_name": 0, + "bits": [ 661 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0": { + "hide_name": 0, + "bits": [ 663, 664, 665, 666, 662 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 698, 696, 693, 690, 687, 684, 681, 678, 675, 672, 669 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_1_S0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 694, 691, 688, 685, 682, 679, 676, 673, 670, 667 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:73.27-73.64|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 657 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_DE_LUT4_F_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 658 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_G": { + "hide_name": 0, + "bits": [ 40, 40, 705, 706, 709, 712 ], + "attributes": { + "hdlname": "D1 LCD_G", + "src": "top.v:45.9-58.3|lcd.v:13.26-13.31" + } + }, + "D1.LCD_G_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 707 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_G_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 708 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 710 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 713 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 714 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0": { + "hide_name": 0, + "bits": [ 324, 329, 314, 317, 715, 321 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 716 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 717 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0": { + "hide_name": 0, + "bits": [ 46, 99, 561, 211, 718 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 735, 748, 747, 745, 743, 741, 739, 737, 725, 723, 733, 732, 730, 728, 749, 720 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I0_MUX2_LUT5_O_S0_MUX2_LUT5_O_S0_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 734, 746, 744, 742, 740, 738, 736, 724, 721, 722, 731, 729, 726, 727, 719 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:110.25-110.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 711 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 750 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_G_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 751 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC": { + "hide_name": 0, + "bits": [ 752 ], + "attributes": { + "hdlname": "D1 LCD_HSYNC", + "src": "top.v:45.9-58.3|lcd.v:9.29-9.38" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0": { + "hide_name": 0, + "bits": [ 753, 754, 755, 42 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 393, 394, 48, 395 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0": { + "hide_name": 0, + "bits": [ 756 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.9-169.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 758 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 760 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 762 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 763 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 761 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 764 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 765 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 759 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 766 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 768 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 769 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 767 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 770 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 771 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1": { + "hide_name": 0, + "bits": [ 757 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.13-169.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 772 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 774 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 776 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 777 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 775 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 778 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 779 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 773 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 780 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 782 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 783 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 781 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 784 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_HSYNC_LUT4_F_I0_LUT4_F_I3_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 785 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_HSYNC_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 799, 811, 809, 807, 805, 803, 801, 791, 788, 789, 796, 793, 794, 786, 755 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 800, 813, 812, 810, 808, 806, 804, 802, 792, 790, 798, 797, 795, 814, 787 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14" + } + }, + "D1.LCD_HSYNC_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 799, 811, 809, 807, 805, 803, 801, 791, 788, 789, 796, 793, 794, 786 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:65.28-65.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_R": { + "hide_name": 0, + "bits": [ 40, 40, 815, 816, 819 ], + "attributes": { + "hdlname": "D1 LCD_R", + "src": "top.v:45.9-58.3|lcd.v:14.26-14.31" + } + }, + "D1.LCD_R_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 817 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LCD_R_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 818 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LCD_VSYNC": { + "hide_name": 0, + "bits": [ 820 ], + "attributes": { + "hdlname": "D1 LCD_VSYNC", + "src": "top.v:45.9-58.3|lcd.v:10.29-10.38" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0": { + "hide_name": 0, + "bits": [ 821, 822, 823, 824 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I0": { + "hide_name": 0, + "bits": [ 312, 828, 136, 827, 666, 663, 702, 826 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2": { + "hide_name": 0, + "bits": [ 702, 703, 704, 666, 659 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 845, 860, 859, 856, 853, 851, 849, 847, 835, 833, 843, 842, 840, 838, 861, 830 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I2_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 844, 857, 854, 852, 850, 848, 846, 834, 831, 832, 841, 839, 836, 837, 829 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:72.27-72.51|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_VSYNC_LUT4_F_I0_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 666, 702, 703, 825 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LCD_VSYNC_LUT4_F_I1": { + "hide_name": 0, + "bits": [ 875, 887, 885, 883, 881, 879, 877, 867, 864, 865, 872, 869, 870, 862, 822 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 876, 889, 888, 886, 884, 882, 880, 878, 868, 866, 874, 873, 871, 890, 863 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14" + } + }, + "D1.LCD_VSYNC_LUT4_F_I1_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 875, 887, 885, 883, 881, 879, 877, 867, 864, 865, 872, 869, 870, 862 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.26-67.47|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LCD_VSYNC_LUT4_F_I3": { + "hide_name": 0, + "bits": [ 904, 916, 914, 912, 910, 908, 906, 896, 893, 894, 901, 898, 899, 891, 824 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM": { + "hide_name": 0, + "bits": [ 905, 918, 917, 915, 913, 911, 909, 907, 897, 895, 903, 902, 900, 919, 892 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14" + } + }, + "D1.LCD_VSYNC_LUT4_F_I3_ALU_COUT_SUM_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 73, 904, 916, 914, 912, 910, 908, 906, 896, 893, 894, 901, 898, 899, 891 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:67.53-67.80|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.LineCount": { + "hide_name": 0, + "bits": [ 701, 699, 858, 855, 700, 697, 695, 692, 689, 686, 683, 680, 677, 674, 671, 668 ], + "attributes": { + "hdlname": "D1 LineCount", + "src": "top.v:45.9-58.3|lcd.v:18.25-18.34" + } + }, + "D1.LineCount_DFFCE_Q_10_CLEAR": { + "hide_name": 0, + "bits": [ 926 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_10_D": { + "hide_name": 0, + "bits": [ 927 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_11_CLEAR": { + "hide_name": 0, + "bits": [ 931 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_11_D": { + "hide_name": 0, + "bits": [ 932 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_12_CLEAR": { + "hide_name": 0, + "bits": [ 934 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_12_D": { + "hide_name": 0, + "bits": [ 935 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_13_CLEAR": { + "hide_name": 0, + "bits": [ 937 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_13_D": { + "hide_name": 0, + "bits": [ 938 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_14_CLEAR": { + "hide_name": 0, + "bits": [ 940 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_14_D": { + "hide_name": 0, + "bits": [ 941 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_15_CLEAR": { + "hide_name": 0, + "bits": [ 943 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_15_D": { + "hide_name": 0, + "bits": [ 944 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_1_CLEAR": { + "hide_name": 0, + "bits": [ 924 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_1_D": { + "hide_name": 0, + "bits": [ 925 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_2_CLEAR": { + "hide_name": 0, + "bits": [ 947 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_2_D": { + "hide_name": 0, + "bits": [ 948 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_3_CLEAR": { + "hide_name": 0, + "bits": [ 950 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_3_D": { + "hide_name": 0, + "bits": [ 951 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_4_CLEAR": { + "hide_name": 0, + "bits": [ 953 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_4_D": { + "hide_name": 0, + "bits": [ 954 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_5_CLEAR": { + "hide_name": 0, + "bits": [ 956 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_5_D": { + "hide_name": 0, + "bits": [ 957 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_6_CLEAR": { + "hide_name": 0, + "bits": [ 959 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_6_D": { + "hide_name": 0, + "bits": [ 960 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_7_CLEAR": { + "hide_name": 0, + "bits": [ 962 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_7_D": { + "hide_name": 0, + "bits": [ 963 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_8_CLEAR": { + "hide_name": 0, + "bits": [ 965 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_8_D": { + "hide_name": 0, + "bits": [ 966 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_9_CLEAR": { + "hide_name": 0, + "bits": [ 968 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_9_D": { + "hide_name": 0, + "bits": [ 969 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_CE": { + "hide_name": 0, + "bits": [ 920, 1061 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0": { + "hide_name": 0, + "bits": [ 971 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.9-169.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 973 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 975 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 977 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 978 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 976 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 979 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 980 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 974 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 981 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 983 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 984 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 982 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 985 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I0_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 986 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:170.42-170.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1": { + "hide_name": 0, + "bits": [ 972 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:169.13-169.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0": { + "hide_name": 0, + "bits": [ 987 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.9-163.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 989 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 991 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 992 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 990 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 993 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I0_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 994 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:164.41-164.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1": { + "hide_name": 0, + "bits": [ 988 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:163.13-163.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0": { + "hide_name": 0, + "bits": [ 995 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.9-157.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 997 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I0_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 998 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:158.41-158.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1": { + "hide_name": 0, + "bits": [ 996 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:157.13-157.15" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I0": { + "hide_name": 0, + "bits": [ 999 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.9-151.11" + } + }, + "D1.LineCount_DFFCE_Q_CE_MUX2_LUT8_O_I1_MUX2_LUT7_O_I1_MUX2_LUT6_O_I1_MUX2_LUT5_O_I1": { + "hide_name": 0, + "bits": [ 1000 ], + "attributes": { + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:171.43-171.68|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:165.42-165.67|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:159.41-159.66|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:151.13-151.15" + } + }, + "D1.LineCount_DFFCE_Q_CLEAR": { + "hide_name": 0, + "bits": [ 921 ], + "attributes": { + } + }, + "D1.LineCount_DFFCE_Q_D": { + "hide_name": 0, + "bits": [ 923 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I0": { + "hide_name": 0, + "bits": [ 929, 945 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1": { + "hide_name": 0, + "bits": [ 945, 942, 939, 936, 933, 930, 970, 967, 964, 961, 958, 955, 952, 949, 946, 1001 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29" + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_9_COUT": { + "hide_name": 0, + "bits": [ 1010, 1016, 1015, 1014, 1013, 1012, 1011, 1004, 1002, 1003, 1009, 1008, 1007, 1005, 1006, 1017 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27", + "unused_bits": "15" + } + }, + "D1.LineCount_DFFCE_Q_D_LUT2_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 40, 1010, 1016, 1015, 1014, 1013, 1012, 1011, 1004, 1002, 1003, 1009, 1008, 1007, 1005, 1006 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:43.33-43.49|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.PixelClk": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "hdlname": "D1 PixelClk", + "src": "top.v:45.9-58.3|lcd.v:6.29-6.37" + } + }, + "D1.PixelCount": { + "hide_name": 0, + "bits": [ 75, 95, 93, 90, 87, 84, 81, 78, 59, 56, 71, 69, 66, 63, 97, 52 ], + "attributes": { + "hdlname": "D1 PixelCount", + "src": "top.v:45.9-58.3|lcd.v:17.25-17.35" + } + }, + "D1.PixelCount_DFFC_Q_10_CLEAR": { + "hide_name": 0, + "bits": [ 1022 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_10_D": { + "hide_name": 0, + "bits": [ 1023 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_11_CLEAR": { + "hide_name": 0, + "bits": [ 1025 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_11_D": { + "hide_name": 0, + "bits": [ 1026 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_12_CLEAR": { + "hide_name": 0, + "bits": [ 1028 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_12_D": { + "hide_name": 0, + "bits": [ 1029 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_13_CLEAR": { + "hide_name": 0, + "bits": [ 1031 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_13_D": { + "hide_name": 0, + "bits": [ 1032 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_14_CLEAR": { + "hide_name": 0, + "bits": [ 1034 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_14_D": { + "hide_name": 0, + "bits": [ 1035 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_15_CLEAR": { + "hide_name": 0, + "bits": [ 1037 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_15_D": { + "hide_name": 0, + "bits": [ 1038 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_1_CLEAR": { + "hide_name": 0, + "bits": [ 1020 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_1_D": { + "hide_name": 0, + "bits": [ 1021 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_2_CLEAR": { + "hide_name": 0, + "bits": [ 1041 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_2_D": { + "hide_name": 0, + "bits": [ 1042 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_3_CLEAR": { + "hide_name": 0, + "bits": [ 1044 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_3_D": { + "hide_name": 0, + "bits": [ 1045 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_4_CLEAR": { + "hide_name": 0, + "bits": [ 1047 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_4_D": { + "hide_name": 0, + "bits": [ 1048 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_5_CLEAR": { + "hide_name": 0, + "bits": [ 1050 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_5_D": { + "hide_name": 0, + "bits": [ 1051 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_6_CLEAR": { + "hide_name": 0, + "bits": [ 1053 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_6_D": { + "hide_name": 0, + "bits": [ 1054 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_7_CLEAR": { + "hide_name": 0, + "bits": [ 1056 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_7_D": { + "hide_name": 0, + "bits": [ 1057 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_8_CLEAR": { + "hide_name": 0, + "bits": [ 1059 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_8_D": { + "hide_name": 0, + "bits": [ 1060 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_9_CLEAR": { + "hide_name": 0, + "bits": [ 1062 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_9_D": { + "hide_name": 0, + "bits": [ 1063 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_CLEAR": { + "hide_name": 0, + "bits": [ 1018 ], + "attributes": { + } + }, + "D1.PixelCount_DFFC_Q_D": { + "hide_name": 0, + "bits": [ 1019 ], + "attributes": { + "src": "top.v:45.9-58.3|lcd.v:36.5-51.8" + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1": { + "hide_name": 0, + "bits": [ 1039, 1036, 1033, 1030, 1027, 1024, 1064, 1061, 1058, 1055, 1052, 1049, 1046, 1043, 1040, 1065 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29" + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_9_COUT": { + "hide_name": 0, + "bits": [ 1074, 1080, 1079, 1078, 1077, 1076, 1075, 1068, 1066, 1067, 1073, 1072, 1071, 1069, 1070, 1081 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27", + "unused_bits": "15" + } + }, + "D1.PixelCount_DFFC_Q_D_LUT2_F_I1_ALU_SUM_COUT": { + "hide_name": 0, + "bits": [ 40, 1074, 1080, 1079, 1078, 1077, 1076, 1075, 1068, 1066, 1067, 1073, 1072, 1071, 1069, 1070 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:45.9-58.3|lcd.v:50.33-50.50|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "D1.nRST": { + "hide_name": 0, + "bits": [ 928 ], + "attributes": { + "hdlname": "D1 nRST", + "src": "top.v:45.9-58.3|lcd.v:4.29-4.33" + } + }, + "LCD_B": { + "hide_name": 0, + "bits": [ 19, 20, 21, 22, 23 ], + "attributes": { + "src": "top.v:12.15-12.20" + } + }, + "LCD_CLK": { + "hide_name": 0, + "bits": [ 4 ], + "attributes": { + "src": "top.v:6.11-6.18" + } + }, + "LCD_DE": { + "hide_name": 0, + "bits": [ 7 ], + "attributes": { + "src": "top.v:9.11-9.17" + } + }, + "LCD_G": { + "hide_name": 0, + "bits": [ 13, 14, 15, 16, 17, 18 ], + "attributes": { + "src": "top.v:11.15-11.20" + } + }, + "LCD_HSYNC": { + "hide_name": 0, + "bits": [ 5 ], + "attributes": { + "src": "top.v:7.11-7.20" + } + }, + "LCD_R": { + "hide_name": 0, + "bits": [ 8, 9, 10, 11, 12 ], + "attributes": { + "src": "top.v:10.15-10.20" + } + }, + "LCD_VSYNC": { + "hide_name": 0, + "bits": [ 6 ], + "attributes": { + "src": "top.v:8.11-8.20" + } + }, + "LED": { + "hide_name": 0, + "bits": [ 24, 25, 26, 27, 28, 29 ], + "attributes": { + "src": "top.v:14.21-14.24" + } + }, + "LED_OBUF_O_I": { + "hide_name": 0, + "bits": [ 1087, 1086, 1085, 1084, 1083, 1082 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFCE_Q_1_CLEAR": { + "hide_name": 0, + "bits": [ 1091 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFCE_Q_CE": { + "hide_name": 0, + "bits": [ 1088 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFCE_Q_CE_LUT2_F_I0": { + "hide_name": 0, + "bits": [ 1092, 1093 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "LED_OBUF_O_I_DFFCE_Q_CLEAR": { + "hide_name": 0, + "bits": [ 1089 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFPE_Q_1_PRESET": { + "hide_name": 0, + "bits": [ 1103 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFPE_Q_2_PRESET": { + "hide_name": 0, + "bits": [ 1104 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFPE_Q_3_PRESET": { + "hide_name": 0, + "bits": [ 1105 ], + "attributes": { + } + }, + "LED_OBUF_O_I_DFFPE_Q_PRESET": { + "hide_name": 0, + "bits": [ 1102 ], + "attributes": { + } + }, + "chip_pll.clkin": { + "hide_name": 0, + "bits": [ 1090 ], + "attributes": { + "hdlname": "chip_pll clkin", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:12.7-12.12" + } + }, + "chip_pll.clkout": { + "hide_name": 0, + "bits": [ 1106 ], + "attributes": { + "hdlname": "chip_pll clkout", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:10.8-10.14", + "unused_bits": "0 " + } + }, + "chip_pll.clkoutd": { + "hide_name": 0, + "bits": [ 922 ], + "attributes": { + "hdlname": "chip_pll clkoutd", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:11.8-11.15" + } + }, + "chip_pll.clkoutd3_o": { + "hide_name": 0, + "bits": [ 1107 ], + "attributes": { + "hdlname": "chip_pll clkoutd3_o", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:16.6-16.16", + "unused_bits": "0 " + } + }, + "chip_pll.clkoutp_o": { + "hide_name": 0, + "bits": [ 1108 ], + "attributes": { + "hdlname": "chip_pll clkoutp_o", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:15.6-15.15", + "unused_bits": "0 " + } + }, + "chip_pll.gw_gnd": { + "hide_name": 0, + "bits": [ 40 ], + "attributes": { + "hdlname": "chip_pll gw_gnd", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:17.6-17.12" + } + }, + "chip_pll.lock_o": { + "hide_name": 0, + "bits": [ 1109 ], + "attributes": { + "hdlname": "chip_pll lock_o", + "src": "top.v:37.16-42.6|gowin_rpll/gowin_rpll.v:14.6-14.12", + "unused_bits": "0 " + } + }, + "clk": { + "hide_name": 0, + "bits": [ 2 ], + "attributes": { + "src": "top.v:3.21-3.24" + } + }, + "counter": { + "hide_name": 0, + "bits": [ 1187, 1153, 1217, 1205, 1202, 1199, 1183, 1149, 1115, 1112, 1185, 1180, 1177, 1174, 1171, 1168, 1165, 1162, 1159, 1156, 1146, 1143, 1140, 1137, 1134, 1131, 1128, 1125, 1122, 1119, 1214, 1209 ], + "attributes": { + "src": "top.v:65.21-65.28" + } + }, + "counter_ALU_I1_39_COUT": { + "hide_name": 0, + "bits": [ 1241, 1216, 1204, 1201, 1198, 1196, 1194, 1192, 1189, 1190, 1261, 1259, 1255, 1253, 1251, 1249, 1247, 1245, 1243, 1239, 1237, 1233, 1231, 1229, 1227, 1225, 1223, 1221, 1219, 1213, 1207, 1208 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27" + } + }, + "counter_ALU_I1_63_COUT": { + "hide_name": 0, + "bits": [ 73, 1241, 1216, 1204, 1201, 1198, 1196, 1194, 1192, 1189, 1190, 1261, 1259, 1255, 1253, 1251, 1249, 1247, 1245, 1243, 1239, 1237, 1233, 1231, 1229, 1227, 1225, 1223, 1221, 1219, 1213, 1207 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "counter_ALU_I1_63_SUM": { + "hide_name": 0, + "bits": [ 1264, 1242, 1218, 1206, 1203, 1200, 1197, 1195, 1193, 1191, 1263, 1262, 1260, 1256, 1254, 1252, 1250, 1248, 1246, 1244, 1240, 1238, 1234, 1232, 1230, 1228, 1226, 1224, 1222, 1220, 1215, 1210 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:72.14-72.36|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29", + "unused_bits": "0 1 2 3 4 5 6 7 8 9 10 11 12 13 14 15 16 17 18 19 20 21 22 23 24 25 26 27 28 29 30 31" + } + }, + "counter_ALU_I1_7_COUT": { + "hide_name": 0, + "bits": [ 1151, 1152, 1257, 1235, 1211, 1182, 1148, 1114, 1110, 1111, 1179, 1176, 1173, 1170, 1167, 1164, 1161, 1158, 1155, 1145, 1142, 1139, 1136, 1133, 1130, 1127, 1124, 1121, 1117, 1118, 1265, 1266 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:38.25-38.27", + "unused_bits": "31" + } + }, + "counter_ALU_I1_COUT": { + "hide_name": 0, + "bits": [ 40, 1151, 1152, 1257, 1235, 1211, 1182, 1148, 1114, 1110, 1111, 1179, 1176, 1173, 1170, 1167, 1164, 1161, 1158, 1155, 1145, 1142, 1139, 1136, 1133, 1130, 1127, 1124, 1121, 1117, 1118, 1265 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:52.24-52.25" + } + }, + "counter_ALU_I1_SUM": { + "hide_name": 0, + "bits": [ 1188, 1154, 1269, 1258, 1236, 1212, 1184, 1150, 1116, 1113, 1186, 1181, 1178, 1175, 1172, 1169, 1166, 1163, 1160, 1157, 1147, 1144, 1141, 1138, 1135, 1132, 1129, 1126, 1123, 1120, 1268, 1267 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "top.v:73.20-73.31|/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/arith_map.v:34.28-34.29" + } + }, + "counter_DFFC_Q_10_CLEAR": { + "hide_name": 0, + "bits": [ 1274 ], + "attributes": { + } + }, + "counter_DFFC_Q_11_CLEAR": { + "hide_name": 0, + "bits": [ 1276 ], + "attributes": { + } + }, + "counter_DFFC_Q_12_CLEAR": { + "hide_name": 0, + "bits": [ 1278 ], + "attributes": { + } + }, + "counter_DFFC_Q_13_CLEAR": { + "hide_name": 0, + "bits": [ 1280 ], + "attributes": { + } + }, + "counter_DFFC_Q_14_CLEAR": { + "hide_name": 0, + "bits": [ 1282 ], + "attributes": { + } + }, + "counter_DFFC_Q_15_CLEAR": { + "hide_name": 0, + "bits": [ 1284 ], + "attributes": { + } + }, + "counter_DFFC_Q_16_CLEAR": { + "hide_name": 0, + "bits": [ 1286 ], + "attributes": { + } + }, + "counter_DFFC_Q_17_CLEAR": { + "hide_name": 0, + "bits": [ 1288 ], + "attributes": { + } + }, + "counter_DFFC_Q_18_CLEAR": { + "hide_name": 0, + "bits": [ 1290 ], + "attributes": { + } + }, + "counter_DFFC_Q_19_CLEAR": { + "hide_name": 0, + "bits": [ 1292 ], + "attributes": { + } + }, + "counter_DFFC_Q_1_CLEAR": { + "hide_name": 0, + "bits": [ 1272 ], + "attributes": { + } + }, + "counter_DFFC_Q_20_CLEAR": { + "hide_name": 0, + "bits": [ 1296 ], + "attributes": { + } + }, + "counter_DFFC_Q_21_CLEAR": { + "hide_name": 0, + "bits": [ 1298 ], + "attributes": { + } + }, + "counter_DFFC_Q_22_CLEAR": { + "hide_name": 0, + "bits": [ 1300 ], + "attributes": { + } + }, + "counter_DFFC_Q_23_CLEAR": { + "hide_name": 0, + "bits": [ 1302 ], + "attributes": { + } + }, + "counter_DFFC_Q_24_CLEAR": { + "hide_name": 0, + "bits": [ 1304 ], + "attributes": { + } + }, + "counter_DFFC_Q_25_CLEAR": { + "hide_name": 0, + "bits": [ 1306 ], + "attributes": { + } + }, + "counter_DFFC_Q_26_CLEAR": { + "hide_name": 0, + "bits": [ 1308 ], + "attributes": { + } + }, + "counter_DFFC_Q_27_CLEAR": { + "hide_name": 0, + "bits": [ 1310 ], + "attributes": { + } + }, + "counter_DFFC_Q_28_CLEAR": { + "hide_name": 0, + "bits": [ 1312 ], + "attributes": { + } + }, + "counter_DFFC_Q_29_CLEAR": { + "hide_name": 0, + "bits": [ 1314 ], + "attributes": { + } + }, + "counter_DFFC_Q_2_CLEAR": { + "hide_name": 0, + "bits": [ 1294 ], + "attributes": { + } + }, + "counter_DFFC_Q_30_CLEAR": { + "hide_name": 0, + "bits": [ 1318 ], + "attributes": { + } + }, + "counter_DFFC_Q_31_CLEAR": { + "hide_name": 0, + "bits": [ 1320 ], + "attributes": { + } + }, + "counter_DFFC_Q_3_CLEAR": { + "hide_name": 0, + "bits": [ 1316 ], + "attributes": { + } + }, + "counter_DFFC_Q_4_CLEAR": { + "hide_name": 0, + "bits": [ 1322 ], + "attributes": { + } + }, + "counter_DFFC_Q_5_CLEAR": { + "hide_name": 0, + "bits": [ 1324 ], + "attributes": { + } + }, + "counter_DFFC_Q_6_CLEAR": { + "hide_name": 0, + "bits": [ 1326 ], + "attributes": { + } + }, + "counter_DFFC_Q_7_CLEAR": { + "hide_name": 0, + "bits": [ 1328 ], + "attributes": { + } + }, + "counter_DFFC_Q_8_CLEAR": { + "hide_name": 0, + "bits": [ 1330 ], + "attributes": { + } + }, + "counter_DFFC_Q_9_CLEAR": { + "hide_name": 0, + "bits": [ 1332 ], + "attributes": { + } + }, + "counter_DFFC_Q_CLEAR": { + "hide_name": 0, + "bits": [ 1270 ], + "attributes": { + } + }, + "counter_DFFC_Q_D": { + "hide_name": 0, + "bits": [ 1321, 1319, 1315, 1313, 1311, 1309, 1307, 1305, 1303, 1301, 1299, 1297, 1293, 1291, 1289, 1287, 1285, 1283, 1281, 1279, 1277, 1275, 1333, 1331, 1329, 1327, 1325, 1323, 1317, 1295, 1273, 1271 ], + "attributes": { + "src": "top.v:69.5-76.8" + } + }, + "counter_LUT4_I0_7_F": { + "hide_name": 0, + "bits": [ 1098, 1099, 1100, 1101 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "counter_LUT4_I0_F": { + "hide_name": 0, + "bits": [ 1094, 1095, 1096, 1097 ], + "attributes": { + "force_downto": "00000000000000000000000000000001", + "src": "/Users/evgeny/dev/oss-cad-suite/libexec/../share/yosys/gowin/cells_map.v:130.20-130.21" + } + }, + "rst": { + "hide_name": 0, + "bits": [ 3 ], + "attributes": { + "src": "top.v:4.21-4.24" + } + } + } + } + } +} diff --git a/sipeed-tangnano-9k/lcd_screen/app.lushay.json b/sipeed-tangnano-9k/lcd_screen/app.lushay.json new file mode 100644 index 0000000..d35ad3c --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/app.lushay.json @@ -0,0 +1,6 @@ +{ + "name": "app", + "includedFiles": "all", + "top": "top", + "constraintsFile": "tangnano9k.cst" +} \ No newline at end of file diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.ipc b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.ipc new file mode 100644 index 0000000..14f31a4 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.ipc @@ -0,0 +1,11 @@ +[General] +ipc_version=4 +file=gowin_osc +module=Gowin_OSC +target_device=gw1nr9c-004 +type=clock_osc +version=3.0 + +[Config] +FREQ=10 +LANG=0 diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.mod b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.mod new file mode 100644 index 0000000..99d45cb --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.mod @@ -0,0 +1,13 @@ +-series GW1NR +-device GW1NR-9C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_OSC +-file_name gowin_osc +-path E:/FPGA/Tang_nano_9K_LCD/src/gowin_osc/ +-type OSC +-file_type vlg +-dev_type GW1NR-9C +-freq_div 10 \ No newline at end of file diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.v b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.v new file mode 100644 index 0000000..c198c59 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_osc/gowin_osc.v @@ -0,0 +1,19 @@ +//Copyright (C)2014-2020 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: IP file +//GOWIN Version: V1.9.6.02Beta +//Part Number: GW1NR-LV9QN88PC6/I5 +//Created Time: Thu Nov 04 10:43:37 2021 + +module Gowin_OSC (oscout); + +output oscout; + +OSC osc_inst ( + .OSCOUT(oscout) +); + +defparam osc_inst.FREQ_DIV = 10; +defparam osc_inst.DEVICE = "GW1NR-9C"; + +endmodule //Gowin_OSC diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.ipc b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.ipc new file mode 100644 index 0000000..6a98ac5 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.ipc @@ -0,0 +1,28 @@ +[General] +ipc_version=4 +file=gowin_rpll +module=Gowin_rPLL +target_device=gw1nr9c-004 +type=clock_rpll +version=1.0 + +[Config] +CKLOUTD3=false +CLKFB_SOURCE=0 +CLKIN_FREQ=27 +CLKOUTD=true +CLKOUTD_BYPASS=false +CLKOUTD_FREQ=32.4 +CLKOUTD_SOURCE_CLKOUT=true +CLKOUTD_TOLERANCE=2 +CLKOUTP=false +CLKOUT_BYPASS=false +CLKOUT_DIVIDE_DYN=true +CLKOUT_FREQ=129.6 +CLKOUT_TOLERANCE=2 +DYNAMIC=false +LANG=0 +LOCK_EN=false +MODE_GENERAL=true +PLL_PWD=false +RESET_PLL=false diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.mod b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.mod new file mode 100644 index 0000000..f325220 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.mod @@ -0,0 +1,33 @@ +-series GW1NR +-device GW1NR-9C +-package QFN88P +-part_number GW1NR-LV9QN88PC6/I5 + + +-mod_name Gowin_rPLL +-file_name gowin_rpll +-path E:/FPGA/Tang_nano_9K_LCD/src/gowin_rpll/ +-type PLL +-rPll true +-file_type vlg +-dev_type GW1NR-9C +-dyn_idiv_sel false +-idiv_sel 5 +-dyn_fbdiv_sel false +-fbdiv_sel 24 +-dyn_odiv_sel false +-odiv_sel 4 +-dyn_sdiv_sel 4 +-dyn_da_en false +-rst_sig false +-rst_sig_p false +-fclkin 27 +-clkfb_sel 0 +-en_lock false +-clkout_bypass false +-en_clkoutp false +-clkoutp_bypass false +-en_clkoutd true +-clkoutd_bypass false +-clkoutd_src CLKOUT +-en_clkoutd3 false \ No newline at end of file diff --git a/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.v b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.v new file mode 100644 index 0000000..092b520 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/gowin_rpll/gowin_rpll.v @@ -0,0 +1,62 @@ +//Copyright (C)2014-2020 Gowin Semiconductor Corporation. +//All rights reserved. +//File Title: IP file +//GOWIN Version: V1.9.6.02Beta +//Part Number: GW1NR-LV9QN88PC6/I5 +//Created Time: Fri Nov 26 18:22:55 2021 + +module Gowin_rPLL (clkout, clkoutd, clkin); + +output clkout; +output clkoutd; +input clkin; + +wire lock_o; +wire clkoutp_o; +wire clkoutd3_o; +wire gw_gnd; + +assign gw_gnd = 1'b0; + +rPLL rpll_inst ( + .CLKOUT(clkout), + .LOCK(lock_o), + .CLKOUTP(clkoutp_o), + .CLKOUTD(clkoutd), + .CLKOUTD3(clkoutd3_o), + .RESET(gw_gnd), + .RESET_P(gw_gnd), + .CLKIN(clkin), + .CLKFB(gw_gnd), + .FBDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .IDSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .ODSEL({gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .PSDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .DUTYDA({gw_gnd,gw_gnd,gw_gnd,gw_gnd}), + .FDLY({gw_gnd,gw_gnd,gw_gnd,gw_gnd}) +); + +defparam rpll_inst.FCLKIN = "27"; +defparam rpll_inst.DYN_IDIV_SEL = "false"; +defparam rpll_inst.IDIV_SEL = 4; +defparam rpll_inst.DYN_FBDIV_SEL = "false"; +defparam rpll_inst.FBDIV_SEL = 23; +defparam rpll_inst.DYN_ODIV_SEL = "false"; +defparam rpll_inst.ODIV_SEL = 4; +defparam rpll_inst.PSDA_SEL = "0000"; +defparam rpll_inst.DYN_DA_EN = "false"; +defparam rpll_inst.DUTYDA_SEL = "1000"; +defparam rpll_inst.CLKOUT_FT_DIR = 1'b1; +defparam rpll_inst.CLKOUTP_FT_DIR = 1'b1; +defparam rpll_inst.CLKOUT_DLY_STEP = 0; +defparam rpll_inst.CLKOUTP_DLY_STEP = 0; +defparam rpll_inst.CLKFB_SEL = "internal"; +defparam rpll_inst.CLKOUT_BYPASS = "false"; +defparam rpll_inst.CLKOUTP_BYPASS = "false"; +defparam rpll_inst.CLKOUTD_BYPASS = "false"; +defparam rpll_inst.DYN_SDIV_SEL = 4; +defparam rpll_inst.CLKOUTD_SRC = "CLKOUT"; +defparam rpll_inst.CLKOUTD3_SRC = "CLKOUT"; +defparam rpll_inst.DEVICE = "GW1NR-9C"; + +endmodule //Gowin_rPLL diff --git a/sipeed-tangnano-9k/lcd_screen/lcd.v b/sipeed-tangnano-9k/lcd_screen/lcd.v new file mode 100644 index 0000000..20fc504 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/lcd.v @@ -0,0 +1,118 @@ +module VGAMod +( + input CLK, + input nRST, + + input PixelClk, + + output LCD_DE, + output LCD_HSYNC, + output LCD_VSYNC, + + output [4:0] LCD_B, + output [5:0] LCD_G, + output [4:0] LCD_R +); + + reg [15:0] PixelCount; + reg [15:0] LineCount; + + localparam V_BackPorch = 16'd0; //6 + localparam V_Pluse = 16'd5; + localparam HightPixel = 16'd480; + localparam V_FrontPorch= 16'd45; //62 + + localparam H_BackPorch = 16'd182; //NOTE: 高像素时钟时,增加这里的延迟,方便K210加入中断 + localparam H_Pluse = 16'd1; + localparam WidthPixel = 16'd800; + localparam H_FrontPorch= 16'd210; + + parameter BarCount = 16; // RGB565 + localparam Width_bar = WidthPixel / 16; + + localparam PixelForHS = WidthPixel + H_BackPorch + H_FrontPorch; + localparam LineForVS = HightPixel + V_BackPorch + V_FrontPorch; + + always @( posedge PixelClk or negedge nRST )begin + if( !nRST ) begin + LineCount <= 16'b0; + PixelCount <= 16'b0; + end + else if( PixelCount == PixelForHS ) begin + PixelCount <= 16'b0; + LineCount <= LineCount + 1'b1; + end + else if( LineCount == LineForVS ) begin + LineCount <= 16'b0; + PixelCount <= 16'b0; + end + else + PixelCount <= PixelCount + 1'b1; + end + + reg [9:0] Data_R; + reg [9:0] Data_G; + reg [9:0] Data_B; + + always @( posedge PixelClk or negedge nRST )begin + if( !nRST ) begin + Data_R <= 9'b0; + Data_G <= 9'b0; + Data_B <= 9'b0; + end + end + //注意这里HSYNC和VSYNC负极性 + assign LCD_HSYNC = (( PixelCount >= H_Pluse)&&( PixelCount <= (PixelForHS-H_FrontPorch))) ? 1'b0 : 1'b1; + //assign LCD_VSYNC = ((( LineCount >= 0 )&&( LineCount <= (V_Pluse-1) )) ) ? 1'b1 : 1'b0; //这里不减一的话,图片底部会往下拖尾? + assign LCD_VSYNC = ((( LineCount >= V_Pluse )&&( LineCount <= (LineForVS-0) )) ) ? 1'b0 : 1'b1; + //assign FIFO_RST = (( PixelCount ==0)) ? 1'b1 : 1'b0; //留给主机H_BackPorch的时间进入中断,发送数据 + + assign LCD_DE = ( ( PixelCount >= H_BackPorch )&& + ( PixelCount <= PixelForHS-H_FrontPorch ) && + ( LineCount >= V_BackPorch ) && + ( LineCount <= LineForVS-V_FrontPorch-1 )) ? 1'b1 : 1'b0; + //这里不减一,会抖动 + + // assign LCD_R = (PixelCount<200)? 5'b00000 : + // (PixelCount<240 ? 5'b00001 : + // (PixelCount<280 ? 5'b00010 : + // (PixelCount<320 ? 5'b00100 : + // (PixelCount<360 ? 5'b01000 : + // (PixelCount<400 ? 5'b10000 : 5'b00000 ))))); + + // assign LCD_G = (PixelCount<400)? 6'b000000 : + // (PixelCount<440 ? 6'b000001 : + // (PixelCount<480 ? 6'b000010 : + // (PixelCount<520 ? 6'b000100 : + // (PixelCount<560 ? 6'b001000 : + // (PixelCount<600 ? 6'b010000 : + // (PixelCount<640 ? 6'b100000 : 6'b000000 )))))); + + // assign LCD_B = (PixelCount<640)? 5'b00000 : + // (PixelCount<680 ? 5'b00001 : + // (PixelCount<720 ? 5'b00010 : + // (PixelCount<760 ? 5'b00100 : + // (PixelCount<800 ? 5'b01000 : + // (PixelCount<840 ? 5'b10000 : 5'b00000 ))))); + + assign LCD_R = PixelCount < H_BackPorch + Width_bar * 0 ? 5'b10000 : + PixelCount < H_BackPorch + Width_bar * 1 ? 5'b01000 : + PixelCount < H_BackPorch + Width_bar * 2 ? 5'b00100 : + PixelCount < H_BackPorch + Width_bar * 3 ? 5'b00100 : + PixelCount < H_BackPorch + Width_bar * 4 ? 5'b01000 : + PixelCount < H_BackPorch + Width_bar * 5 ? 5'b10000 : 5'b00000 ; + + assign LCD_G = PixelCount < H_BackPorch + Width_bar * 6 ? 6'b100000 : + PixelCount < H_BackPorch + Width_bar * 7 ? 6'b010000 : + PixelCount < H_BackPorch + Width_bar * 8 ? 6'b000100 : + PixelCount < H_BackPorch + Width_bar * 9 ? 6'b001000 : + PixelCount < H_BackPorch + Width_bar * 10 ? 6'b010000 : + PixelCount < H_BackPorch + Width_bar * 11 ? 6'b100000 : 6'b000000 ; + + assign LCD_B = PixelCount < H_BackPorch + Width_bar * 12 ? 5'b10000 : + PixelCount < H_BackPorch + Width_bar * 13 ? 5'b01000 : + PixelCount < H_BackPorch + Width_bar * 14 ? 5'b00100 : + PixelCount < H_BackPorch + Width_bar * 15 ? 5'b01000 : + PixelCount < H_BackPorch + Width_bar * 16 ? 5'b10000 : 5'b00000 ; + +endmodule diff --git a/sipeed-tangnano-9k/lcd_screen/tangnano9k.cst b/sipeed-tangnano-9k/lcd_screen/tangnano9k.cst new file mode 100644 index 0000000..b0783e5 --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/tangnano9k.cst @@ -0,0 +1,76 @@ +IO_LOC "LED[5]" 16; + +IO_LOC "LED[4]" 15; + +IO_LOC "LED[3]" 14; + +IO_LOC "LED[2]" 13; + +IO_LOC "LED[1]" 11; + +IO_LOC "LED[0]" 10; + +IO_LOC "LCD_B[4]" 41; +IO_PORT "LCD_B[4]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_B[3]" 42; +IO_PORT "LCD_B[3]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_B[2]" 51; +IO_PORT "LCD_B[2]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_B[1]" 53; +IO_PORT "LCD_B[1]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_B[0]" 54; +IO_PORT "LCD_B[0]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[5]" 55; +IO_PORT "LCD_G[5]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[4]" 56; +IO_PORT "LCD_G[4]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[3]" 57; +IO_PORT "LCD_G[3]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[2]" 68; +IO_PORT "LCD_G[2]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[1]" 69; +IO_PORT "LCD_G[1]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_G[0]" 70; +IO_PORT "LCD_G[0]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_R[4]" 71; +IO_PORT "LCD_R[4]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_R[3]" 72; +IO_PORT "LCD_R[3]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_R[2]" 73; +IO_PORT "LCD_R[2]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_R[1]" 74; +IO_PORT "LCD_R[1]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_R[0]" 75; +IO_PORT "LCD_R[0]" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_DE" 33; +IO_PORT "LCD_DE" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_VSYNC" 34; +IO_PORT "LCD_VSYNC" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_HSYNC" 40; +IO_PORT "LCD_HSYNC" IO_TYPE=LVCMOS33; + +IO_LOC "LCD_CLK" 35; +IO_PORT "LCD_CLK" IO_TYPE=LVCMOS33; + +IO_LOC "clk" 52; +IO_PORT "clk" PULL_MODE=UP; + +IO_LOC "rst" 4; diff --git a/sipeed-tangnano-9k/lcd_screen/top.v b/sipeed-tangnano-9k/lcd_screen/top.v new file mode 100644 index 0000000..a8480ec --- /dev/null +++ b/sipeed-tangnano-9k/lcd_screen/top.v @@ -0,0 +1,87 @@ +module top +( + input clk, + input rst, + + output LCD_CLK, + output LCD_HSYNC, + output LCD_VSYNC, + output LCD_DE, + output [4:0] LCD_R, + output [5:0] LCD_G, + output [4:0] LCD_B, + + output [5:0] LED +); + + + wire CLK_SYS; + wire CLK_PIX; + + wire oscout_o; + + +/* + //Use internal clock + Gowin_OSC chip_osc( + .oscout(oscout_o) //output oscout + ); +*/ + +/* + This program uses external crystal oscillator and PLL to generate 33.33mhz clock to the screen + If you use our 4.3-inch screen, you need to modify the PLL parameters (tools - > IP core generator) + to make CLK_ Pix is between 8-12mhz (according to the specification of the screen) +*/ + + Gowin_rPLL chip_pll + ( + .clkout(CLK_SYS), // output clkout //200M + .clkoutd(CLK_PIX), // output clkoutd //33.33M + .clkin(clk) // input clkin + ); + + + VGAMod D1 + ( + .CLK ( CLK_SYS ), + .nRST ( rst), + + .PixelClk ( CLK_PIX ), + .LCD_DE ( LCD_DE ), + .LCD_HSYNC ( LCD_HSYNC ), + .LCD_VSYNC ( LCD_VSYNC ), + + .LCD_B ( LCD_B ), + .LCD_G ( LCD_G ), + .LCD_R ( LCD_R ) + ); + + assign LCD_CLK = CLK_PIX; + + + +//LED drive + reg [31:0] counter; + reg [5:0] LED; + + + always @(posedge clk or negedge rst) begin + if (!rst) + counter <= 24'd0; + else if (counter < 24'd400_0000) // 0.5s delay + counter <= counter + 1; + else + counter <= 24'd0; + end + + always @(posedge clk or negedge rst) begin + if (!rst) + LED <= 6'b111010; + else if (counter == 24'd400_0000) // 0.5s delay + LED[5:0] <= {LED[4:0],LED[5]}; + else + LED <= LED; + end + +endmodule From 7e707c54635b49b34b3b343f120f48755cfdf23d Mon Sep 17 00:00:00 2001 From: Evgeny Ukhanov Date: Sun, 24 Sep 2023 00:06:34 +0200 Subject: [PATCH 2/2] Refactored structure for boards: Altera, Sipeed --- README.md | 31 ++++++++++++++++--- .../led4_highreg}/Makefile | 0 .../led4_highreg}/README.md | 0 .../led4_highreg}/led4_reg.qpf | 0 .../led4_highreg}/led4_reg.qsf | 0 .../led4_highreg}/led4_reg.v | 0 .../led4_highreg}/led4_reg_test | 0 .../led4_highreg}/led4_reg_test.v | 0 {timer => altera-cyclone-IV/timer}/Makefile | 0 {timer => altera-cyclone-IV/timer}/README.md | 0 {timer => altera-cyclone-IV/timer}/const.sv | 0 .../timer}/libs/keys.sv | 0 .../timer}/libs/tools.sv | 0 {timer => altera-cyclone-IV/timer}/timer.qpf | 0 {timer => altera-cyclone-IV/timer}/timer.qsf | 0 {timer => altera-cyclone-IV/timer}/timer.sv | 0 {timer => altera-cyclone-IV/timer}/timer_test | 0 .../timer}/timer_test.sv | 0 {vga => altera-cyclone-IV/vga}/Font_Rom.vhd | 0 {vga => altera-cyclone-IV/vga}/Makefile | 0 .../vga}/Pixel_On_Text.vhd | 0 .../vga}/Pixel_On_Text2.vhd | 0 vga/vga.qpf => altera-cyclone-IV/vga/VGA.qpf | 0 {vga => altera-cyclone-IV/vga}/commonPak.vhd | 0 {vga => altera-cyclone-IV/vga}/font.inc | 0 {vga => altera-cyclone-IV/vga}/text/fonts.sv | 0 {vga => altera-cyclone-IV/vga}/text/text.sv | 0 {vga => altera-cyclone-IV/vga}/timer.qpf | 0 {vga => altera-cyclone-IV/vga}/vga.qsf | 0 {vga => altera-cyclone-IV/vga}/vga.sv | 0 {vga => altera-cyclone-IV/vga}/wrapper.vhd | 0 vga/VGA.qpf | 31 ------------------- 32 files changed, 26 insertions(+), 36 deletions(-) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/Makefile (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/README.md (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/led4_reg.qpf (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/led4_reg.qsf (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/led4_reg.v (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/led4_reg_test (100%) rename {led4_highreg => altera-cyclone-IV/led4_highreg}/led4_reg_test.v (100%) rename {timer => altera-cyclone-IV/timer}/Makefile (100%) rename {timer => altera-cyclone-IV/timer}/README.md (100%) rename {timer => altera-cyclone-IV/timer}/const.sv (100%) rename {timer => altera-cyclone-IV/timer}/libs/keys.sv (100%) rename {timer => altera-cyclone-IV/timer}/libs/tools.sv (100%) rename {timer => altera-cyclone-IV/timer}/timer.qpf (100%) rename {timer => altera-cyclone-IV/timer}/timer.qsf (100%) rename {timer => altera-cyclone-IV/timer}/timer.sv (100%) rename {timer => altera-cyclone-IV/timer}/timer_test (100%) rename {timer => altera-cyclone-IV/timer}/timer_test.sv (100%) rename {vga => altera-cyclone-IV/vga}/Font_Rom.vhd (100%) rename {vga => altera-cyclone-IV/vga}/Makefile (100%) rename {vga => altera-cyclone-IV/vga}/Pixel_On_Text.vhd (100%) rename {vga => altera-cyclone-IV/vga}/Pixel_On_Text2.vhd (100%) rename vga/vga.qpf => altera-cyclone-IV/vga/VGA.qpf (100%) rename {vga => altera-cyclone-IV/vga}/commonPak.vhd (100%) rename {vga => altera-cyclone-IV/vga}/font.inc (100%) rename {vga => altera-cyclone-IV/vga}/text/fonts.sv (100%) rename {vga => altera-cyclone-IV/vga}/text/text.sv (100%) rename {vga => altera-cyclone-IV/vga}/timer.qpf (100%) rename {vga => altera-cyclone-IV/vga}/vga.qsf (100%) rename {vga => altera-cyclone-IV/vga}/vga.sv (100%) rename {vga => altera-cyclone-IV/vga}/wrapper.vhd (100%) delete mode 100644 vga/VGA.qpf diff --git a/README.md b/README.md index b15b73f..dfa3e5d 100644 --- a/README.md +++ b/README.md @@ -1,10 +1,31 @@ -# FPGA -FPGA samples. Most of them base on Verilog or SystemVerilog. -Implemented for Altera Development Board with Quartus CAD. +# FPGA Research & Development -## Projects +## Supported boards + +- Altera devboard Cyclone IV E - `EP4CE10E22C8` +- Sipeed TangNano 9k + +## Sipeed TangNano 9k + +- Usefull getting started guides: + - Sipeed [website]() + - [Lushat Labs articles](https://learn.lushaylabs.com/getting-setup-with-the-tang-nano-9k/#creating-a-new-project) +- github examples: + - https://github.com/lushaylabs/tangnano9k-series-examples + - https://github.com/sipeed/TangNano-9K-example +- required: [OSS Cad Suite](https://github.com/YosysHQ/oss-cad-suite-build) or just install [Gowin EDA](https://www.gowinsemi.com/en/support/download_eda/). + +### Altera Devboard + +- Devboard: `Cyclone IV E EP4CE10E22C8` +- Quartus CAD required +FPGA project mostly base on Verilog or SystemVerilog. And implemented for Altera DevelopmentBoard with Quartus CAD. + +#### Altera based projects + +* **VGA** - output via VGA interfact to motinors. Can draw multy line text with specific fonts. * **led4_highreg** - 12 LED circle sequence -* **timer** - count down timer with ability set timer time. +* **timer** - onboard digital LED count down timer with ability set timer time. Digital LED and Keys used for I/O. ### LICENSE MIT diff --git a/led4_highreg/Makefile b/altera-cyclone-IV/led4_highreg/Makefile similarity index 100% rename from led4_highreg/Makefile rename to altera-cyclone-IV/led4_highreg/Makefile diff --git a/led4_highreg/README.md b/altera-cyclone-IV/led4_highreg/README.md similarity index 100% rename from led4_highreg/README.md rename to altera-cyclone-IV/led4_highreg/README.md diff --git a/led4_highreg/led4_reg.qpf b/altera-cyclone-IV/led4_highreg/led4_reg.qpf similarity index 100% rename from led4_highreg/led4_reg.qpf rename to altera-cyclone-IV/led4_highreg/led4_reg.qpf diff --git a/led4_highreg/led4_reg.qsf b/altera-cyclone-IV/led4_highreg/led4_reg.qsf similarity index 100% rename from led4_highreg/led4_reg.qsf rename to altera-cyclone-IV/led4_highreg/led4_reg.qsf diff --git a/led4_highreg/led4_reg.v b/altera-cyclone-IV/led4_highreg/led4_reg.v similarity index 100% rename from led4_highreg/led4_reg.v rename to altera-cyclone-IV/led4_highreg/led4_reg.v diff --git a/led4_highreg/led4_reg_test b/altera-cyclone-IV/led4_highreg/led4_reg_test similarity index 100% rename from led4_highreg/led4_reg_test rename to altera-cyclone-IV/led4_highreg/led4_reg_test diff --git a/led4_highreg/led4_reg_test.v b/altera-cyclone-IV/led4_highreg/led4_reg_test.v similarity index 100% rename from led4_highreg/led4_reg_test.v rename to altera-cyclone-IV/led4_highreg/led4_reg_test.v diff --git a/timer/Makefile b/altera-cyclone-IV/timer/Makefile similarity index 100% rename from timer/Makefile rename to altera-cyclone-IV/timer/Makefile diff --git a/timer/README.md b/altera-cyclone-IV/timer/README.md similarity index 100% rename from timer/README.md rename to altera-cyclone-IV/timer/README.md diff --git a/timer/const.sv b/altera-cyclone-IV/timer/const.sv similarity index 100% rename from timer/const.sv rename to altera-cyclone-IV/timer/const.sv diff --git a/timer/libs/keys.sv b/altera-cyclone-IV/timer/libs/keys.sv similarity index 100% rename from timer/libs/keys.sv rename to altera-cyclone-IV/timer/libs/keys.sv diff --git a/timer/libs/tools.sv b/altera-cyclone-IV/timer/libs/tools.sv similarity index 100% rename from timer/libs/tools.sv rename to altera-cyclone-IV/timer/libs/tools.sv diff --git a/timer/timer.qpf b/altera-cyclone-IV/timer/timer.qpf similarity index 100% rename from timer/timer.qpf rename to altera-cyclone-IV/timer/timer.qpf diff --git a/timer/timer.qsf b/altera-cyclone-IV/timer/timer.qsf similarity index 100% rename from timer/timer.qsf rename to altera-cyclone-IV/timer/timer.qsf diff --git a/timer/timer.sv b/altera-cyclone-IV/timer/timer.sv similarity index 100% rename from timer/timer.sv rename to altera-cyclone-IV/timer/timer.sv diff --git a/timer/timer_test b/altera-cyclone-IV/timer/timer_test similarity index 100% rename from timer/timer_test rename to altera-cyclone-IV/timer/timer_test diff --git a/timer/timer_test.sv b/altera-cyclone-IV/timer/timer_test.sv similarity index 100% rename from timer/timer_test.sv rename to altera-cyclone-IV/timer/timer_test.sv diff --git a/vga/Font_Rom.vhd b/altera-cyclone-IV/vga/Font_Rom.vhd similarity index 100% rename from vga/Font_Rom.vhd rename to altera-cyclone-IV/vga/Font_Rom.vhd diff --git a/vga/Makefile b/altera-cyclone-IV/vga/Makefile similarity index 100% rename from vga/Makefile rename to altera-cyclone-IV/vga/Makefile diff --git a/vga/Pixel_On_Text.vhd b/altera-cyclone-IV/vga/Pixel_On_Text.vhd similarity index 100% rename from vga/Pixel_On_Text.vhd rename to altera-cyclone-IV/vga/Pixel_On_Text.vhd diff --git a/vga/Pixel_On_Text2.vhd b/altera-cyclone-IV/vga/Pixel_On_Text2.vhd similarity index 100% rename from vga/Pixel_On_Text2.vhd rename to altera-cyclone-IV/vga/Pixel_On_Text2.vhd diff --git a/vga/vga.qpf b/altera-cyclone-IV/vga/VGA.qpf similarity index 100% rename from vga/vga.qpf rename to altera-cyclone-IV/vga/VGA.qpf diff --git a/vga/commonPak.vhd b/altera-cyclone-IV/vga/commonPak.vhd similarity index 100% rename from vga/commonPak.vhd rename to altera-cyclone-IV/vga/commonPak.vhd diff --git a/vga/font.inc b/altera-cyclone-IV/vga/font.inc similarity index 100% rename from vga/font.inc rename to altera-cyclone-IV/vga/font.inc diff --git a/vga/text/fonts.sv b/altera-cyclone-IV/vga/text/fonts.sv similarity index 100% rename from vga/text/fonts.sv rename to altera-cyclone-IV/vga/text/fonts.sv diff --git a/vga/text/text.sv b/altera-cyclone-IV/vga/text/text.sv similarity index 100% rename from vga/text/text.sv rename to altera-cyclone-IV/vga/text/text.sv diff --git a/vga/timer.qpf b/altera-cyclone-IV/vga/timer.qpf similarity index 100% rename from vga/timer.qpf rename to altera-cyclone-IV/vga/timer.qpf diff --git a/vga/vga.qsf b/altera-cyclone-IV/vga/vga.qsf similarity index 100% rename from vga/vga.qsf rename to altera-cyclone-IV/vga/vga.qsf diff --git a/vga/vga.sv b/altera-cyclone-IV/vga/vga.sv similarity index 100% rename from vga/vga.sv rename to altera-cyclone-IV/vga/vga.sv diff --git a/vga/wrapper.vhd b/altera-cyclone-IV/vga/wrapper.vhd similarity index 100% rename from vga/wrapper.vhd rename to altera-cyclone-IV/vga/wrapper.vhd diff --git a/vga/VGA.qpf b/vga/VGA.qpf deleted file mode 100644 index 3a21a4f..0000000 --- a/vga/VGA.qpf +++ /dev/null @@ -1,31 +0,0 @@ -# -------------------------------------------------------------------------- # -# -# Copyright (C) 2017 Intel Corporation. All rights reserved. -# Your use of Intel Corporation's design tools, logic functions -# and other software and tools, and its AMPP partner logic -# functions, and any output files from any of the foregoing -# (including device programming or simulation files), and any -# associated documentation or information are expressly subject -# to the terms and conditions of the Intel Program License -# Subscription Agreement, the Intel Quartus Prime License Agreement, -# the Intel MegaCore Function License Agreement, or other -# applicable license agreement, including, without limitation, -# that your use is for the sole purpose of programming logic -# devices manufactured by Intel and sold by Intel or its -# authorized distributors. Please refer to the applicable -# agreement for further details. -# -# -------------------------------------------------------------------------- # -# -# Quartus Prime -# Version 17.0.0 Build 595 04/25/2017 SJ Lite Edition -# Date created = 19:43:11 February 17, 2018 -# -# -------------------------------------------------------------------------- # - -QUARTUS_VERSION = "17.0" -DATE = "19:43:11 February 17, 2018" - -# Revisions - -PROJECT_REVISION = "vga"