diff --git a/verilog/sd2snes_cx4/dac.v b/verilog/sd2snes_cx4/dac.v index 3f7fed84..3ba60519 100644 --- a/verilog/sd2snes_cx4/dac.v +++ b/verilog/sd2snes_cx4/dac.v @@ -18,6 +18,8 @@ // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// +`include "config.vh" + module dac( input clkin, input sysclk, @@ -56,6 +58,7 @@ always @(posedge clkin) begin end `ifdef MK2 +`ifndef DEBUG dac_buf snes_dac_buf ( .clka(clkin), .wea(~we), // Bus [0 : 0] @@ -65,6 +68,7 @@ dac_buf snes_dac_buf ( .addrb(dac_address), // Bus [8 : 0] .doutb(dac_data)); // Bus [31 : 0] `endif +`endif `ifdef MK3 dac_buf snes_dac_buf ( diff --git a/verilog/sd2snes_cx4/msu.v b/verilog/sd2snes_cx4/msu.v index ecbb44c8..b48886dd 100644 --- a/verilog/sd2snes_cx4/msu.v +++ b/verilog/sd2snes_cx4/msu.v @@ -18,6 +18,8 @@ // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// +`include "config.vh" + module msu( input clkin, input enable, @@ -112,6 +114,7 @@ assign status_out = {msu_address_r[13], // 7 initial msu_address_r = 14'h1234; `ifdef MK2 +`ifndef DEBUG msu_databuf snes_msu_databuf ( .clka(clkin), .wea(~pgm_we), // Bus [0 : 0] @@ -122,6 +125,7 @@ msu_databuf snes_msu_databuf ( .doutb(msu_data) ); // Bus [7 : 0] `endif +`endif `ifdef MK3 msu_databuf snes_msu_databuf ( .clock(clkin), diff --git a/verilog/sd2snes_gsu/dac.v b/verilog/sd2snes_gsu/dac.v index 77e9a72c..83c9683d 100644 --- a/verilog/sd2snes_gsu/dac.v +++ b/verilog/sd2snes_gsu/dac.v @@ -18,6 +18,8 @@ // Additional Comments: // ////////////////////////////////////////////////////////////////////////////////// +`include "config.vh" + module dac( input clkin, input sysclk, @@ -63,6 +65,7 @@ always @(posedge clkin) begin sysclk_sreg <= {sysclk_sreg[1:0], sysclk}; end `ifdef MK2 +`ifndef DEBUG dac_buf snes_dac_buf ( .clka(clkin), .wea(~we), // Bus [0 : 0] @@ -72,6 +75,8 @@ dac_buf snes_dac_buf ( .addrb(dac_address), // Bus [8 : 0] .doutb(dac_data)); // Bus [31 : 0] `endif +`endif + `ifdef MK3 dac_buf snes_dac_buf ( .clock(clkin), @@ -81,6 +86,7 @@ dac_buf snes_dac_buf ( .rdaddress(dac_address), // Bus [8 : 0] .q(dac_data)); // Bus [31 : 0] `endif + reg [10:0] cnt; reg [15:0] smpcnt; reg [1:0] samples;