From ab41f613741dc8dda05e212f034d5a93581488d5 Mon Sep 17 00:00:00 2001 From: ikari Date: Fri, 5 Jul 2019 14:03:05 +0200 Subject: [PATCH] FPGA: misc cleanup --- verilog/sd2snes_base/main.qsf | 2 +- verilog/sd2snes_cx4/msu.v | 2 +- verilog/sd2snes_cx4/sd2snes_cx4.xise | 105 +++++++++++++++++++++++++ verilog/sd2snes_cx4/spi.v | 11 ++- verilog/sd2snes_gsu/mcu_cmd.v | 23 ------ verilog/sd2snes_gsu/sd2snes_gsu.xise | 9 +-- verilog/sd2snes_gsu/spi.v | 4 +- verilog/sd2snes_mini/sd2snes_mini.xise | 6 +- verilog/sd2snes_mini/spi.v | 11 ++- verilog/sd2snes_sa1/sd2snes_sa1.xise | 45 ----------- verilog/sd2snes_sa1/spi.v | 4 +- verilog/sd2snes_sdd1/mcu_cmd.v | 24 ------ verilog/sd2snes_sdd1/sd2snes_sdd1.xise | 10 --- 13 files changed, 125 insertions(+), 131 deletions(-) diff --git a/verilog/sd2snes_base/main.qsf b/verilog/sd2snes_base/main.qsf index 9ea3c9bf..c82c9edc 100644 --- a/verilog/sd2snes_base/main.qsf +++ b/verilog/sd2snes_base/main.qsf @@ -525,7 +525,7 @@ set_global_assignment -name QIP_FILE msu_databuf.qip set_global_assignment -name QIP_FILE dac_buf.qip set_global_assignment -name SDC_FILE main.sdc set_global_assignment -name ENABLE_SIGNALTAP OFF -set_global_assignment -name USE_SIGNALTAP_FILE stp2.stp +set_global_assignment -name USE_SIGNALTAP_FILE stp1.stp set_location_assignment PIN_T5 -to PT5_in set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PT5_in set_instance_assignment -name CURRENT_STRENGTH_NEW 4MA -to PT5_in diff --git a/verilog/sd2snes_cx4/msu.v b/verilog/sd2snes_cx4/msu.v index b48886dd..1cb19413 100644 --- a/verilog/sd2snes_cx4/msu.v +++ b/verilog/sd2snes_cx4/msu.v @@ -142,7 +142,7 @@ assign reg_data_out = data_out_r; always @(posedge clkin) begin if(msu_address_ext_write_rising) msu_address_r <= msu_address_ext; - else if(reg_oe_falling & enable & (reg_addr == 3'h1)) begin + else if(reg_oe_rising & enable & (reg_addr == 3'h1)) begin msu_address_r <= msu_address_r + 1; end end diff --git a/verilog/sd2snes_cx4/sd2snes_cx4.xise b/verilog/sd2snes_cx4/sd2snes_cx4.xise index 913a3cd7..0fe6c4fb 100644 --- a/verilog/sd2snes_cx4/sd2snes_cx4.xise +++ b/verilog/sd2snes_cx4/sd2snes_cx4.xise @@ -99,19 +99,27 @@ + + + + + + + + @@ -120,9 +128,11 @@ + + @@ -133,8 +143,10 @@ + + @@ -146,34 +158,50 @@ + + + + + + + + + + + + + + + + @@ -194,9 +222,15 @@ + + + + + + @@ -205,6 +239,9 @@ + + + @@ -214,12 +251,19 @@ + + + + + + + @@ -227,18 +271,27 @@ + + + + + + + + + @@ -249,8 +302,12 @@ + + + + @@ -266,24 +323,31 @@ + + + + + + + @@ -294,30 +358,40 @@ + + + + + + + + + + @@ -325,9 +399,15 @@ + + + + + + @@ -342,6 +422,8 @@ + + @@ -362,22 +444,33 @@ + + + + + + + + + + + @@ -386,6 +479,7 @@ + @@ -394,26 +488,36 @@ + + + + + + + + + + @@ -445,6 +549,7 @@ + diff --git a/verilog/sd2snes_cx4/spi.v b/verilog/sd2snes_cx4/spi.v index dbc4da9e..37280bac 100644 --- a/verilog/sd2snes_cx4/spi.v +++ b/verilog/sd2snes_cx4/spi.v @@ -73,10 +73,10 @@ always @(posedge SCK) begin end always @(posedge SCK) begin - if(~SSELSCKr[1]) begin + if(~SSELSCKr[1]) byte_data_received <= {byte_data_received[6:0], MOSI}; - end - if(~SSELSCKr[1] && bitcnt==3'b111) byte_received <= 1'b1; + if(~SSELSCKr[1] && bitcnt==3'b111) + byte_received <= 1'b1; else byte_received <= 1'b0; end @@ -85,11 +85,10 @@ end //wire byte_received_sync = (byte_received_r[2:1] == 2'b01); always @(posedge clk) begin - if(SSEL_inactive) begin + if(SSEL_inactive) byte_cnt_r <= 16'h0000; - end else if(byte_received_sync) begin + else if(byte_received_sync) byte_cnt_r <= byte_cnt_r + 16'h0001; - end end reg [7:0] byte_data_sent; diff --git a/verilog/sd2snes_gsu/mcu_cmd.v b/verilog/sd2snes_gsu/mcu_cmd.v index b5f9e2ed..4468e70f 100644 --- a/verilog/sd2snes_gsu/mcu_cmd.v +++ b/verilog/sd2snes_gsu/mcu_cmd.v @@ -115,15 +115,6 @@ initial begin SD_DMA_END_MID_BLOCK = 0; end -wire [31:0] snes_sysclk_freq; - -clk_test snes_clk_test ( - .clk(clk), - .sysclk(snes_sysclk), - .snes_sysclk_freq(snes_sysclk_freq) -); - - reg [2:0] MAPPER_BUF; reg [23:0] ADDR_OUT_BUF; reg [10:0] DAC_ADDR_OUT_BUF; @@ -149,7 +140,6 @@ reg reg_we_buf; initial reg_we_buf = 0; reg [55:0] rtc_data_out_buf; reg rtc_pgm_we_buf; -reg [31:0] SNES_SYSCLK_FREQ_BUF; reg [7:0] MCU_DATA_OUT_BUF; reg [7:0] MCU_DATA_IN_BUF; @@ -489,19 +479,6 @@ always @(posedge clk) begin MCU_DATA_IN_BUF <= msu_volumerq; else if (cmd_data[7:0] == 8'hF5) MCU_DATA_IN_BUF <= gsu_data; - else if (cmd_data[7:0] == 8'hFE) - case (spi_byte_cnt) - 32'h1: - SNES_SYSCLK_FREQ_BUF <= snes_sysclk_freq; - 32'h2: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[31:24]; - 32'h3: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[23:16]; - 32'h4: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[15:8]; - 32'h5: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[7:0]; - endcase else if (cmd_data[7:0] == 8'hF9) case (spi_byte_cnt) 32'h2: begin diff --git a/verilog/sd2snes_gsu/sd2snes_gsu.xise b/verilog/sd2snes_gsu/sd2snes_gsu.xise index 747daad7..e341af68 100644 --- a/verilog/sd2snes_gsu/sd2snes_gsu.xise +++ b/verilog/sd2snes_gsu/sd2snes_gsu.xise @@ -19,10 +19,6 @@ - - - - @@ -377,8 +373,8 @@ - - + + @@ -460,6 +456,7 @@ + diff --git a/verilog/sd2snes_gsu/spi.v b/verilog/sd2snes_gsu/spi.v index 1b3e793b..37280bac 100644 --- a/verilog/sd2snes_gsu/spi.v +++ b/verilog/sd2snes_gsu/spi.v @@ -86,9 +86,9 @@ end always @(posedge clk) begin if(SSEL_inactive) - byte_cnt_r <= 0; + byte_cnt_r <= 16'h0000; else if(byte_received_sync) - byte_cnt_r <= byte_cnt_r + 1; + byte_cnt_r <= byte_cnt_r + 16'h0001; end reg [7:0] byte_data_sent; diff --git a/verilog/sd2snes_mini/sd2snes_mini.xise b/verilog/sd2snes_mini/sd2snes_mini.xise index 62aa45c4..270cae81 100644 --- a/verilog/sd2snes_mini/sd2snes_mini.xise +++ b/verilog/sd2snes_mini/sd2snes_mini.xise @@ -31,10 +31,6 @@ - - - - @@ -76,7 +72,7 @@ - + diff --git a/verilog/sd2snes_mini/spi.v b/verilog/sd2snes_mini/spi.v index a8a65b88..906decfe 100644 --- a/verilog/sd2snes_mini/spi.v +++ b/verilog/sd2snes_mini/spi.v @@ -69,10 +69,10 @@ always @(posedge SCK) begin end always @(posedge SCK) begin - if(~SSELSCKr[1]) begin + if(~SSELSCKr[1]) byte_data_received <= {byte_data_received[6:0], MOSI}; - end - if(~SSELSCKr[1] && bitcnt==3'b111) byte_received <= 1'b1; + if(~SSELSCKr[1] && bitcnt==3'b111) + byte_received <= 1'b1; else byte_received <= 1'b0; end @@ -81,11 +81,10 @@ end //wire byte_received_sync = (byte_received_r[2:1] == 2'b01); always @(posedge clk) begin - if(SSEL_inactive) begin + if(SSEL_inactive) byte_cnt_r <= 16'h0000; - end else if(byte_received_sync) begin + else if(byte_received_sync) byte_cnt_r <= byte_cnt_r + 16'h0001; - end end reg [7:0] byte_data_sent; diff --git a/verilog/sd2snes_sa1/sd2snes_sa1.xise b/verilog/sd2snes_sa1/sd2snes_sa1.xise index d11414f3..01ecff57 100644 --- a/verilog/sd2snes_sa1/sd2snes_sa1.xise +++ b/verilog/sd2snes_sa1/sd2snes_sa1.xise @@ -19,10 +19,6 @@ - - - - @@ -59,40 +55,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -116,10 +78,6 @@ - - - - @@ -137,9 +95,6 @@ - - - diff --git a/verilog/sd2snes_sa1/spi.v b/verilog/sd2snes_sa1/spi.v index 1b3e793b..37280bac 100644 --- a/verilog/sd2snes_sa1/spi.v +++ b/verilog/sd2snes_sa1/spi.v @@ -86,9 +86,9 @@ end always @(posedge clk) begin if(SSEL_inactive) - byte_cnt_r <= 0; + byte_cnt_r <= 16'h0000; else if(byte_received_sync) - byte_cnt_r <= byte_cnt_r + 1; + byte_cnt_r <= byte_cnt_r + 16'h0001; end reg [7:0] byte_data_sent; diff --git a/verilog/sd2snes_sdd1/mcu_cmd.v b/verilog/sd2snes_sdd1/mcu_cmd.v index 1feb9fb4..18b08352 100644 --- a/verilog/sd2snes_sdd1/mcu_cmd.v +++ b/verilog/sd2snes_sdd1/mcu_cmd.v @@ -100,15 +100,6 @@ initial begin SD_DMA_END_MID_BLOCK = 0; end -wire [31:0] snes_sysclk_freq; - -clk_test snes_clk_test ( - .clk(clk), - .sysclk(snes_sysclk), - .snes_sysclk_freq(snes_sysclk_freq) -); - - reg [2:0] MAPPER_BUF; reg [23:0] ADDR_OUT_BUF; reg [10:0] DAC_ADDR_OUT_BUF; @@ -120,8 +111,6 @@ reg [5:0] msu_status_reset_out_buf; reg msu_status_reset_we_buf = 0; reg MSU_RESET_OUT_BUF; -reg [31:0] SNES_SYSCLK_FREQ_BUF; - reg [7:0] MCU_DATA_OUT_BUF; reg [7:0] MCU_DATA_IN_BUF; reg [2:0] mcu_nextaddr_buf; @@ -404,19 +393,6 @@ always @(posedge clk) begin endcase else if (cmd_data[7:0] == 8'hF4) MCU_DATA_IN_BUF <= msu_volumerq; - else if (cmd_data[7:0] == 8'hFE) - case (spi_byte_cnt) - 32'h1: - SNES_SYSCLK_FREQ_BUF <= snes_sysclk_freq; - 32'h2: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[31:24]; - 32'h3: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[23:16]; - 32'h4: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[15:8]; - 32'h5: - MCU_DATA_IN_BUF <= SNES_SYSCLK_FREQ_BUF[7:0]; - endcase else if (cmd_data[7:0] == 8'hFF) MCU_DATA_IN_BUF <= param_data; else if (cmd_data[7:0] == 8'hD1) diff --git a/verilog/sd2snes_sdd1/sd2snes_sdd1.xise b/verilog/sd2snes_sdd1/sd2snes_sdd1.xise index 7f83150e..c1857cbc 100644 --- a/verilog/sd2snes_sdd1/sd2snes_sdd1.xise +++ b/verilog/sd2snes_sdd1/sd2snes_sdd1.xise @@ -19,10 +19,6 @@ - - - - @@ -47,12 +43,6 @@ - - - - - -